US20020076492A1 - Film processing system - Google Patents

Film processing system Download PDF

Info

Publication number
US20020076492A1
US20020076492A1 US09/850,454 US85045401A US2002076492A1 US 20020076492 A1 US20020076492 A1 US 20020076492A1 US 85045401 A US85045401 A US 85045401A US 2002076492 A1 US2002076492 A1 US 2002076492A1
Authority
US
United States
Prior art keywords
precursor
wafer
pressure
vapor
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/850,454
Inventor
James Loan
Jack Salerno
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CVD Systems Inc
Original Assignee
CVD Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/291,871 external-priority patent/US6663716B2/en
Application filed by CVD Systems Inc filed Critical CVD Systems Inc
Priority to US09/850,454 priority Critical patent/US20020076492A1/en
Publication of US20020076492A1 publication Critical patent/US20020076492A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • F16K31/1221Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston one side of the piston being spring-loaded
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/126Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like
    • F16K31/1262Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like one side of the diaphragm being spring loaded
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations

Definitions

  • Chemical vapor deposition is a process of forming a film on a substrate, typically, by generating vapors from liquid or solid precursors and delivering those vapors to the surface of a heated substrate where the vapors react to form a film.
  • Systems for chemical vapor deposition are employed in applications such as semiconductor fabrication, where CVD is employed to form thin films of semiconductors, dielectrics and metal layers.
  • Three types of vapor delivery systems commonly used for performing CVD include bubbler-based systems, liquid-mass-flow-control systems, and direct-liquid-injection systems.
  • Bubbler-based systems essentially bubble a stream of gas through a heated volume of liquid precursor.
  • vapors from the liquid precursor are absorbed into the gas stream.
  • This mixture of gases is delivered to a process chamber, where the precursor vapor reacts upon a surface of a heated substrate.
  • Bubblers typically heat the volume of liquid precursor at a constant temperature. Over time, the constant heat often causes the precursor to decompose rendering it useless for CVD. In an effort to minimize decomposition, the bubbler is typically maintained at a temperature lower than that at which the vapor pressure of the liquid precursor is optimal.
  • Liquid mass flow control systems attempt to deliver the precursor in its liquid phase to a vaporizer typically positioned near the substrate.
  • the precursor is vaporized and is then typically entrained in a carrier gas which delivers it to the heated substrate.
  • a liquid mass flow controller which is a thermal mass flow controller adapted to control liquids, is used to measure and control the rate of flow of liquid precursor to the vaporizer.
  • Liquid mass flow controllers present a number of drawbacks.
  • most liquid mass flow controllers cannot operate at temperatures above 40° C., a temperature below which some precursor liquids, such as tantalum pentaethoxide (TAETO), have high viscosity. Due to its sensitivities, the liquid flow controller is accurate and repeatable to about 1% of full-scale liquid flow. Further, when a liquid mass flow controller wetted with TAETO or one of a number of other precursors is exposed to air, the precursor will generally react to produce a solid which may destroy the liquid flow controller.
  • TAETO tantalum pentaethoxid
  • Liquid pump-based systems pump the liquid precursor to the point of vaporization, typically at a position near the heated substrate.
  • Liquid pump-based systems are generally one of two main types. One type uses a liquid flow meter in line with a high-pressure liquid pump. The other type uses a high-precision, high-pressure metering pump. Both of these systems are extremely sensitive to particles in the liquid. The liquid-flow-meter based system is also sensitive to gas dissolved in the liquid. Both are extremely complex to implement, and neither can tolerate high temperatures (maximum 50° C.). The system with the metering pump has difficulty vaporizing high viscosity liquids. Finally, both are generally difficult to implement in a manufacturing environment due to their extreme complexity and large size.
  • the present invention relates to systems and methods for chemical vapor deposition for the fabrication of materials and structures for a variety of applications.
  • the system is well suited for use in the fabrication of devices for the semiconductor industry, but can also be used in other applications involving thin film deposition and processing.
  • the system can be used for precision manufacture of optical thin films such as anti-reflective coatings or stacked dielectric structures including optical filters, diamond thin films or composite structures for multichip modules or optoelectronic devices.
  • An apparatus of this invention includes a vaporizer within a vaporization chamber and a dispenser positioned for dispensing a precursor to the vaporizer.
  • a delivery conduit joins the vaporization chamber with a process chamber, where a chemical vapor is deposited on a substrate.
  • a flow meter is positioned to measure vapor flow through the delivery conduit, and a flow controller is positioned to control vapor flow through the delivery conduit. Both the flow meter and flow controller are communicatively coupled with a processor programmed to control the flow controller to govern vapor flow through the delivery conduit in response to the measured vapor flow.
  • the flow meter includes a tube with a pair of open ends, which acts as a laminar flow element.
  • the flow meter further includes a pair of capacitance manometers aligned with the open ends of the tube to measure the pressure drop across the laminar flow element.
  • the flow controller is a proportional control valve in communication with the flow meter.
  • a still further preferred embodiment of the apparatus includes a reservoir for supplying precursor to the dispenser.
  • the dispenser is controlled by the processor and the vaporizer which receives precursor from the dispenser includes a heated surface for vaporizing the precursor.
  • a pressure sensor communicatively coupled with the processor is positioned in the vaporization chamber. Accordingly, the processor can, in some embodiments, control the rate at which vapor is generated by the vaporizer, by, for example, controlling the rate at which the dispenser dispenses precursor from the reservoir to the vaporizer.
  • an outlet of the delivery conduit is positioned in the process chamber, and a showerhead divides the process chamber into an upstream section and a downstream section, wherein the outlet is in the upstream section and a substrate chuck is in the downstream section.
  • An upstream pressure sensor is positioned to measure vapor pressure in the upstream section, and a downstream pressure sensor is positioned to measure vapor pressure in the downstream section. Both the upstream and downstream pressure sensors are communicatively coupled with a processor.
  • the showerhead is “active,” enabling control over the vapor flow rate through the showerhead.
  • a heater in thermal contact with the delivery conduit a DC or AC source connected to the substrate chuck, and an elevator for raising and lowering the substrate chuck.
  • a cluster tool for semiconductor processing including a CVD apparatus, described above, connected to a central wafer handler.
  • a precursor is vaporized in a vaporization chamber, vapor flow between the vaporization chamber and a process chamber is measured, and the rate of vapor flow between the vaporization chamber is controlled in response to the measured vapor flow.
  • the vapor pressure of a precursor is measured, and the rate at which the precursor is vaporized is controlled in response to the measured vapor pressure, preferably by controlling the rate at which precursor is dispensed from a reservoir onto a vaporizer.
  • deposition occurs via a surface-driven reaction. Nevertheless, embodiments of the invention also cover methods where deposition occurs via non-surface driven reactions.
  • the invention also includes the vaporization subsystem, alone (i.e., without the vapor-flow-control subsystem or process subsystem).
  • the vaporizer has a sloped surface, preferably in the approximate shape of a dome or cone. More specifically, the vaporizer can be in the form of a stepped cone.
  • the invention also includes embodiments where a plurality of vaporizers are coupled to a process chamber. Each vaporizer can be coupled to a respective vapor-flow-control subsystem. This embodiment enables multiple depositions to be performed using different precursors in a single process chamber. Specific precursors that are preferred for use with this invention include copper sources, tantalum sources, titanium sources and silicon sources. Another method achievable with the apparatus of this invention is the deposition of silica by reacting TEOS and N 2 O at temperatures less than 600° C.
  • Another aspect of the invention includes a unitary metal block with a through-bore through which the vaporized precursor flows from the vaporization chamber to the process chamber.
  • the block includes a laminar flow element mounted in the through-bore and a plurality of bores through which pressure sensors, a proportional control valve and heaters are mounted.
  • the systems and methods of this invention provide numerous benefits. First, they allow the precursor to be delivered to the substrate in a much purer and higher-concentration or high-flux form than is achievable with the use of systems that use a carrier gas. As a result, the likelihood of gas-phase reactions and consequent formation of particles can be greatly reduced. Because of the higher concentration, which leads to a higher deposition rate, this invention does not necessitate the introduction of plasma into the process chamber. Consequently, the apparatus is simplified, and plasma-induced polymerization of precursor is reduced or eliminated. Second, control over the concentration of precursor delivered to the process chamber is enhanced, thereby improving control over film thickness and uniformity.
  • the direct delivery of vapor flow into the process chamber at low temperature and low pressure and without a carrier gas increases the efficiency of use of costly precursors in many applications by a factor of up to 10 or more over standard systems utilizing a carrier gas, which infer precursor vapor flow rates either from a theoretical pickup rate, which is carrier-gas and temperature dependent, or from a thermal mass-flow controller or liquid delivery system.
  • emissions of unreacted process gases from the process chamber can be maintained at very low levels because the absence of a carrier gas and generally lower flow rates and better residence times leads to a higher utilization efficiency of the precursor.
  • decomposition of the precursor is limited due to its short contact time with the heated vaporizer.
  • FIGS. 1A and 1B provide a schematic illustration of an apparatus of this invention.
  • FIGS. 1C and 1D provide a schematic illustration of another embodiment of an apparatus of this invention.
  • FIG. 2 a is a cross-sectional illustration of a vaporization subsystem of this invention.
  • FIG. 2 b is a cross-sectional illustration of another embodiment of a vaporization subsystem of this invention.
  • FIG. 2 c is a schematic illustration of a control system of this invention.
  • FIG. 2 d is an illustration, partially schematic, of an apparatus of this invention, including a plurality of vaporization subsystems coupled to a single process chamber.
  • FIG. 2 e is an illustration of a stepped vaporizer of this invention.
  • FIG. 3 a is an illustration of a vapor-flow-control subsystem of this invention.
  • FIG. 3 b is an illustration of another embodiment of a vapor-flow-control subsystem of this invention.
  • FIG. 3 c is a side view of a plurality of vapor-flow-control subsystems of the apparatus shown in FIG. 2 d.
  • FIG. 3 d is a chart of a representative vapor pressure in a vaporizer of this invention versus time.
  • FIG. 3 e is a chart of a representative vapor pressure exiting a vapor-flow-control subsystem of this invention.
  • FIG. 4 a is a view, partially in cross section, of a process subsystem of this invention.
  • FIG. 4 b is a cross-sectional view of another embodiment of a process subsystem of this invention, with the substrate chuck in a retracted position.
  • FIG. 4 c is a cross-sectional view of the embodiment of FIG. 4 b , with the substrate chuck raised to a processing position.
  • FIG. 4 d is another cross-sectional view of the embodiment of FIG. 4 b , with the substrate chuck in a fully-extended position.
  • FIG. 5 a is an illustration of a shower head of this invention.
  • FIG. 5 b is a top view of a replaceable showerhead mounted within a ring.
  • FIG. 5 c is a cross-sectional side view of the showerhead and ring illustrated in FIG. 5 b.
  • FIG. 5 d is an illustration of a typical deposited layer formed in a cavity via PVD processes.
  • FIG. 5 e is an illustration of a typical deposited layer formed in a cavity via conventional CVD processes.
  • FIG. 5 f is an illustration of a deposited layer that can be formed with the apparatus and method of this invention.
  • FIG. 5 g is a graph of pressure differential across a chuck versus the position of the chuck in a process chamber of this invention.
  • FIGS. 6 a , 6 b and 6 c are perspective views of one embodiment of the CVD apparatus of this invention.
  • FIG. 7 illustrates the control architecture of a CVD apparatus according to one embodiment of the invention.
  • FIG. 8 illustrates the main process control routine according to one embodiment of the invention.
  • FIGS. 9 a and 9 b illustrate the operation of the vaporizer sub-process according to one embodiment of this invention.
  • FIG. 10 illustrates the processing performed by the vapor phase flow control sub-process according to one embodiment of this invention.
  • FIG. 11 illustrates a process chamber pressure control sub-process according to this invention.
  • FIGS. 12 a through 12 d illustrates the operation of inserting a wafer into the process chamber of one embodiment of this invention.
  • FIG. 13 illustrates the cleanup sub-process according to one embodiment of this invention.
  • FIG. 14 illustrates an example portion of a schematic showing the closed loops present in a CVD apparatus according to one embodiment of the invention.
  • FIG. 15 is an illustration of a cluster tool embodiment of this invention.
  • FIG. 16 illustrates multiple cluster tools configured to be controlled by a single factory automation controller according to this invention.
  • FIG. 17 illustrates multiple cluster tools, each controlled by separate cluster tool controllers which are in turn controlled by a factory automation controller according to this invention.
  • FIG. 18 illustrates an example of the processing steps performed by a cluster tool controller according to one embodiment of this invention.
  • FIG. 19 is a graph of CVD source vapor pressure curves for various sources versus temperature.
  • FIG. 20 is a graph showing the refractive index of a silica layer at various positions across the surface of a wafer formed by methods of this invention.
  • FIG. 21 is a graph showing the thickness of a silica layer at various positions across the surface of a wafer formed by methods of this invention.
  • FIG. 22 is a schematic illustration of a cluster tool for gate oxide deposition.
  • FIG. 23 is a schematic illustration of a cluster tool for depositing aluminum and copper metallization films.
  • FIG. 24 is a graph of vapor pressure versus source temperature for several copper sources.
  • FIG. 25 is a chart illustrating a relative cost comparison of copper sources.
  • FIG. 26 is a cross-sectional illustration of a device for microelectronics applications.
  • a preferred embodiment of a CVD apparatus 10 of this invention includes four integrated subsystems, including a vaporization subsystem 12 , a vapor-flow-control subsystem 14 , a process subsystem 16 , and an exhaust subsystem 18 .
  • a distributed processing system described below, provides integrated control and management of each of these subsystems.
  • the distributed processing system and each of the subsystems 12 , 14 , 16 and 18 are all within a single free-standing CVD module 10 (illustrated in FIGS. 6 a - c ).
  • the dimensions of the CVD module generally will not exceed a 1 m by 2 m footprint and preferably are no greater than about 1.2 m in length by about 0.6 m in width by about 1.8 m in height to achieve conformity with existing standards for integration with a wafer handler such that the free-standing CVD module can fit within the typically allotted footprint in a larger cluster tool configuration.
  • the CVD module fits within a standard footprint, as established by MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers, for connection to a wafer handler or transport module.
  • SEMI Semiconductor Equipment and Materials International
  • Each of boxes 103 , 105 , 107 , 109 , 111 , 113 , 115 , 117 and 119 represents a separate control zone.
  • Each of the control zones is independently heated with a separate cartridge heater 121 .
  • the temperature in each control zone and at other heated elements of the apparatus is monitored by a resistance temperature detector or resistance thermometry detector (RTD) 131 , of which one embodiment is a platinum resistance thermometer.
  • the RTD is preferably encapsulated by a silicon nitride coating because of the heat conduction and low thermal mass of the silicon nitride.
  • thermocouples or other temperature sensing devices can be used in place of the RTD's.
  • the vaporization subsystem 12 illustrated in FIG. 2 a, is designed to generate a controlled supply of precursor vapor for deposition.
  • the precursor initially in liquid or solid form, is stored in a reservoir 20 fabricated from Inconel® or Inconel® alloys, such as Incoloy® 850 (available from Inco Alloys International, Inc., Huntington, W. Va.).
  • the reservoir 20 is formed of 316L stainless steel.
  • a funnel 22 is provided at the base of the reservoir 20 , with a dispenser in the form of a dispensing valve 24 at the focal point of the funnel 22 for dispensing precursor from the reservoir 20 .
  • the dispensing valve 24 is an axial displacement pulse valve.
  • the dispensing valve 24 is a rotary valve.
  • the reservoir 20 is thermally insulated from the vaporization chamber 26 , discussed below, and is maintained at a temperature below that at which the precursor will be subjected to significant decomposition.
  • multiple reservoirs 20 are provided, each filled with a different precursor and each feeding into the vaporization chamber 26 . As each precursor is needed, the appropriate reservoir 20 can be utilized. Alternatively, multiple reservoirs 20 each feed into their own vaporization chamber.
  • a system for governing the supply of various precursors to a cluster tool 120 having one or more vaporization chambers 26 is illustrated in FIG. 2 c.
  • a cluster tool controller 802 is controlled by a programmable host computer or data processor 804 , which sends high-level commands to a process module to govern the deposition process, including regulation of the delivery of precursors 806 , 808 , 810 for the deposition of titanium nitride, copper, and aluminum, respectively, for example.
  • the cluster tool controller 802 is further programmed by the host computer 804 to regulate a pair of modules for annealing/diffusion 812 , 814 and a separate module for pre-heating and pre-cleaning 816 .
  • a bus architecture that can include, for example, a ProfiBus data bus 818 in combination with an EtherNet/Epics data bus 820 .
  • EtherNet/Epics data bus 820 Connected to the EtherNet/Epics data bus 820 is the cluster tool 120 , allowing the cluster tool controller 802 to likewise govern operation of the cluster tool 120 to which the precursors from modules 806 , 808 , 810 are delivered.
  • the system further includes a console for monitoring operation of the system 822 and a console for system maintenance 824 . Both consoles 822 and 824 are connected to the cluster tool controller 802 .
  • the cluster tool controller 802 can, in relatively rapid sequence, select various precursors from module 808 , 810 and 812 for delivery to one or more vaporization chambers 26 (FIG. 2 a ).
  • This capability allows for a sequencing of starting materials in a single system, thereby allowing for a rapid sequence of depositions of different layers on a substrate in process modules of the cluster tool 120 . Additional details regarding the various components of FIG. 2 c, alternative embodiments thereof, and methods of using the same are described in greater detail below.
  • a vaporizer 28 that has ever-increasing surface area at distances away from the dispensing valve 24 (e.g., the vaporizer having the approximate form of a cone or a dome) is used to vaporize the precursor.
  • the vaporizer 28 functions as a falling film molecular still, in which a liquid precursor generates a wavefront flowing down the surface of the vaporizer 28 .
  • the temperature of the vaporizer 28 is set to vaporize the precursor over the course of its travel across the vaporizer 28 surface. Contaminants with higher vaporizing temperatures will generally flow down the surface of the vaporizer 28 and fall off without vaporizing.
  • the vaporizer 28 is in the form of an inverted cone and is positioned to receive precursor flowing from the dispensing valve 24 .
  • the vaporizer 28 is made from a thermally-conductive material coated or plated, as required, for the best chemical compatability with the precursor.
  • the vaporizer 28 includes an electroless-nickel-plated OFHC substrate coated with a sulphamate nickel overplate, which in turn is optionally coated with rhodium overplating for very high corrosion resistance and inertness.
  • the vaporizer 28 illustrated in FIGS. 1A and 1B is designed for vaporizing a liquid precursor.
  • each step 171 is 0.050 inch, and the distance from the apex 173 to the first step 171 ′ is 0.75 inch.
  • One suitable embodiment of the vaporizer 28 illustrated in FIGS. 2 a and 2 b includes a cone with a height of 4.20 inches and a base diameter of 3.70 inches.
  • the vaporizer 28 and the reservoir 20 are removable so that they can be cleaned and replaced during scheduled maintenance.
  • the vaporizer 28 is heated to a temperature sufficient to vaporize the precursor without causing it to suffer thermal decomposition.
  • the vaporizer 28 includes a plurality of bores 29 .
  • Heaters e.g., Watt-Flex® cartridge heaters 90 (available from Dalton Electric Heating Co., Inc., Ipswich, Mass.) are inserted into four of these bores 29 .
  • the heaters are 3.0 inches in length and 0.25 inches in diameter.
  • the heaters supply 50 watts at 24-25 VAC, and can be heated above 1000° C. Typically, though, the heaters are operated in the vicinity of 200° C. Depending on the precursor, though, the vaporizer can be operated at least up to 250-300° C.
  • a platinum resistance thermometer is inserted into a central bore 31 .
  • the vaporizer 28 is not intended to be used as a “flash vaporizer.” Rather, it is intended that the precursor will spread across the vaporizer 28 surface, from which vapors will evolve.
  • the vaporizer 28 offers the advantage of not being sensitive to small particles suspended in standard grades of liquid CVD precursor used in the semiconductor industry. In this embodiment, suspended particulates are left behind on the vaporizer 28 .
  • a vaporization chamber 26 surrounds the vaporizer 28 and is made of OFHC copper plated with electroless nickel and sulfamate nickel and also rhodium if highly reactive or unstable precursors are used.
  • the vaporization chamber 26 includes a principal cylinder 30 and a vapor outlet 32 .
  • the vaporization chamber 26 essentially serves as an expansion volume and reservoir for vapors produced by the vaporizer 28 .
  • a pressure sensor 34 is preferably positioned in the vapor outlet 32 for measuring the vapor pressure in the vaporization chamber 26 .
  • the pressure sensor 34 can be positioned in the principal cylinder 30 .
  • the pressure sensor 34 is heated to about the same temperature as the vaporizer 28 during operation to prevent condensation of the vaporized precursor.
  • the pressure sensor 34 is coupled in a processor-driven control loop with the dispenser 24 to achieve a fairly constant pressure in the vaporization chamber 26 . Because vapor flow in this system is driven by pressure differentials, the pressure in the vaporization chamber 26 is maintained above that in the process chamber 70 . As pressure drops in the vaporization chamber 26 , the dispenser 24 is signaled to dispense more precursor.
  • the pressure sensor 34 and dispenser 24 work in concert to maintain the pressure in the vaporization chamber in a range between the pressure in the process chamber 70 , discussed below, and the standard vapor pressure of the precursor at the temperature of the vaporizer.
  • the response time for reestablishing the desired vapor pressure is typically about 10 seconds.
  • the pressure sensor 34 is a capacitance manometer with a 1000 torr fill-scale range, or other, similar direct-measuring gauge.
  • FIG. 2 b illustrates an alternative embodiment of the vaporization subsystem in which the base 21 of the neck 23 includes a groove, where the base is hollowed out to prevent thermal degradation of the precursor as it flows down rod 33 on the way to the vaporization chamber 26 .
  • Heat from the vaporizer 28 travels through the walls of the vaporization chamber 26 and into the neck 23 .
  • the hollowed out section extends approximately midway up the neck 21 . It ends at angled surface 27 , above which the inner diameter of the neck is constricted. Vapor flowing up into the hollowed out section is prone to condense on angled surface 27 , which directs condensed vapors back toward rod 33 .
  • the vapor pressure throughout the system is maintained at relatively low levels.
  • One reason why the system can be operated at low pressure levels is the close physical proximity of all of the subsystems. Accordingly, the vapors need travel only very short distances from vaporization to deposition. Because the vapor pressure and the velocity of the vapor are low, the transport of particles throughout the system is significantly reduced in comparison to higher pressure systems, such as those which use a carrier gas.
  • FIG. 2 d a plurality of vaporization subsystems similar to that illustrated in FIGS. 2 a or 2 b can be coupled to a single process chamber 70 through respective vapor-flow-control subsystems, as shown in FIG. 2 d.
  • the apparatus of FIG. 2 d includes three vaporization subsystems 12 , 12 ′, 12 ′′, three vapor-flow-control subsystems 14 , 14 ′, 14 ′′ and a process chamber 70 .
  • Each of the components are covered with a layer of thermal insulation 199 to allow each element to operate thermally independent of one another.
  • each of the vaporization subsystems 12 , 12 ′, 12 ′′ can feed to a single vapor-flow-control subsystem for delivery to the process chamber 70 .
  • the lines 175 leaving each vapor-control-subsystem 14 , 14 ′, 14 ′′ are merged to form a single input into the process chamber 70 .
  • one or more lines 175 ′ can form completely separate inputs to the process chamber; such an embodiment is advantageous where the lines 175 are heated to different temperatures to prevent condensation therein or where vapors in the different lines 175 can react with one another.
  • each vaporizer is aligned with a dispenser filled with a different precursor.
  • the benefit of employing a plurality of vaporization chambers 12 , 12 ′, 12 ′′ is that each can be used to generate a distinct vapor that can be deposited in sequence on the substrate. Accordingly, multiple layers of differing compositions can be deposited on the substrate without ever moving the substrate from its position in the process subsystem 16 .
  • an apparatus used for forming stacked gate dielectrics has one vaporization subsystem 12 with a reservoir 20 filled with TEOS for forming a silica deposit, a second vaporization subsystem 12 ′ having a reservoir 20 ′ filled with TAETO for forming a tantalum oxide deposit, and a third vaporization subsystem 12 ′′ having a reservoir 20 ′′ filled with TiBr 4 or TDEAT for forming titanium nitride.
  • the process commences with the generation of TEOS vapor in the first vaporization subsystem 12 .
  • the TEOS vapor is reacted with N 2 O to form a low-k dielectric film (SiO 2 ) on a heated semiconductor wafer.
  • a low-k dielectric film SiO 2
  • Background discussion of deposition of silicon dioxide from TEOS/N 2 O mixtures is provided in D. Davazoglou, “Thermodynamic Study, Composition, and Microstructure of Low-Pressure Chemical Vapor Deposited Silicon Dioxide Films Grown from TEOS/N 2 O Mixtures,” 145 J. Electrochem. Soc. 1310 (April 1998), which is incorporated herein by reference in its entirety.
  • the TEOS dispenser shuts off and vaporization commences in the second vaporization chamber 12 ′, where TAETO vapor is generated and delivered to the process chamber 70 , where the TAETO vapor is reacted with N 2 O to form a high-k dielectric film, tantalum oxide (Ta 2 O 5 ), on the first (SiO 2 ) dielectric film.
  • TiBr 4 or TDEAT vapor is generated in the third vaporization chamber 12 ′′ and reacted with ammonia (NH 3 ) to form a very thin titanium nitride (TiN) deposit, which serves as a capping material for the tantalum oxide layer.
  • NH 3 ammonia
  • TiN titanium nitride
  • the wafer can then be removed from the chamber.
  • the step of depositing TiN can be performed in a separate process chamber.
  • the bodies of the vapor-flow-control subsystems 14 , 14 ′, 14 ′′ illustrated in FIG. 2 d are formed of an aluminum block 197 with a flow path bored out of the interior. Bores in the aluminum block 197 are also formed for accommodating heaters and components that are exposed to the flow paths, such as pressure sensors 34 , 48 , 50 and valves 42 , 44 , 159 , 58 , with fittings machined into the aluminum block 197 for mounting each of the components. As in previously-described embodiments, a laminar flow element is mounted between the pressure sensors in the through-bore through which the vaporized precursor flows. By forming the structure from a single, unitary block of material, temperature uniformity throughout the vapor-flow-control subsystem is promoted. Alternatively, the aluminum block 197 can be formed of stainless steel or other material that does not react with the precursor vapor.
  • FIG. 3 a Another embodiment of a vapor-flow-control subsystem 14 is illustrated in FIG. 3 a .
  • All items in the vapor-flow-control subsystem 14 are enclosed in a heated conductive sheath, preferably of aluminum, which heats the items to approximately the same temperature as the vaporizer 28 .
  • the conductive sheath has a 3-inch by 3-inch square cross-section with a bore of just over 1-inch diameter in the center to accommodate the delivery conduit 40 .
  • the conductive sheath includes casts of pressures sensor 48 , 50 and other instruments, allowing the conductive sheath to conform to the exterior shape of the vapor-flow subsystem.
  • the conductive sheath includes bores into which heaters, e.g., Watt-Flex® cartridge heaters and temperature sensors, are inserted.
  • a delivery conduit 40 joins the vaporization chamber 26 and the process chamber 70 . Preferably neither the length of the delivery conduit 40 nor the distance between the vaporization chamber 26 and the process chamber 70 exceeds 25 cm.
  • a series of valves controls the flow of vapor between chambers 26 , 70 .
  • An isolation valve 42 seals the vaporization chamber 26 from the delivery conduit 40 . In one embodiment the isolation valve 42 is an HPS Lopro® valve modified to operate at high temperatures.
  • all elastomer seals are a special high temperature material, such as CHEMRAZ E 38 seals (or other CHEMRAZ seals, depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pa., U.S.A.).
  • DuPont KALREZ 8101 , SAHARA or DRY seals may also be used but have been observed to offer poorer thermal stability relative to the CHEMRAZ seals.
  • These o-ring seals preferably have dimensions meeting the standards established by the International Organization for Standardization (ISO 2861/1).
  • a proportional control valve 44 (for example, those made by MKS Instruments, Andover, Ma.) designed to withstand high temperatures, provide high conductance and provide chemical compatibility with wet precursors is illustrated in FIG. 2 a.
  • a plurality of valves 44 ′ connected in parallel, as illustrated in FIG. 1A, can be used in place of a single proportional control valve 44 .
  • the proportional control valve 44 is positioned downstream from the isolation valve 42 and is upstream from a flow meter 46 consisting of a pair of pressure sensors 48 , 50 and a laminar-flow element 54 .
  • the laminar-flow element is an open-ended tube 54 inserted through an orifice in an otherwise solid block 56 blocking flow through the delivery conduit 40 .
  • the tube 54 has a length of 8.0 inches, an outer diameter of 0.375 inches, and an inner diameter of 0.280 inches.
  • the tube 54 is oriented concentrically with and within the delivery conduit 40 .
  • the pressure drop across the tube 54 , as vapor flows through the delivery conduit 40 is on the order of 0.1 torr.
  • the delivery conduit 40 has an internal diameter (I.D.) that is larger than that of pipes conventionally used for vapor precursor delivery in existing CVD systems.
  • I.D. internal diameter
  • the internal diameter of delivery conduit 40 is between 12 and 40 mm. More preferably, the internal diameter is about 25 mm.
  • the use of such a wider-I.D. conduit for vapor transport between the vaporization chamber 26 and the process chamber 70 permits higher conductance for the vapor flow therein and, consequently, allows for adequate vapor flow at lower pressures.
  • the vaporized precursor is delivered to the process chamber 70 through conduit 40 at no more than 50% dilution.
  • the vaporized precursor is delivered to the process chamber in a substantially undiluted state (i.e., less than 10% dilution). In further preferred embodiments, the vaporized precursor is delivered in an intrinsically pure form. Additional conduits 141 can also be provided to deliver vaporized precursors from other vaporizers to the process chamber 70 .
  • Each of a pair of pressure sensors 48 , 50 preferably capacitance manometers, is respectively aligned with an open end 57 / 59 of the tube 54 . Accordingly, the difference in pressure measurements from the two pressure sensors 48 , 50 will reflect the pressure drop across the tube 54 , thereby allowing the rate of vapor flow through the tube 54 to be calculated.
  • a capacitance manometer is an electronic gauge providing a direct measurement of pressure in the delivery conduit 40 . Where capacitance manometers are used, each manometer preferably has the same full-scale range, typically 10 torr.
  • Suitable capacitance manometers include a specially-constructed Baratron® 121-based absolute pressure transducer (available by special order from MKS Instruments) and the model 622 Barocel® bakeable vacuum/pressure transducer (available from Edwards High Vacuum International, Wilmington, Ma.).
  • the Baratron® transducer is specially built and calibrated to operate at 200° C., in comparison to a standard Baratron® transducer, which is typically limited to 150° C.
  • the transducers are modified to remove all unnecessary mass and to promote uniform temperature distribution across the transducer. Accordingly, as a first step, the cover or shell of the transducer is removed. To do so, the cables attached to the Baratron® transducer are removed, the shell of the transducer is removed and discarded, and the cables are shortened and reattached. The housing support ring is also removed and discarded. Further, the port of the transducer is removed. Its length is machine cut, and it is then reattached. The electronics of the transducer are then re-calibrated to match the changed capacitance of the modified transducer. While the Barocel® transducer is available, off the shelf, for use at 200° C., as with the Baratron® transducer, the case of the Barocel® transducer is removed, and its cables are removed and replaced.
  • the solid block 56 surrounding the laminar flow element 54 extends further toward the ends of the laminar flow element 54 .
  • the volume of open volume surrounding the laminar flow element 54 is reduced. This open volume is generally considered to be “dead space.” Reduction of this dead space is thought to provide a more direct and efficient flow path through the delivery conduit 40 .
  • all or nearly all dead space is removed as the block 56 and the laminar flow element 54 essentially form a single tubular component such that vapor flowing through the conduit 40 will hit a wall at the capacitance manometer 48 and be directed through a bore, which acts as the laminar flow element 54 , within that wall.
  • FIG. 3 b Also shown in FIG. 3 b is a heated aluminum sheath 55 , which is in thermal contact with the delivery conduit 40 and other components of the precursor delivery system.
  • the proportional control valve 44 is coupled with the flow meter 46 in a processor-driven control loop to regulate the flow of vapor through the delivery conduit 40 . Accordingly, the flow meter 46 provides feedback regarding the pressure differential in the delivery conduit 40 , and this feedback is used to direct the proportional control valve 44 to increase or decrease flow, which in turn, will respectively increase or decrease the pressure differential in the delivery conduit 40 , as measured by the flow meter 46 . This responsive regulation of the proportional control valve 44 is continued until the pressure differential, as measured by the flow meter 46 , matches that which is needed to supply the precursor at the desired rate for reaction in the process chamber 70 .
  • a single differential pressure transducer capacitance manometer which measures a pressure drop across the laminar flow element, can be used along with a single absolute pressure transducer in place of the pair of capacitance manometers.
  • Other alternative means for inducing a predictable pressure drop include a choked flow element or a molecular flow element in place of the laminar flow element.
  • the vapor-flow-control subsystem 14 further includes a second isolation valve 58 , e.g., an HPS Lopro® valve modified for high temperatures, positioned downstream from the flow meter 46 .
  • a second isolation valve 58 e.g., an HPS Lopro® valve modified for high temperatures. Charts showing representative vapor pressure over time at the inlet of the vapor-flow-control subsystem 14 of this invention is provided in FIG. 3 d, while representative source flow at the outlet of the vapor-flow-control subsystem 14 is provided in FIG. 3 e.
  • a process gas subsystem 150 supplies additional reactant, purge and other process gases to the process chamber 70 .
  • the illustrated subsystem 150 includes sources of argon 152 , helium 154 , and nitrous oxide (N 2 O) 156 . Gas flow from each of these sources is regulated by a plurality of valves 162 / 164 / 169 and 161 / 163 / 168 with a mass flow controller 165 / 166 / 167 .
  • nitrous oxide from source 156 flows through valve 157 into process chamber 70 through exit port 143 for reaction with the vaporized precursor delivered through delivery conduit 40 .
  • Other gas sources or reactants may be used for deposition of other specific materials.
  • argon from source 152 flows through valve 157 into process chamber 70 to purge the chamber 70 .
  • valve 160 By opening valve 160 in conjunction with at least one of valves 155 , 158 or 159 , particular subsystems or segments of CVD apparatus 10 can be independently isolated and evacuated or backfilled. Additional reactant sources, including plasma-ionized gas can be linked into the process gas subsystem in parallel and with or without the nitrous oxide for delivery to the process chamber 70 .
  • Helium from source 154 is delivered through valve 157 into process chamber 70 , where it is channeled through a conduit for release between a substrate chuck 74 and a substrate 88 upon which vapors are deposited to improve the transfer of heat between the substrate chuck 74 and the substrate 88 .
  • the process subsystem 16 is designed to perform the actual deposition of reacted precursor vapor onto a substrate.
  • the process subsystem 16 illustrated in FIG. 4 a , includes a process chamber 70 , a showerhead 72 and a substrate chuck 74 .
  • the process chamber 70 typically is formed of electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is operated between 50° C. and 300° C.
  • the process chamber 70 includes an access port 123 , which can be joined to a wafer handler or cluster tool for transporting wafers into and out of the process chamber 70 .
  • a gate valve 125 is mounted to the access port 123 for controlling access there through.
  • the process chamber 70 further includes an inlet port 76 in an upstream section 78 of the chamber 70 and an exhaust port 80 in a downstream section 82 of the chamber 70 through which vapor flow is managed.
  • An outlet of the delivery conduit 40 projects into the chamber 70 through the inlet port 76 , while the exhaust port 80 is connected to the exhaust subsystem 18 .
  • a pressure sensor 51 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the upstream section 78 .
  • At least one other pressure sensor 53 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the downstream section 82 .
  • a showerhead 72 segregates the process chamber 70 into upstream and downstream sections 78 , 82 .
  • the showerhead 72 comprises electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is in the form of a flat, circular plate with passages 84 for gas flow.
  • the showerhead 72 is either passive, as illustrated in FIG. 4 a , or active.
  • An “active” showerhead is a showerhead which undergoes a change to alter the rate at which vapors flow through it.
  • the active showerhead includes an array of phase-change eutectic milliscale valves in place of the small holes 84 illustrated in FIG. 5 a .
  • valves which are available from TiNi Alloy Company (San Leandro, Calif.), are made of a thermal-phase-change material comprising a micromachined titanium and nickel alloy.
  • the valves which, in one embodiment, are about 0.1 inch in diameter, can be formed in situ on the showerhead plate en masse. The valves open when current is applied. The valves react in milliseconds, so they can be used in real time. They can also be used to effect dynamic patterns of valve actuation, e.g., sweeping action, pulsing, spots, etc.
  • the showerhead 72 is a smaller plate with a diameter approximating that of the wafer 88 .
  • This embodiment is shown from a top view in FIG. 5 b and, in cross-section, from a side view in FIG. 5 c .
  • the showerhead 72 is replaceably fitted into a larger ring 73 and is no larger than a confined process volume, described below. Accordingly, various showerheads may be exchanged in the larger ring for use with different sized wafers and with different process conditions.
  • the use of smaller showerheads reduces cost, provides greater flexibility in processing, and concentrates the flow of process gases exclusively into the volume immediately above the substrate 88 .
  • a substrate chuck 74 positioned in the downstream section 82 , comprises electroless-nickel-plated OFHC copper, with an electroplated sulphamate nickel overplate, and, optionally, an overcoat of a flame-sprayed aluminum oxide or other, similar insulating ceramic.
  • the substrate chuck 74 is secured with Hastalloy screws and lock washers and is designed to hold a substrate 88 upon which the precursor is to be reacted.
  • the substrate chuck 74 includes a plurality of bores 75 radiating outward and into the substrate chuck 74 .
  • a platinum resistance thermometer or thermocouple is inserted through one of the bores 75 to measure the temperature of the substrate chuck 74
  • the substrate chuck 74 is heated by Watt-Flex® cartridge heaters (available from Dalton Electric Heating Co., Inc., Ipswich, Ma.) inserted into the remaining bores 75 .
  • the heaters are 2 to 3 inches in length and 0.25 to 0.5 inches in diameter.
  • the heaters supply 225 watts at 208 VAC, and can be heated above 1000° C. Comparable wattage heaters at 24-25 VAC can alternatively be used.
  • the heaters are typically operated at a maximum of 650° C., and, more commonly, around 300-500° C.
  • temperatures are considerably lower than the temperatures to which a wafer is typically heated in conventional thermal CVD processes, i.e., 800-1300° C.
  • the substrate can be operated at lower temperatures is that the vaporized precursor is provided at higher concentrations at the wafer due to the absence of a carrier gas, the shorter delivery paths, and the higher conductance of the conduits.
  • the substrate can be heated by a laser, an ion beam, an electron beam and/or photon-assisted energy sources.
  • the substrate is heated to a temperature higher than the temperature of the walls of the process chamber.
  • a DC or AC bias is supplied to the substrate chuck 74 by a voltage source 79 .
  • the elevator shaft can also be biased in order to provide electrical bias across the substrate.
  • the electromagnetic field generated by the bias can influence the crystalline structure of the thin film as it grows on the substrate. It has been shown that an otherwise uniform film (with a lattice orientation of ⁇ 100 > for example) can be induced to grow in a different crystalline structure ( ⁇ 111 > for example). In some cases, a film is induced to grow in a gradient from one structure (e.g., ⁇ 100 >) to another (e.g., ⁇ 111 >) by applying either a DC or AC bias to the substrate 88 relative to the rest of the chamber.
  • a ceramic ring is used to electrically isolate the substrate chuck 74 from the process chamber 70 and other components within the process chamber 70 , which are held at ground.
  • a substrate 88 e.g., a silicon semiconductor wafer, is mounted on the substrate chuck 74 and is subject to the generated DC or AC bias.
  • a mask (or clamp) 94 extends down from the showerhead 72 and forms a ring which masks the outer 0.5 to 3.5 mm or more but more typically 1.5 to 2.0 mm from the edge of the substrate 88 .
  • the mask 94 also shrouds the edge of the substrate 88 and prevents CVD from occurring on the edge or underside of the substrate 88 .
  • the mask 94 is formed of a material having very low thermal conductivity to minimize heat loss to any area, other than the substrate, that is exposed to unreacted process gas.
  • the mask is formed of either Incoloy® 850, Elgiloy® (available from Elgiloy Ltd. Partnership, Elgin, Ill.) or molybdenum and, optionally, includes a coating of either aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ) or other, similar dielectric material.
  • the mask 94 is formed of ceramic. When the substrate chuck 74 is lowered, the mask 94 is suspended above the substrate 88 .
  • a flow shield 77 extends down from the showerhead 72 and forms a ring within which the substrate 88 is positioned.
  • the flow shield 77 channels the flow of reactant gases through the showerhead 72 and across the exposed face of the substrate 88 .
  • the substrate chuck 74 is raised and lowered by an elevator 96 , upon which the substrate chuck 74 is mounted.
  • the elevator 96 is electrically isolated.
  • the elevator 96 is powered by a stepping motor 97 , with the power being transmitted by a drive shaft 99 .
  • the position of the elevator 96 is continuously adjustable over a range from fully retracted to fully extended, providing a working stroke of about 70 mm.
  • the changing position of the substrate chuck 74 is measured by a linear voltage differential transformer 101 , which can measure the height of the elevator with sub-micron precision.
  • the substrate chuck 74 when raised and lowered by the elevator 96 , can be used as a throttle valve controlling the flow rate through the showerhead 72 .
  • the vertical position of the chuck 74 can also be changed to modify the microstructure and properties of the deposited film.
  • the differential in pressures measured by sensors 51 and 53 is charted in FIG. 5 g, wherein chuck position is measured in mils and pressure differential across the chuck is measured in torr. This pressure differential can be used to control or monitor the process.
  • the showerhead 72 , the mask 94 , the replaceable gettering ring 89 , the flow shield 77 and the substrate 88 are positioned to cooperatively define a confined process volume to which the vapor precursor and, if required, reactant gas are delivered and in which deposition will occur.
  • the diameter of this volume i.e., as defined by the mask 94
  • the height (or depth) of the volume is a function of the position of the elevator, which governs the height of the substrate 88 . This volume, where processing occurs, is much smaller than that of conventional CVD reactors and, consequently, improves the efficiency of deposition on the substrate.
  • deposition occurs when process gases contact the heated substrate 88 and react to form a solid thereon.
  • Deposition at the surface of the substrate can be rate-limited either by the rate of precursor transport or by the rate of reaction at the surface.
  • the limiting factor is the rate of precursor transport. Consequently, the rate of surface reaction will be sub-optimal and the vaporized or dissociated precursor will tend to react and deposit in a line-of-sight manner on the first hot surface that it contacts.
  • the use of a plasma causes vapor-phase reactions which also mitigate against conformal coverage on the surface.
  • the deposited layer 192 formed at the mouth of an etched cavity 194 in a substrate will grow much more quickly than will a layer 192 forming on more remote areas of the cavity 194 .
  • FIG. 5 d illustrates a typical deposited layer 192 formed via physical vapor deposition (PVD).
  • the deposited structure 192 has a similar pinched-off shape with very little deposit forming at the deeper regions of the cavity 194 . This imbalance results from the directional, line-of-sight deposition that is characteristic of PVD.
  • FIG. 5 f illustrates the approximate structure of a conformal deposit 192 that can be formed in accordance with the equipment described herein and in accordance with the method of this invention.
  • the pinching effect at the mouth of the cavity 194 is noticeably diminished because the deposition process is limited by the reaction kinetics at the surface rather than by the rate of precursor transport, with the resulting tendency for deposition to occur simultaneously and uniformly on all exposed surfaces of the substrate.
  • a plurality of pins engage the substrate chuck 74 through bores within the substrate chuck 74 .
  • the pins are cylindrical with rounded ends.
  • One such pin 74 a is illustrated in FIG. 4 a .
  • the pins are mounted to the base of the downstream section 82 of the process chamber 70 .
  • the substrate chuck 74 slides down the pins toward the base of the downstream section 82 .
  • the pins extend through the top surface of the substrate chuck 74 to lift the substrate 88 off the chuck 74 .
  • the substrate 88 can then be removed from the process chamber 70 by a robot arm.
  • a similar process, illustrated in FIGS. 12 a - d, is performed to place the wafer on the substrate.
  • each self-aligned pin 74 a is attached to the substrate chuck 74 by bellows 81 .
  • the bellows 81 provides a spring-like support because the free height of the bellows 81 is greater than the depth of the cavity in which it is mounted.
  • FIG. 4 b also illustrates a replaceable gettering ring 89 to mask the side of the chuck 74 from deposition.
  • the side of the chuck 74 which is heated throughout, typically is subject to an accumulation of deposits from unreacted precursors which do not react on the substrate 88 .
  • the ring 89 can be simply replaced without any damage to the chuck 74 and without requiring that the chuck 74 be replaced. Accordingly, use of the replaceable gettering ring 89 can greatly extend the useful life of the chuck 74 .
  • the replaceable gettering ring 89 also serves as a support for the substrate 88 when the pins 74 a are retracted. Accordingly the substrate 88 is not in physical contact with the substrate chuck 74 . Rather, a gap of about 0.015 inches (0.38 mm) exists between the substrate 88 and the chuck 74 . As noted, this gap is filled with helium gas which transfers heat between the chuck 74 and the substrate 88 . The mask 94 seals the gap at the edge of the substrate 88 , thereby containing the helium gas. The pressure of the helium gas between the substrate 88 and the chuck 74 is controlled, and the flow of helium is also monitored and/or controlled.
  • FIG. 4 c illustrates the apparatus of FIG. 4 b with the chuck in position for wafer processing.
  • FIG. 4 d also shows this same apparatus, this time with the shaft of the elevator fully extended. In this position, the chuck 74 is lifted out of the processing chamber 70 , providing access to the chuck for service/maintenance.
  • a sensor 87 e.g., an optical thickness sensor including a grazing incidence laser, is provided in the process chamber 70 for measuring the thickness or chemistry of the deposited film or the ambient conditions in the process chamber 70 .
  • the final subsystem i.e., the exhaust subsystem 18
  • the exhaust subsystem 18 includes an exhaust conduit 110 connected to the downstream section 82 of the process chamber 70 , a trap vessel 85 , and a vacuum pump 112 (such as the IQDP 80, available from Edwards High Vacuum International, Wilmington, Mass., USA, or equivalent) connected to the exhaust conduit 110 opposite the process chamber 70 to thereby pump vapors from the process chamber 70 , through the exhaust conduit 110 .
  • a vacuum pump 112 such as the IQDP 80, available from Edwards High Vacuum International, Wilmington, Mass., USA, or equivalent
  • more than one vacuum pump 112 can be used.
  • a throttle valve 83 is positioned in the exhaust conduit 110 to regulate the amount of vapor pumped from the process chamber 70 and, accordingly, to maintain a desired vapor pressure in the process chamber 70 .
  • the trap vessel 85 is situated between the vacuum pump 112 and the throttle valve 83 .
  • the purpose of the trap vessel 85 is to trap a majority of the unreacted precursor vapor before it reaches the vacuum pump(s) 112 .
  • the trap vessel 85 includes surfaces that cause the precursor to react or be otherwise retained thereupon due to chemical or thermal decomposition or an entrainment process.
  • a scrubber 85 ′ is used in place of the trap 85 .
  • the scrubber 85 ′ actively removes harmful contaminants from the gas stream before exiting the process subsystem thereby providing a cleaner effluent leaving the system.
  • a small, dry, low-power, dynamic, variable-speed pump 95 is also provided within the process subsystem cabinet 16 .
  • a preferred embodiment of pump 95 is manufactured by Pfeiffer Vacuum (Nashua, N.H., USA), which pumps at rates up to 50 m 3 /hr.
  • the pump 95 is integrated with the control system, through a ProfiBus data bus, such that the pumping speed of the pump 95 is controlled to govern the rate at which vapor is drawn through the system via a closed loop processing system. By so controlling the pumping speed, the throttle valve 83 upstream from the pump 95 can be omitted.
  • Each of the subsystems 12 , 14 , 15 , 18 , 150 are enclosed in sealed vessels to contain leaks of any hazardous gases from the system.
  • the vaporization and vapor-flow-control subsystems 12 and 14 are both contained in a first sealed vessel 180 .
  • An exhaust line 182 is connected to the first sealed vessel 180 for the controlled release and removal of gases escaping from the system.
  • a second sealed vessel 184 which likewise includes an exhaust line 186 , encloses the process gas subsystem 150 .
  • FIGS. 6 a - c A CVD module 10 incorporating the various subsystems described herein is illustrated from three different perspectives in FIGS. 6 a - c.
  • FIG. 6 a illustrates a rear view (from the vantage point of a connected wafer handler) of the CVD module 10 .
  • FIG. 6 b illustrates a side view of that same CVD module.
  • FIG. 6 c illustrates a front view of the CVD module 10 .
  • Components that are all included within the module include a process module controller 205 , a vaporization subsystem 12 , a power input module 142 , a vapor-flow-control subsystem 14 , a process subsystem 16 , an elevator 96 , a scrubber 85 ′, and a gate valve 125 .
  • FIG. 7 illustrates a general control architecture diagram 200 for control of a single CVD apparatus 10 and its associated subsystems. Control of a CVD apparatus 10 is facilitated through a process module controller 205 operating under software control in a distributed manner to independently control temperature control modules 210 , pressure control modules 215 , flow control modules 220 , and elevator control modules 225 . While the preferred embodiment is illustrated as a distributed system, the overall chemical vapor deposition concepts and techniques presented within this invention do not have to be implemented in a distributed fashion. Rather, they may be performed in a linear manner with a single main controller executing all processing steps itself, while still overcoming many of the problems of the prior art system. However, the distributed nature of the preferred embodiment provides significant advantages over a linear system operation, as will be explained.
  • Modules 210 through 225 are representative of the main processing tasks of the CVD apparatus 10 , and there may be other control modules not shown which may be used for other specific tasks noted throughout this specification.
  • Each of the previously described subsystems, including the vaporization subsystem 12 , vapor-flow-control subsystem 14 , process subsystem 16 , and exhaust subsystem 18 can include certain components that are operated by the modules 210 , 215 , 220 and/or 225 of the overall control architecture shown in FIG. 7.
  • the vaporizer subsystem 12 involves, among other tasks, controlling the temperature of reservoir 20 , controlling the position of, and therefore the amount of precursor flow from dispensing valve 24 , controlling the temperature of the vaporizer 28 , and monitoring the pressures within the vaporization chamber 26 .
  • Each of these tasks is generally coordinated via software operating within process module controller 205 and is physically carried out by one or more of modules 210 through 225 .
  • the process module controller 205 can manage wafer processing for an individual CVD apparatus 10 , which requires multiple simultaneous events. If wafer processing for a single CVD apparatus 10 is not too complex, it may be the case that an alternative embodiment of the invention may use a single process module controller to monitor and control more than one CVD apparatus. That is, two physical CVD systems 10 could be controlled by a single process module controller 205 , without overloading the processing capacity of the process module controller 205 . The preferred embodiment however uses a separate process module controller 205 per CVD apparatus 10 . By using distributed processing, certain steps in the overall wafer processing procedure can be performed in parallel with each other which results in more efficient wafer yields and allows real time management of vapor deposition.
  • control module 210 through 225 for each of the individual operational components (i.e., valves, temperature monitoring and heating devices, motors, etc.) in each of the subsystems.
  • the modules can be programmed to do specific tasks related to a specific portion of that subsystem's functionality.
  • each control module reports back to the process module controller 205 when the task is complete, its status, and/or if the task fails to complete.
  • all of the temperature control processing may be done in a distributed fashion, such that the high level process module controller 205 can merely instruct one or more specific temperature control modules 210 to set and maintain specific temperatures.
  • the process module controller 205 can then move on to the next main task in the overall wafer processing routine. Achieving and maintaining the set point temperature(s) can then be carried out by the independent temperature control module 210 in a closed loop manner.
  • An example of a control module is the Intelligent Module No. S7-353 or the S7-355, both manufactured by Siemens Corporation. Such modules may be used for intensive closed-loop type control tasks, while an Intelligent Module No. S7-331 , also manufactured by Siemens Corporation, may be used for precision signal conditioning type tasks, such as voltage measurements from capacitance manometers resulting in adjustments in flow control.
  • control modules used in the preferred embodiment operate at low voltage (i.e., 24 Volts AC or DC) in order to prevent injury in the event of a short circuit, and also to prevent interference with vapor deposition.
  • Low voltage operation also allows the system of the invention to operate with 120 Volt or 240 Volt power supplies, or with other international power systems of differing voltages.
  • modules can provide information or communications directly to other modules to establish adaptive relationships in order to maintain certain process settings.
  • these modules can adapt their task without the need for further instructions or tasks from the process module controller 205 . That is, two or more modules may establish a relationship such as a master/slave or client/server type relationship, and can adjust themselves accordingly to either back off from a task, or move ahead faster with a task, depending upon the feedback of other inter-related modules involved in adaptative relationships.
  • a pressure control module 215 may be used to monitor pressure sensor 34 , which detects the pressure output from the vaporization chamber 26 .
  • the pressure control module 215 can provide direct feedback to a separate flow control module 220 which operates isolation valve 42 . If the process module controller 205 initially instructs isolation valve 42 , through flow control module 220 , to maintain a certain flow of vapor or gas, the flow control module 220 can obtain pressure data from the pressure control module 215 that controls pressure sensor 34 . This data may be used to determine if there is enough pressure in the delivery conduit to deliver the requested flow.
  • pressure control module 215 may, depending upon the implementation, signal to the process module controller 205 that the task cannot be completed due to lack of pressure, or may, via an established adaptive relationship, signal in real time directly to a vaporization chamber pressure control module in order to increase or decrease vaporization chamber pressure.
  • DMA Direct Memory Access
  • a data bus (not shown in FIG. 8), such as, for example, a ProfiBus data bus, which typically operates at 12 Megahertz and uses DB-9 connectors to interface to modules, can interconnect modules 210 through 225 with each other and the process module controller 205 , to allow data communications and sharing of information. It is to be understood that common networking and data communications processes and principles are contemplated herein as being applicable to communications between devices, modules and components in this invention.
  • the invention can use redundant or fault tolerant modules, components and processors and can provide swappable dedicated processors for each module 210 through 225 and the process module controller 205 .
  • parts may be replaced without shutting down the entire system. This is beneficial, for example, when an expensive precursor has been preheated and will be damaged if returned to a lower temperature.
  • this module may be replaced or deactivated by another redundant module which may take over for the lost functionality of the failed module.
  • the swapping or redundant failover may be performed without having to stop the wafer deposition process, which saves wafers and reduces precursor waste and reduces system down-time.
  • FIG. 8 illustrates a flow chart of the main processing tasks performed by the process module controller 205 from FIG. 7.
  • the steps 300 through 305 are, in a preferred embodiment, implemented in software or firmware and are performed when the CVD apparatus 10 is activated to process wafers.
  • the main process control steps 300 through 305 are wafer-centric in nature. That is, these steps focus mainly upon wafer handling and execution of a process recipe which performs the CVD operation on a particular wafer.
  • the master routine sets tasks to be performed, sets variables for those tasks and system operation, and instructs the dedicated modules to perform the tasks.
  • this main process routine as will be explained, are a set of other concurrently executing routines which perform other tasks.
  • the sub-processes are necessary for the success of the major process sequence (i.e., steps 300 through 305 ) of FIG. 8 to complete.
  • the sub-processes, shown in FIGS. 9 a, 9 b, 10 and 11 are, respectively, the vaporizer sub-process, the vapor phase flow controller sub-process, and the process chamber pressure control sub-process.
  • Other sub-process may exist as well, such as, for example a cleanup process, a housekeeping process, a safety interlock process, and other which are explained herein.
  • step 300 of the main process control subroutine of FIG. 8 the CVD apparatus 10 is pre-prepared to accept a wafer.
  • This step includes, for example, the process of pre-heating the pre-cursor in reservoir 20 to the desired temperature and loading a process recipe for the wafer process to be performed by the CVD apparatus 10 .
  • Parameters for the process recipe are loaded into memory 230 from an external source, such as, for example, a cluster tool controller (discussed, below).
  • the recipe parameters control the various settings such as temperature, pressure, and which vapors and gases are to be processed with the wafer 88 .
  • each step allows a user who is processing a wafer to select parameters, such as, for example, the “step number”, “step duration” (in seconds), “target process pressure” (in millitorr), “precursor flow rate” (milli-sccm), “reactant flow rate” (milli-sccm) and “wafer temperature” (degrees C).
  • steps number the “step number”
  • step duration in seconds
  • target process pressure in millitorr
  • precursor flow rate milli-sccm
  • reactant flow rate milli-sccm
  • wafer temperature degrees C
  • the last parameter, “wafer temperature”, is a function of the substrate chuck temperature, since, as will be explained, the wafer is in contact with the substrate chuck for much of the time during processing.
  • the wafer temperature is a parameter that typically does not change too much from one wafer to another, and may be provided merely for reference for the process recipe.
  • Step 301 prepares to accept a wafer and signals to an external wafer provider mechanism (e.g., central wafer handler robot arm 134 , discussed, below) that the CVD apparatus 10 is ready to accept a wafer.
  • Step 302 then coordinates the movement of the wafer into the process chamber 70 and placement of the wafer on the substrate chuck 74 .
  • FIGS. 12 a through 12 d pictorially illustrate the process of coordinating the movement of the wafer (step 302 ) into the process chamber 70 .
  • Each of these figures includes top and side perspective views of the process chamber 70 area and robot arm 134 .
  • substrate chuck 74 includes pins 74 a - c, upon which the substrate or wafer 88 is loaded prior to the CVD operation.
  • the wafer 88 rests upon an end effector of robot arm 134 outside of the process chamber 70 . As shown in FIG.
  • FIG. 12 c illustrates the wafer 88 fully inserted into process chamber 70 , prior to the retraction of the robot arm 134 .
  • the wafer 88 rests on pins 74 a - c, after the robot arm 134 lowers slightly and retracts, as shown in FIG. 12 d.
  • step 303 then runs the current process recipe that has been programmed into the CVD apparatus 10 .
  • the recipe i.e. the parameters
  • the recipe may be changed between wafers, but once the recipe has been started in step 303 , the pre-loaded parameters used for processing do not change for the current wafer 88 .
  • running the recipe in step 303 includes aspects of temperature control (step 303 a ), pressure control (step 303 b ) and flow control (step 303 c ).
  • the sub-processes in FIGS. 9 a, 9 b, 10 and 11 provide details as to the operation of these aspects of the invention.
  • a recipe loaded into process module controller 205 governs the various processing steps of the wafer according to, for example, the “step duration” parameter. That is, this embodiment can be governed by timers set by parameters that determine, for instance, how long a particular vapor is deposited onto a wafer.
  • the sensor sub-system 19 can be used to calculate, measure, or determine the deposition activity on the wafer itself. This information can be used to determine when the next step in the recipe is performed. For example, if a step in the recipe calls for depositing 100 angstroms of copper using a copper vapor onto a wafer, the sensor sub-system, by monitoring the deposition activity, can indicate when this has been completed. As such, the steps in the recipe in this embodiment are not driven so much by timers, as by when processing steps are actually physically completed.
  • the sensor modules 227 illustrated in the control architecture in FIG. 7 are used to control and provide feedback to process module controller 205 from wafer subsystem 19 as illustrated in FIGS. 1A and 1B.
  • Wafer sensing equipment 87 in wafer subsystem 19 may comprise a laser measurement system that can measure the thickness of any layer of material being deposited onto the wafer 88 during a CVD operation. This layer thickness information may be monitored by sensor modules 227 , and when the task of detecting 100 angstroms of copper, for example, is complete, the sensor modules 227 can indicate to the process module controller 205 that the task has been completed.
  • Other wafer sensing equipment that may be used to sense CVD progress may include reflectivity sensors that detect the reflectiveness of the wafer surface.
  • Another sensing device may be an x-ray diffraction system used to measure composition of the wafer surface, thus indicating deposition progress.
  • x-ray diffraction system used to measure composition of the wafer surface, thus indicating deposition progress.
  • Step 304 in FIG. 8 then removes the wafer, which is generally the reverse process of that illustrated in FIGS. 12 a through 12 d.
  • the robot arm 134 returns and picks up the wafer 88 off of the substrate chuck pins 74 a - c, and carries the wafer 88 out of the process chamber 70 .
  • Step 305 then performs cleanup of the CVD apparatus 10 , which will also be described in more detail later.
  • FIG. 10 illustrates the steps of the vaporizer sub-process that is continually performed during the main control processing steps that execute as explained with respect to FIG. 8.
  • the vaporizer sub-process steps 330 through 334 generally control the vaporization of the precursor in reservoir 20 and the maintenance of pressure at the inlet port 76 to the process chamber 70 .
  • the vaporizer sub-process is also responsible for the cleanup of the vaporizer 28 between processing wafers during standby modes.
  • the vaporizer sub-process shown in FIG. 9 a is driven primarily by the “vaporizer temperature” parameter that gets loaded during the programming of the recipe into memory 230 .
  • This variable drives the temperature setting for all of the other temperature controlled surfaces except the wafer chuck 74 (set by a “wafer chuck temperature” setting) and the funnel temperature (set by a “funnel temperature” setting).
  • the vaporizer pressure largely relies on the pressure control modules 215 which operate and monitor the capacitance manometers 34 , 48 , 50 , 51 and 53 located throughout the system, as previously described.
  • step 330 the pressure at pressure sensor 34 must be greater than the pressure at pressure sensor 48 .
  • step 331 the pressure at pressure sensor 48 must be greater than the pressure at pressure sensor 50 .
  • step 332 the pressure at pressure sensor 50 must be greater than the pressure at pressure sensor 51 .
  • step 333 the pressure measured at pressure sensor 51 must, in this embodiment, be approximately 1.5 times (or more) greater than the pressure measured at pressure sensor 53 . If any of these steps 330 through 333 fail, feedback is provided back to the vaporizer subsystem 12 by step 334 , at which point the appropriate modules in various subsystems are adjusted so as to maintain the optimum pressure at the wafer, as measured by the difference in pressure between pressure sensors 51 and 53 .
  • the recipe parameter “process pressure” is referred to as the “target pressure” since this is the pressure to be maintained by the system at the wafer 88 , and is attained in cooperation between the vaporizer sub-process (FIG. 10), the vapor phase flow controller sub-process (FIG. 10) and the process chamber pressure control sub-process (FIG. 11).
  • the reservoir 20 deposits small amounts of precursor onto vaporizer 28 which is heated.
  • Each small amount of precursor which typically flows slowly down the vaporizer 28 inverted cone structure, forms a thin film and resides on the cone for a period of time during vaporizing.
  • an upward ramp in pressure is measured by capacitance manometer 34 .
  • the upper limit of the vapor pressure that is measured by pressure sensor 34 is a function of the temperature of the vaporizer 28 (and the rest of the system) as well as the material used as the precursor.
  • too high of a temperature may cause the premature chemical decomposition of the precursor prior to its exposure to the wafer 88 , and too low of a temperature may result in a low vapor pressure, low flow rate, and low process pressure which results in a low chemical vapor deposition rate.
  • the vaporizer sub-processes in FIGS. 9 a and 9 b may be in either a processing state or a standby state.
  • the processing state is used, as explained above with respect to FIG. 9 a, after a wafer has been accepted.
  • the standby state governs a cleanup process and is shown in FIG. 9 b and will be described in conjunction with FIG. 1A through 1D.
  • step 340 During cleanup of the vaporizer sub-process, in step 340 , no precursor is introduced into the vaporization chamber 26 .
  • isolation valve 42 isolates the entire vaporization subsystem 12 from the other subsystems.
  • Step 342 then fully opens valve 160 .
  • step 343 Argon gas provided from valves 161 and 162 and mass flow controller 165 is introduced into the vaporization chamber 26 until a pressure of approximately 50 torr is measured at pressure sensor 34 . Then, step 343 evacuates the pressure in vaporization chamber 26 , by opening valve 170 and closing valves 161 and 162 , and operating exhaust subsystem 118 to suck out the argon gas.
  • Step 344 detects a vacuum pressure.
  • Step 345 then repeats steps 343 and 344 N times, where N may be one, two or more times, for example. This N repeat count may be varied, depending upon the properties of substances used.
  • Step 346 then evacuates the vaporization chamber 26 and step 347 maintains the entire volume of vaporization chamber 26 in a vacuum until the vaporization sub-process is instructed to go active to begin processing wafers.
  • the second sub-process is the vapor phase flow controller sub-process and is illustrated by the processing steps in FIG. 11. During wafer processing, this sub-process ensures that the vapor-flow-control subsystem maintains a steady flow of vapor to the process chamber 70 , in concert with the variations in pressure that occur at various critical points in the system as explained above during wafer processing. The main objective of this sub-process is to maintain the target mass flow and total aggregated mass flow of vapor to the wafer 88 .
  • the present invention uses this sub-process to control the flow of vapor in upstream section 78 , where the pressure is only one to five torr, and where the “process pressure” is targeted at approximately 800 to 1000 millitorr.
  • this sub-process uses the proportional control valve(s) 44 ( 44 ′ in FIG. 1A, 44 in FIG. 1C) to maintain the appropriate flow and target pressure drop as measured from pressure sensor 34 to pressure sensor 48 .
  • Step 360 in FIG. 10 monitors this pressure difference.
  • Step 361 determines if adaptive flow control is operational. If so, step 362 is executed which calculates the desired flow (“Q”) of the vapor being applied to the wafer and adjusts, in step 363 , the process time system variable to compensate for any variations from the target pressure experienced during the normal set process time. That is, step 363 lengthens or shortens the check time between determining system pressures, so that the pressure will have the correct time to build based upon the precursor material being used for vapor flow.
  • Q desired flow
  • step 361 if adaptive flow control is not being used, step 364 determines if the pressure across the proportional control valve(s) 44 ( 44 ′ in FIG. 1A, 44 in FIG. 1C) is insufficient to attain the targeted flow rate, and if so, step 365 detects this and signals to the other two sub-processes to attain the desired flow rate by varying appropriate settings.
  • the vapor phase flow controller sub-process in FIG. 10 is also responsible for controlling modules that set the flow rate of oxidizing reactants via step 366 . That is, nitrous oxide, for example, from valves 168 and 169 may be provided as a reactant gas along with the precursor vapor, into the process chamber during flow control of the vapor from the vaporization chamber 26 .
  • Step 366 determines the flow rate of any reactant gas by a “reactant flow rate” parameter provided in the recipe. Typically, the reactant flow rate is expressed as a ratio to the flow rate of the vapor from the vaporization chamber 26 .
  • a target pressure that might be typically set is 1.5 to 2.0:1. Since the flow rate of vapor can vary somewhat (as explained above), the flow rate of the reactant from one or more of the mass flow controllers 165 , 166 or 167 must also vary in concert with the flow rate of the precursor vapor. Note that in the embodiments shown in the figures, the system is well damped such that variations are on the order of plus or minus 10 percent of the target pressure or flow rate, and are dependent upon variations in the lots of precursor used as received from different suppliers, for example. That is, oscillatory swings may not be noticed within one batch of precursor, but subtle shifts may be observed based upon chemical lots. The sub-process in FIG. 10 helps eliminate these shifts.
  • the vapor phase flow control sub-process if in a standby state, as shown in standalone step 367 , independently checks any output offsets that might have occurred between pressure sensor 48 and pressure sensor 50 , and can use this calculated offset to adjust the pressure sensors apparent output accordingly during subsequent calculations while in active mode. Step 367 can also cross-check pressure measurements of sensors 48 and 50 in standby mode against pressure sensors 34 , 51 and 53 .
  • FIG. 11 illustrates the third sub-process, referred to as the process chamber pressure control sub-process, which is associated with maintaining the pressure at the wafer 88 .
  • the pressure is measured at capacitance manometer 53 , which is the pressure in the process chamber 70 below the showerhead 72 at the wafer.
  • Step 381 then directs throttle valve 58 to increase or decrease the pressure as measured in step 380 , to maintain the pressure as defined by the parameter “process pressure”.
  • FIG. 13 illustrates the processing steps performed in a cleanup sub-process that runs continuously and which is transparent to the other sub-processes in the system.
  • the cleanup sub-process Upon startup of the CVD apparatus, without a signal of an approaching wafer, the cleanup sub-process is the default process.
  • the cleanup sub-process in step 390 enables a mechanical circuit breaker to isolate the electrical system components in the event of a power surge.
  • Step 391 maintains all heat zones at the system set points.
  • the parameter “vaporizer temperature” is used as the temperature set point for all heated zones except the reservoir 20 and funnel 22 temperatures, and wafer chuck 74 temperatures. This step can also detect heating wire breaks or shorts.
  • Step 392 ensures that adequate vacuum is present for the process module by testing the vacuum pump control.
  • Step 393 monitors the state of the door and housing covers surrounding the CVD apparatus 10 .
  • Steps 394 and 395 monitor system power and pressures, and looks for excursions outside of the normal operating state.
  • Step 396 tracks gauge status and can detect gauge problems and can cross calibrate gauges in the system.
  • Step 397 sets up and calibrates the mass flow controllers 165 , 166 and 167 .
  • Step 398 cross calibrates the pressure sensors in the system, and step 399 initializes the system parameters to a default state.
  • FIG. 14 illustrates a schematic architecture of a CVD apparatus of this invention, with each of the previously described sub-processes 600 through 604 of FIGS. 8 through 13 illustrated as a closed loop.
  • Process module controller 205 interfaces with the other hardware components of the system via data bus 605 , which carries serial analog and digital commands to the components.
  • Each of the control modules 210 through 227 interfaces to the data bus 605 , to communicate with process module controller 205 , and in certain instances where adaptive relationship exists, with each other.
  • the process module controller 205 is also connected to a Profibus data bus 607 via which provides deterministic communication with any of a cluster tool controller, a transport module controller, or another process module controller. At higher levels of communication, not shown in FIG. 14, communication is generally via Ethernet, which is non-deterministic.
  • a pressure control module 215 monitors pressure from capacitance manometers 34 , 48 , 50 , 51 , and 53 , according to the processing explained above, and can provide data to temperature control module 210 which controls vaporizer heating element 29 , in order to provide proper vapor for the system to operate.
  • To interface 606 between pressure control module 215 and temperature control module 210 is an example of a closed loop adaptative relationship, since the temperature is controlled based upon feedback from the pressure control module 215 .
  • pressure control module 215 monitors pressure from each of pressure sensors 34 , 48 and 50 , in order to provide feedback data to flow control module 220 , which operates proportional relief valve 44 , as well as valves 161 through 164 , 168 , 169 and 170 , in order to provide vapor and reactant gases at a proper flow rate.
  • Process chamber pressure control loop 602 uses pressure control module 215 to detect pressure at pressure sensors 51 and 53 within the process chamber 70 . This pressure information is used in an adaptative relationship between the pressure sensors and the throttle valve 83 , operated by the flow control module 220 . This closed loop 602 ensures that the pressure in the process chamber is correct during wafer processing by using the throttle relief valve 83 to maintain a continuous flow.
  • Elevator control loop 603 illustrates the adaptative relationship between the elevator 96 , which is operated by elevator control module 225 , and the sensor control module 227 which uses sensor equipment 87 to detect how much material has been deposited on a wafer.
  • the elevator 96 may be lowered when the sensor equipment 83 detects enough material is present on the wafer.
  • direct communications is provided between the elevator control module 225 and the sensor control module 227 .
  • the elevator control loop 603 is also related to the sensor loop 604 , in that when sensor equipment 96 detects enough deposition material on a wafer, sensor control module 227 notifies flow control module 220 to activate throttle valve 83 in order to turn on the exhaust pump to full power. This empties the process chamber 70 of any leftover vapor so as to immediately stop the deposition process.
  • Sensor loop 604 is thus another example of an adaptive loop, but acts more like a one way trigger since the sensor equipment 96 causes the throttle valve 83 to open when deposition is complete.
  • the process control module 205 can merely provide the appropriate tasks to each of the control modules 210 through 227 .
  • the control modules will execute the given task on their own.
  • closed loops are formed for the basic underlying sub-processes required for the CVD apparatus to operate efficiently.
  • the process module controller 205 monitors the progress of each closed loop via status data that is provided from each control module.
  • the process module controller 205 is fully aware of how a specific CVD process is progressing while the process is taking place. In this manner, the process module controller 205 can report to a higher level process, such as the main process taking place within a cluster tool controller 207 .
  • the “processing hierarchy” formed by the lower closed loops and control modules, the intermediate process module controller routine executing on the process module controller 205 , and the master cluster tool controller routine executing on the cluster tool controller 120 allows modifications to processing code at one level to have little or no adverse impact on the programs or processes used for other aspects of the CVD process. Moreover, any modifications made to one aspect of the CVD processing, for example, in the flow control loop, which may happen to impact the processing of other loops, will be properly accounted for due to the adaptive relationships and feedback of information between control modules.
  • This hierarchy also allows easy code maintenance and a structured environment where features may be added to one area of CVD processing without having to re-tool or re-code other areas.
  • the CVD apparatus 10 is used to deposit a number of films on a single wafer.
  • This embodiment is designed to operate at low pressure (0.001 to 10.0 torr) and is aimed at the deposition of films with geometries of 0.25 microns or less.
  • the same embodiment with changes only in temperature and flow control components, can be used in a number of different processes to limit costs and maintenance requirements.
  • Films that can be deposited by this system include, but are not limited to, the following: aluminum from dimethyl aluminum hydride (DMAH), copper from one of the Cu I (hfac)(tmvs, tevs, teovs) precursors, tantalum nitride from a solid precursor such as TaBr 4 , titanium nitride from a liquid precursor such as tetrakisdiethylamido titanium (TDEAT), tetrakisdimethylamido titanium (TDMAT), TiBr 4 , or TiI 4 , low-k dielectric films from hexasilsesquioxane (HSQ) or a fluorinated tetraethylorthosilicate (TEOS), and tantalum oxide from tantalum pentaethoxide (TAETO) and either ozone or N 2 O.
  • DMAH dimethyl aluminum hydride
  • HSQ hexasilsesquioxane
  • FIG. 19 is a graph showing CVD source vapor pressure curves, expressed in termns of temperature (° C.) along the abscissa and pressure (torr) on a logarithmic scale along the ordinate.
  • Illustrated curves include functions representing the vapor pressure of TEPO 401 , TEOS 402 , TEB 403 , TAETO 404 , TAT-DMAE 405 , CuTMVS 406 and TDEAT 407 .
  • the shaded region 410 represents a demonstrated operating range between 1 and 10 torr for this process. This indicates the ability of the system to deposit a variety of materials over a temperature range between 20° C. and 200° C in this pressure range. This pressure range is not limiting, however, as one can work outside of it, as well.
  • the vapor pressure curve (in torr) for TEB has been expressed as follows:
  • thermal deposition via reaction with TEOS can occur at temperatures in the vicinity of 475° C., which is significantly cooler than the typical range of 600° C. to 750° C. (or higher) for other methods, which typically use oxygen or ozone as opposed to nitrous oxide as a reactant.
  • the molar ratio of N 2 O:TEOS is greater than 4:1; preferably, between 5:1 and 10:1; and more preferably about 8:1.
  • FIGS. 20 and 21 illustrate the refractive index and thickness, respectively measured at multiple points on an eight-inch wafer that was subjected to deposition of silica using an 8:1 ratio of N 2 O and TEOS in accordance with this invention. As is evident from FIGS.
  • the thickness of the coating is highly uniform across the surface of the wafer, and the refractive index is near that of thermal oxide.
  • the properties of films deposited with the methods and apparatus of this invention are sufficient for applications such as gate dielectric deposition.
  • silica layers deposited by conventional methods have a refractive index below 1.44.
  • silica layers deposited by methods of this invention can have a refractive index above 1.44; and in preferred embodiments, the refractive index is between about 1.45 and about 1.46.
  • a tantalum oxide film is deposited on a wafer using liquid TAETO as a precursor and gaseous N 2 O as an oxidant.
  • the reservoir 20 is filled with TAETO either with the reservoir 20 in place in the system or with the reservoir 20 temporarily removed for filling.
  • the TAETO is stored at a temperature above its melting point but below that at which it decomposes. In this embodiment, the TAETO is stored at or near room temperature.
  • the TAETO is delivered to the vaporizer 28 through the axial displacement pulse valve in an amount that is just sufficient to generate a workable vapor pressure to deliver to the process chamber 70 .
  • the temperature of the vaporizer 28 is tightly controlled, in one embodiment, at 180° C., to vaporize the TAETO as it flows across the surface of the vaporizer 28 without causing the TAETO to thermally decompose.
  • the vapor pressure of TAETO that is generated in the vaporization chamber 26 is a function of the temperature of the vaporizer 28 .
  • the log of vapor pressure can be calculated with the following formula:
  • TAETO vapor pressure of TAETO and several other sources (measured in torr) is provided in Table 1, below, over a range of temperatures from 20° C. to 200° C.
  • Table 1 Silicon Oxides Tantalum Oxide Copper Ti Nitride Degrees C.
  • a pressure of 2.8 torr is generated in the vaporization chamber 26 without significant decomposition of the TAETO.
  • the process chamber 70 is held at 800 to 900 millitorr.
  • this pressure differential about 1.0 sccm of TAETO vapor along with 1.5 sccm N 2 O are delivered to a wafer heated to about 385° C. Under these conditions, a tantalum oxide film will grow at a rate of approximately 75 to 80 angstroms per minute.
  • the wafer is pre-heated to about the deposition temperature or higher either in a preheat module or, less desirably, in the process chamber 70 . Direct thermal coupling between the wafer and the substrate chuck 74 is nominal. Heat is transferred between the wafer and the substrate chuck 74 primarily by way of helium gas flowing between the substrate chuck 74 and the underside of the wafer.
  • a target film thickness of 100 angstroms is achieved by running the process for 10 seconds at a reduced flow of reactants to seed the wafer with tantalum oxide. The process is then run for 75 seconds at full flow to build the desired film thickness.
  • the deposition rate can be either reduced or slightly increased.
  • An increase in the deposition rate may require an increase in the temperature of the vaporizer 28 .
  • the temperature of the vaporizer 28 should generally be limited to 190° C. for TAETO because there is a risk that the quality of the deposited film will suffer as a result of TAETO degradation.
  • the temperature of the vaporizer 28 is reduced to 170° C., the net effect will be a reduction in the rate of TAETO deposition.
  • the maximum pressure available at the inlet to the vapor-flow-control subsystem 14 would be reduced to about 1.73 torr. This reduction will nearly halve the possible flow rate and will result in a process pressure of about 450 millitorr. The reduced process pressure will yield a deposition rate of about 22-25 angstrom per minute.
  • the TAETO vaporizes, it expands within the principal cylinder 30 and vapor outlet 32 of the vaporization chamber 26 . All components, including valves and pressure sensors, within the vaporization chamber 26 and delivery conduit 40 are maintained at the temperature of the vaporizer 28 to prevent the TAETO from condensing. As the pressure in the vaporization chamber 26 is depleted by the flow of vapor through the delivery conduit 40 and into the process chamber 70 , the pressure in the vaporization chamber 26 is reestablished by dispensing more TAETO from the reservoir 20 onto the heated vaporizer 28 .
  • the vaporization subsystem 12 can operate continuously to maintain a pressurized supply of TAETO in the vaporization chamber 26 , it will preferably maintain a low vapor pressure within the chamber 26 until a demand is signaled by the processor. When no demand is signaled, the vaporization chamber 26 will be purged of TAETO and evacuated.
  • This cyclic process is established to accommodate the thermal sensitivity of the precursor (in this case, TAETO).
  • the precursor if held at an elevated temperature for any length of time, will decompose before delivery into the process chamber 70 .
  • the apparatus and method of this invention allow the sequential deposition of different but complementary materials in the same chamber without moving the wafer.
  • multiple deposition steps can be performed without wafer movement and the accompanying cycles of pump down, purge, vent up to atmospheric pressure, and wafer heat up.
  • Complementary processes thus far identified include the following: titanium nitride (TiN) from TiBr 4 or TDEAT and ammonia, followed by aluminum from DMAH; tantalum nitride (TaN) from TaBr 4 and ammonia, followed by copper from Cu I (hfac)(tmvs); and titanium nitride (TiN) from TiBr 4 or TDEAT, and ammonia, followed by aluminum from DMAH, followed by 0.5 atomic percent copper from Cu I (hfac)(tmvs).
  • the CVD apparatus 10 is also suitable for depositing barium titanate, barium strontium titanate, strontium bismuth tantalate, and other similar depositions.
  • the apparatus and method of this invention and many of the processes, described above, are particularly relevant to semiconductor processing procedures. More particularly, the apparatus and method of this invention are well suited to the deposition of advanced dielectrics and interconnect metals on a wafer.
  • a cluster tool 120 for semiconductor processing is illustrated in FIG. 15.
  • the illustrated cluster tool 120 includes a number of process modules assembled around a transport module 122 and interfaced with a central control system.
  • the cluster tool 120 can have an inline, rather than radial geometry of process modules in relation to the transport module 122 .
  • One or more of these process modules include a CVD apparatus 10 of this invention.
  • the cluster tool 120 includes an entrance load lock 126 , an exit load lock 128 , a preheat module 130 , a cool module 132 , and a transport module 122 .
  • three CVD apparatus 10 which can operate in parallel to enhance throughput, are provided.
  • the cluster tool 120 is designed in accordance with MESC, the standard design architecture adopted by the Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers. Accordingly, a variety of other standardized components, such as process modules for different deposition and etch processes, can be readily integrated into the cluster tool 120 , as desired.
  • MESC the standard design architecture adopted by the Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers. Accordingly, a variety of other standardized components, such as process modules for different deposition and etch processes, can be readily integrated into the cluster tool 120 , as desired.
  • SEMI Semiconductor Equipment and Materials International
  • Each process module in a cluster tool 120 is generally designed to process a single wafer at a time. Typical production requirements are for the tool 120 to process 60 wafers per hour. This rate is achieved by implementing different process steps in separate process modules clustered around the transport module 122 .
  • the tool 120 illustrated in FIG. 15, is designed for a 300 mm tantalum oxide process system, which uses an eight-sided transport module 122 typically connected to three tantalum oxide CVD apparatus 10 .
  • the tool might also accommodate a rapid thermal anneal (RTA) module.
  • RTA rapid thermal anneal
  • a plurality of cluster tools 120 are interfaced together so that a wafer can be sequentially passed between tools 120 for a series of processing stages without ever removing the wafer from the vacuum established within the cluster tools 120 .
  • a cluster tool 120 commences with wafers being loaded into an input cassette 136 in an entrance load lock 126 .
  • a robot arm 134 (available from Brooks Automation) in the transport module 122 removes one wafer at a time from the input cassette 136 and moves each wafer to an alignment station 138 .
  • a standard notch in each wafer is precisely aligned before further processing, eliminating wafer orientation effects within a process module and aiding in process uniformity.
  • the robot arm 134 moves the wafer to a preheat module 130 where the wafer remains for approximately 30 seconds while being heated to 300-500° C.
  • the wafer When a CVD apparatus 10 becomes available, the wafer is moved to the process chamber of that CVD apparatus 10 for tantalum oxide deposition. Deposition occurs over a period of approximately 120 seconds. After deposition, the wafer is moved to the cool module 132 , where the wafer resides for 30 seconds and is cooled enough to place it in the output cassette 140 in the exit load lock 128 .
  • the process time for tantalum oxide deposition on a wafer is on the order of 120 seconds for a 0.01-micron-thick film on a preheated wafer. Wafer movement from the input cassette 136 , to the alignment station 138 , to a CVD apparatus 10 and back to an output cassette 140 will consume approximately another ten seconds.
  • the cluster tool 120 with three tantalum oxide CVD apparatus 10 , would have a throughput of one wafer every 45 seconds, excluding ramp-up and ramp-down.
  • the tool 120 in this configuration can process up to 75 wafers per hour.
  • the deposition process is used to form integrated circuits on the wafer.
  • An integrated circuit is simply a large number of transistors, resistors, and capacitors connected together by metal lines.
  • a general goal is to miniaturize the components to the greatest extent possible.
  • FIG. 16 illustrates a configuration of the invention in which multiple cluster tools 120 a and 120 b are arranged to process wafer in conjunction with each other.
  • Wafer handoff mechanism 701 can pass wafers from transport module 122 a in cluster tool controller 120 a to an entire second cluster tool controller 120 b.
  • Wafer handoff mechanism 701 may be, for example, a conveyor-belt apparatus which transports the wafers 88 from the robot arm 134 a to the second robot arm 134 b of transport module 122 b.
  • the wafer handoff mechanism 701 can be accomplished by physically passing individual wafers 88 from robot arm 134 a to robot arm 134 b.
  • the CVD apparatus 10 a - c in FIG. 16 may be used for a certain processing of the wafers, and when complete, the wafers can be transported, through wafer handoff mechanism 701 , to the second configuration of CVD apparatus 10 d - f and secondary transport module 122 b for a second type of processing.
  • the wafers may be maintained under a vacuum and may be maintained at a relatively constant temperature. Since the cluster tools 120 a and 120 b are an entirely closed system, wafers experience reduced exposure to contamination and outside atmosphere while being processed.
  • the large scale wafer processing illustrated in FIG. 16 is referred to herein as a factory automation wafer processing system.
  • factory automation controller 702 contains a master central processing unit that governs the operation of each cluster tool 120 a and 120 b.
  • Data bus 703 interconnects each CVD apparatus 10 a - f with factory automation controller 702 .
  • FIG. 17 illustrates an alternative configuration for a factory automated CVD processing system.
  • the individual components i.e., CVD apparatus 10 , preheat modules 130 , cooling modules 132 , transport modules 122
  • each cluster tool 120 a and 120 b are controlled by separate cluster tool controllers 705 a and 705 b.
  • Factory automation controller 702 controls each cluster tool controller 705 a and 705 b, and can control wafer handoff mechanism 701 .
  • one of the cluster tool controllers can control the wafer handoff mechanism 701 and can signal to the other cluster tool 120 b that it has completed its wafer processing and that wafer are on route via wafer handoff mechanism 701 and should be accepted by robot arm 134 b.
  • each of these arrangements are shown by way of example only, and the invention is not limited to only two cluster tools in the factory automation configurations shown in FIG. 16 and 17 . Rather, there may be many cluster tools arranged in any number of ways, each having a cluster tool controller which is controlled by one or more master factory automation controllers. By distributing processing as shown in these examples, real-time wafer processing can be accomplished from beginning to end in a more efficient, clean, and timely manner.
  • FIG. 18 illustrates an example of the typical steps involved in controlling a single cluster tool 120 a via cluster tool controller 705 a, as illustrated in FIG. 17.
  • robotic arm 134 a accepts a wafer from the input cassette 136 a, which is attached to the entrance load lock 126 a.
  • the robotic arm 134 in step 711 , then aligns the wafer on the armature itself.
  • Wafer alignment on the robotic arm 134 is performed at the alignment station 138 , where a notch in the side of the wafer is mechanically aligned with a reference indicator.
  • step 712 the wafer may be pre-heated in pre-heat module 130 . Heating the wafer brings the wafer up to a temperature at or near the operating or substrate chuck temperature of the first CVD apparatus 10 that will accept the wafer.
  • the robotic arm 134 in step 713 , places the wafer into one of the CVD apparatus 10 a - c of the current cluster tool controller 120 a for CVD processing in step 714 , as explained above. While three CVD apparatus 9 a through 10 c are illustrated in FIG. 16, the invention is not limited to three, and there may be one, two, three or many more such system all accessible by a single robotic arm 134 .
  • step 715 the robotic arm extracts the wafer.
  • the wafer either moves to the next CVD apparatus (i.e., back to step 713 ), or finishes processing (step 716 ) by being cooled in cool module 132 and exiting the cluster tool 12 a via output cassette 140 , or the wafer is passed to another cluster tool 120 b via wafer handoff mechanism 701 (step 717 ).
  • wafer processing repeats until the correct sequence of heating, CVD processing and cooling has been performed, as dictated by the wafer processing program executing in cluster tool controller 705 a controlling the operation of cluster tool 120 a.
  • the apparatus of this invention is specifically intended for the deposition of thin films of metals, dielectric layers used as insulators for these metals, low-k interlayer dielectric layers, capacitor dielectrics (denoted as high-k), and transistor gate dielectrics required for 0.25 micron or smaller linewidth processes.
  • the processes can be used to form integrated circuits with clock speeds of 400 MHZ or faster and 256 Mbit or more DRAM, for example.
  • Semiconductor deposition processes that can be performed with a cluster tool 120 incorporating a CVD apparatus 10 of this invention include the deposition of high-k capacitor dielectrics such as tantalum oxide; the deposition of layers that serve as barriers and adhesions promoters, like titanium nitride, a liner used for aluminum, and tantalum nitride, a copper liner; and the deposition of copper metal for interconnects.
  • the methods and apparatus of this invention are particularly suitable for the deposition of stacked gate dielectrics.
  • This procedure can involve successive deposition of layers of thin films (on the order of 15 angstroms for each film) including two or more different dielectrics to minimize gate capacitance.
  • Stacked dielectric gates can be used in devices with geometries of less than 0.15 microns and in devices with geometries of up to 0.25 microns, where an increase in speed beyond 400 MHZ is needed, for example.
  • Stacked gate dielectrics can be formed of silica along with either silicon nitride or tantalum oxide. Other dielectric materials can also be used, provided that they achieve a desired dielectric transistor gate.
  • FIG. 26 An illustration of a device (specifically, an NMOS transistor) formed by methods of this invention is provided in FIG. 26.
  • the device includes a silicon substrate 1052 ; a source 1054 formed by ion implantation or diffusion; a drain 1056 formed by ion implantation or diffusion; a pair of channel stops 1056 also formed by ion implantation; a gate dielectric 1058 (which can comprise, e.g., silica) deposited in accordance with methods of this invention; a gate metallization film 1060 , which can be formed of polysilicon or formed of copper or aluminum deposited in accordance with methods of this invention; an insulator dielectric 1062 (typically, silica) formed by thermal oxidation; an insulator dielectric 1064 (typically silica) deposited in accordance with methods of this invention; and a metallization film 1066 (comprising, e.g., copper, aluminum, or copper/aluminum) deposited in accordance with methods of this invention.
  • the methods and apparatus of this invention offer advantages in the processing of stacked dielectrics, where sequential deposition of two different dielectrics is generally required.
  • the design of a precursor delivery system, in accordance with this invention allows deposition of both materials in the same process chamber. As a result, the wafer will not be exposed to random oxidation during transport between chambers, which can destroy the gate. Further, because the wafer need not be moved, the system is expected to have an intrinsically higher throughput than existing systems. Background discussion directed to the synthesis of stacked gate dielectrics is provided in P. K.
  • Other materials that can be suitably deposited on semiconductor wafers with an apparatus and method of this invention include aluminum, aluminum/copper (an alloy with reduced liner requirements), barium titanate (a potential high-k dielectric film), and barium strontium titanate (another high-k dielectric film).
  • FIG. 22 An integrated gate oxide cluster tool 920 designed specifically for semiconductor gate dielectric deposition is illustrated in FIG. 22.
  • the tool 920 includes an etch module 951 .
  • the etch module 951 like the other modules, operates at low power.
  • the etch module 951 is used for preheating and soft etching to provide a surface that is free or nearly-free of atomic residue.
  • the tool also includes a pair of process modules 910 d for silica deposition, a pair of process modules 910 e for tantalum oxide deposition and a single process module 910 f for titanium nitride deposition.
  • Processing within the tool 920 of FIG. 22 proceeds as follows.
  • a gate to the entrance load lock 926 is lifted and the wafer handler robot arm 934 mounted in a transport module 922 retrieves a silicon wafer from the stack of wafers in the entrance load lock 926 .
  • the wafer handler robot arm 934 first delivers the wafer to the etch module 951 .
  • the robot arm 934 retrieves the wafer and advances it to one of the silica deposit modules 910 d, where vaporized TEOS is reacted with N 2 O to form a silica deposit on the wafer.
  • the robot arm 934 retrieves the wafer and advances it to a tantalum oxide deposit module 910 e, where N 2 O is reacted with vaporized TAT-DMAE or with vaporized TAETO to form a tantalum oxide layer on the silica layer.
  • the robot arm 934 retrieves the wafer and advances it to the titanium nitride deposit module 910 f where TiBr 4 or TDEAT and ammonia are reacted to form a thin TiN x capping material on top of the tantalum oxide layer.
  • the robot arm 934 again retrieves the wafer and advances it to the exit load lock 928 for later removal from the tool 920 .
  • FIG. 23 An integrated aluminum/copper tool 1020 for depositing aluminum and copper metallization films is illustrated in FIG. 23.
  • the tool 1020 includes a titanium nitride deposit module 1010 g, a copper deposit module 1010 h, two aluminum deposit modules 1010 i, as well as transport module 1022 , entrance and exit load locks 1026 and 1028 , a preheat/etch module 1051 , and a wafer handler robot arm 1034 .
  • the tool 1020 is used to deposit a titanium nitride liner/barrier layer on a silicon wafer followed by successive depositions of copper and aluminum.
  • Copper thin films are emerging as important metallization films for integrated circuits, and the level of importance increases as integrated circuit manufacturers introduce products with copper metallization. Further, more are likely to follow this lead as linewidths shrink from 0.25 to 0.18 to 0.15 to 0.12 to 0.1 microns.
  • the “transition” technology for significant implementation of copper metallization is likely to be at 0.13 micron design rules.
  • circuit size shrinks the need to carry electrical signals remains relatively constant, but as the linewidths shrink, metallization conductivity decreases because the cross-sectional area of the metal lines decreases. This requires some combination of thicker metal or more metallization lines/levels to be implemented on the circuit. An alternative is to use a higher conductivity metal.
  • Al is the industry-standard metallization material.
  • Copper offers significant improvement in resistivity and is being implemented with what is known as damascene processing due to the impracticality of dry-etching Cu. Copper is an undesirable contaminant to silicon integrated circuits, but less so than gold. To prevent contamination, Cu metallization films are isolated from the silicon using appropriate line/barrier layers such as TiN x , TaN x or WN x . Additionally, it is typically required that the copper be deposited in a fashion that will fill high aspect ratio features during integrated circuit fabrication. The liner/barrier layers must also coat these high aspect ratio features prior to the copper deposition.
  • a complete copper deposition process currently consists of three sequential discrete steps. First, a liner/barrier layer (not copper) is deposited. Second, a thin copper layer, called the “seed” layer is deposited on the liner/barrier layer. Third, a thicker copper layer, called the “fill” layer is deposited.
  • the liner/barrier layer deposition is typically done by physical vapor deposition (PVD, sputtering) but can also be done by CVD. This layer may typically be 10 to 100 nm thick.
  • the seed layer is also typically done by PVD but can also be done by CVD and also is typically between 10 and 100 nm thick.
  • the most widely accepted source material for Cu deposition by CVD is Schumacher CupraSelectTM copper source, which is Cu(hfac)(TMVS), or C 10 H 13 CuF 6 O 2 Si, in which a Cu atom is attached to a molecule of hexaflouroacetylacetonate (hfac, or CF 3 COCHCOCF 3 ) and to a molecule of trimethylvinylsilane (TMVS, or C 5 H 12 Si).
  • This material is unstable and difficult to work with and is relatively expensive at a cost of up to approximately $20 per gram.
  • the fill layer typically 100 to 300 nm thick, is currently typically deposited by an electroplating process, although CVD and PVD are alternatives.
  • the PVD process is not a practical alternative, however, due to the need to conformally fill high aspect ratio features, as the PVD process is inherently directional. Electroplating offers conformal deposition, but is done using a wet process which is against industry trends. Consequently, CVD is a preferred process due to conformality, similarity with other industry processes, and comparability with the seed layer deposition process.
  • the methods and apparatus of this invention particularly with respect to use of the unique precursor delivery system, provide a preferred method and means for depositing copper seed and fill layers, as well as liner/barrier layers.
  • the methods and apparatus of this invention are unique in that they allow stable ambient storage of the copper source chemical (referred to as the precursor) while small amounts of the pure precursor are introduced to the vaporizer unit.
  • the precursor is stored at an ambient temperature at which it is stable so that the source is not subject to premature decomposition.
  • the vaporization of a small quantity of precursor allows the vaporizer to function without risk of “clogging” due to the formation of a “skin” of non-volatile vaporization by-products of a precursor charge.
  • This is a common experience with vaporization methods that place a “large” quantity (or charge) of precursor in a vaporizer.
  • precursor sources in solid form as is likely to be a preferred method for copper CVD.
  • non-volatile materials can dissipate in the liquid or be displaced, allowing an appropriate exit path for the generated vapor.
  • non-volatile residues that form on the surface of a solid source are not readily displaced and interfere with the free path of vapor. This results in a “clogging” effect (or “poisoning” of the vaporizer).
  • Methods of this invention avoid this problem by introducing small amounts of precursor on-demand via a process control loop, whereby liquid precursors wick along a smooth conical surface in order to enable vaporization and solid precursors are dissipated along a stepped conical surface and vaporized. Residual material left on the stepped conical surface is covered by newly added material as introduced on-demand by the process control loop. This is a method of a non-clogging (non-poisoning) precursor vaporizer.
  • a precursor such as CuTMVS (CupraSelectTM), Cu(hfac) 2 , Cu(tfa) 2 , or Cu(fod) 2
  • CuTMVS CupraSelectTM
  • Cu(hfac) 2 Cu(tfa) 2
  • Cu(fod) 2 will be vaporized and delivered to the deposition chamber in a controlled fashion through the vapor-flow-control subsystem.
  • the vapor-flow-control subsystem, the deposition chamber walls, and other fixtures and/or plumbing are maintained at or above the same temperature as the vaporizer to insure that there is no condensation of the generated precursor vapors in the system prior to the vapors impinging on the heated substrate (e.g., a silicon wafer) onto which the copper film is to be deposited.
  • the heated substrate e.g., a silicon wafer
  • CupraSelectTM is an unstable material that will degrade/decompose at ambient room temperature and above. All these materials are intended to be deposited at a substrate (silicon wafer) temperature of generally between 150° C. and 300° C., although the range can extend beyond these temperatures depending on the specific precursor.
  • the cost ratio for this invention calculated in terms of the cost of Cu(acac) versus the cost of CuTMVS CVD is 67.6366.
  • a relative cost comparison for the different copper sources is illustrated in FIG. 25.
  • the deposition of copper utilizing the methods of this invention, it is anticipated that the deposition will be carried out at a process pressure of between 0.01 and 100 torr, with a nominal process pressure of approximately 1 torr.
  • the vaporizer pressure will be set at slightly above the deposition process pressure.
  • the substrate silicon wafer
  • the wafer chuck will be moved to its selected position for deposition and the precursor will be introduced in a controlled fashion through the vapor-flow-control subsystem.
  • the precursor flow will be terminated after a specific period of time or some other condition, and the wafer chuck lowered to the wafer transport position.
  • vaporizer set points for specific copper sources are set by targeting the 1 to 10 torr precursor vapor pressure range, though other vapor pressure ranges may be equally effective.
  • Representative vaporizer operating temperature set points for generating a range of vapor pressures are provided in FIG. 24, which indicates published vapor pressure data for several selected copper precursors. Based on this data, a vaporizer can be operated at 60° C. to 70° C. for CupraSelectTM (represented by the curve in FIG. 24 and limited in the upper range by the inherent thermal instability of this material), 50° to 100° C. for Cu(hfac) 2 (represented by diamonds), 140° to 200° C. for Cu(tfa) 2 (represented by triangles), and 160° to 200° C. for Cu(acac) 2 (represented by the square). More specifically, the vapor pressure curve for CupraSelectTM can be expressed as follows:
  • the CVD apparatus and methods of this invention can also be used to deposit organic materials such as photoresist or organic light emitting diode (LED) materials. Further, organometallic arsenic and/or phosphorous sources can be deposited via the apparatus and methods of this invention. Further still trimethyl indium (TMI) or other materials for compound semiconductor epitaxial crystal growth can be delivered with this invention.
  • organic materials such as photoresist or organic light emitting diode (LED) materials.
  • organometallic arsenic and/or phosphorous sources can be deposited via the apparatus and methods of this invention.
  • trimethyl indium (TMI) or other materials for compound semiconductor epitaxial crystal growth can be delivered with this invention.
  • CVD apparatus and methods of this invention include processing of flat panel displays and coated drill bits. Further still, the apparatus and methods of this invention can be used to deposit optical dielectric coatings, anti-reflection coatings, and coatings to reduce friction and wear.

Abstract

An apparatus for chemical vapor deposition includes a dispenser for dispensing a precursor to a vaporizer positioned within a vaporization chamber. A delivery conduit joins the vaporization with a process chamber. A flow meter is positioned within the delivery conduit for measuring the flow of precursor through the delivery conduit. A flow controller is likewise positioned within the delivery conduit for controlling the flow of precursor in response to the measured flow rate.

Description

    RELATED APPLICATIONS
  • This application is a divisional application of U.S. application Ser. No. 09/421,823, filed Oct. 20, 1999 which is a continuation-in-part application of U.S. application Ser. No. 09/291,871, filed Apr. 14, 1999, which is a continuation-in-part application of U.S. application Ser. No. 09/060,007 filed on Apr. 14, 1998, the entire contents of both applications are incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • Chemical vapor deposition (CVD) is a process of forming a film on a substrate, typically, by generating vapors from liquid or solid precursors and delivering those vapors to the surface of a heated substrate where the vapors react to form a film. Systems for chemical vapor deposition are employed in applications such as semiconductor fabrication, where CVD is employed to form thin films of semiconductors, dielectrics and metal layers. Three types of vapor delivery systems commonly used for performing CVD include bubbler-based systems, liquid-mass-flow-control systems, and direct-liquid-injection systems. [0002]
  • Bubbler-based systems, or “bubblers,” essentially bubble a stream of gas through a heated volume of liquid precursor. As the stream of gas passes through the liquid precursor, vapors from the liquid precursor are absorbed into the gas stream. This mixture of gases is delivered to a process chamber, where the precursor vapor reacts upon a surface of a heated substrate. Bubblers typically heat the volume of liquid precursor at a constant temperature. Over time, the constant heat often causes the precursor to decompose rendering it useless for CVD. In an effort to minimize decomposition, the bubbler is typically maintained at a temperature lower than that at which the vapor pressure of the liquid precursor is optimal. [0003]
  • Liquid mass flow control systems attempt to deliver the precursor in its liquid phase to a vaporizer typically positioned near the substrate. The precursor is vaporized and is then typically entrained in a carrier gas which delivers it to the heated substrate. A liquid mass flow controller, which is a thermal mass flow controller adapted to control liquids, is used to measure and control the rate of flow of liquid precursor to the vaporizer. [0004]
  • Liquid mass flow controllers present a number of drawbacks. First, liquid mass flow controllers are extremely sensitive to particles and dissolved gases in the liquid precursor. Second, liquid mass flow controllers are also sensitive to variations in the temperature of the liquid precursor. Third, liquid mass flow controllers typically use a gas to assist in the vaporization of the liquid precursor, thereby increasing the probability of generating solid particles and aerosols and ensuring a high gas load in the process system. Fourth, most liquid mass flow controllers cannot operate at temperatures above 40° C., a temperature below which some precursor liquids, such as tantalum pentaethoxide (TAETO), have high viscosity. Due to its sensitivities, the liquid flow controller is accurate and repeatable to about 1% of full-scale liquid flow. Further, when a liquid mass flow controller wetted with TAETO or one of a number of other precursors is exposed to air, the precursor will generally react to produce a solid which may destroy the liquid flow controller. [0005]
  • Liquid pump-based systems pump the liquid precursor to the point of vaporization, typically at a position near the heated substrate. Liquid pump-based systems are generally one of two main types. One type uses a liquid flow meter in line with a high-pressure liquid pump. The other type uses a high-precision, high-pressure metering pump. Both of these systems are extremely sensitive to particles in the liquid. The liquid-flow-meter based system is also sensitive to gas dissolved in the liquid. Both are extremely complex to implement, and neither can tolerate high temperatures (maximum 50° C.). The system with the metering pump has difficulty vaporizing high viscosity liquids. Finally, both are generally difficult to implement in a manufacturing environment due to their extreme complexity and large size. [0006]
  • Existing CVD equipment design is generally optimized for high process pressures. The use of high process pressures is most likely due to the fact that, until recently, CVD precursors were either generally relatively high-vapor-pressure materials at room temperature or were, in fact, pressurized gases. Examples include tetraethylorthosilicate (TEOS), TiCl[0007] 4, Silane, and tungsten hexafluoride, etc. These materials were chosen because they had high vapor pressures and could therefore be easily delivered. The process pressure was generally well within the stable vapor pressure range of each of these materials.
  • DISCLOSURE OF THE INVENTION
  • The present invention relates to systems and methods for chemical vapor deposition for the fabrication of materials and structures for a variety of applications. The system is well suited for use in the fabrication of devices for the semiconductor industry, but can also be used in other applications involving thin film deposition and processing. [0008]
  • In addition to the fabrication of dielectric layers, metalization layers, and epitaxially grown semiconductor films including silicon, germanium, II-VI and III-V materials, the system can be used for precision manufacture of optical thin films such as anti-reflective coatings or stacked dielectric structures including optical filters, diamond thin films or composite structures for multichip modules or optoelectronic devices. [0009]
  • In contrast to thin films of traditional CVD materials, future thin films require new source materials that have low vapor pressures and that are often near their decomposition temperature when heated to achieve an appropriate vapor pressure. Some of the precursors having both intrinsically low vapor pressure and low thermal decomposition temperature are considered the best choices for deposition of films of tantalum oxide, tantalum nitride, titanium nitride, copper, and aluminum. [0010]
  • An apparatus of this invention includes a vaporizer within a vaporization chamber and a dispenser positioned for dispensing a precursor to the vaporizer. A delivery conduit joins the vaporization chamber with a process chamber, where a chemical vapor is deposited on a substrate. A flow meter is positioned to measure vapor flow through the delivery conduit, and a flow controller is positioned to control vapor flow through the delivery conduit. Both the flow meter and flow controller are communicatively coupled with a processor programmed to control the flow controller to govern vapor flow through the delivery conduit in response to the measured vapor flow. [0011]
  • In a preferred embodiment, the flow meter includes a tube with a pair of open ends, which acts as a laminar flow element. The flow meter further includes a pair of capacitance manometers aligned with the open ends of the tube to measure the pressure drop across the laminar flow element. In a further preferred embodiment, the flow controller is a proportional control valve in communication with the flow meter. [0012]
  • A still further preferred embodiment of the apparatus includes a reservoir for supplying precursor to the dispenser. The dispenser is controlled by the processor and the vaporizer which receives precursor from the dispenser includes a heated surface for vaporizing the precursor. Preferably, a pressure sensor communicatively coupled with the processor is positioned in the vaporization chamber. Accordingly, the processor can, in some embodiments, control the rate at which vapor is generated by the vaporizer, by, for example, controlling the rate at which the dispenser dispenses precursor from the reservoir to the vaporizer. [0013]
  • In another embodiment of the apparatus, an outlet of the delivery conduit is positioned in the process chamber, and a showerhead divides the process chamber into an upstream section and a downstream section, wherein the outlet is in the upstream section and a substrate chuck is in the downstream section. An upstream pressure sensor is positioned to measure vapor pressure in the upstream section, and a downstream pressure sensor is positioned to measure vapor pressure in the downstream section. Both the upstream and downstream pressure sensors are communicatively coupled with a processor. In a further preferred embodiment, the showerhead is “active,” enabling control over the vapor flow rate through the showerhead. [0014]
  • Other features found in preferred embodiments of the apparatus include a heater in thermal contact with the delivery conduit, a DC or AC source connected to the substrate chuck, and an elevator for raising and lowering the substrate chuck. Another embodiment of this invention is a cluster tool for semiconductor processing including a CVD apparatus, described above, connected to a central wafer handler. [0015]
  • In a method of this invention, a precursor is vaporized in a vaporization chamber, vapor flow between the vaporization chamber and a process chamber is measured, and the rate of vapor flow between the vaporization chamber is controlled in response to the measured vapor flow. In another embodiment of a method of this invention, the vapor pressure of a precursor is measured, and the rate at which the precursor is vaporized is controlled in response to the measured vapor pressure, preferably by controlling the rate at which precursor is dispensed from a reservoir onto a vaporizer. Preferably, deposition occurs via a surface-driven reaction. Nevertheless, embodiments of the invention also cover methods where deposition occurs via non-surface driven reactions. [0016]
  • The invention also includes the vaporization subsystem, alone (i.e., without the vapor-flow-control subsystem or process subsystem). The vaporizer has a sloped surface, preferably in the approximate shape of a dome or cone. More specifically, the vaporizer can be in the form of a stepped cone. The invention also includes embodiments where a plurality of vaporizers are coupled to a process chamber. Each vaporizer can be coupled to a respective vapor-flow-control subsystem. This embodiment enables multiple depositions to be performed using different precursors in a single process chamber. Specific precursors that are preferred for use with this invention include copper sources, tantalum sources, titanium sources and silicon sources. Another method achievable with the apparatus of this invention is the deposition of silica by reacting TEOS and N[0017] 2O at temperatures less than 600° C.
  • Another aspect of the invention includes a unitary metal block with a through-bore through which the vaporized precursor flows from the vaporization chamber to the process chamber. Preferably, the block includes a laminar flow element mounted in the through-bore and a plurality of bores through which pressure sensors, a proportional control valve and heaters are mounted. An advantage of the use of a unitary metal block as a component of the vapor-flow-control subsystem is the high level of temperature uniformity that it provides. [0018]
  • The systems and methods of this invention provide numerous benefits. First, they allow the precursor to be delivered to the substrate in a much purer and higher-concentration or high-flux form than is achievable with the use of systems that use a carrier gas. As a result, the likelihood of gas-phase reactions and consequent formation of particles can be greatly reduced. Because of the higher concentration, which leads to a higher deposition rate, this invention does not necessitate the introduction of plasma into the process chamber. Consequently, the apparatus is simplified, and plasma-induced polymerization of precursor is reduced or eliminated. Second, control over the concentration of precursor delivered to the process chamber is enhanced, thereby improving control over film thickness and uniformity. Third, the direct delivery of vapor flow into the process chamber at low temperature and low pressure and without a carrier gas increases the efficiency of use of costly precursors in many applications by a factor of up to 10 or more over standard systems utilizing a carrier gas, which infer precursor vapor flow rates either from a theoretical pickup rate, which is carrier-gas and temperature dependent, or from a thermal mass-flow controller or liquid delivery system. Likewise, emissions of unreacted process gases from the process chamber can be maintained at very low levels because the absence of a carrier gas and generally lower flow rates and better residence times leads to a higher utilization efficiency of the precursor. Fourth, decomposition of the precursor is limited due to its short contact time with the heated vaporizer. While small amounts of precursor are delivered to the vaporizer, as needed, the useful life of the bulk of the precursor is preserved by maintaining it at a lower temperature in the reservoir. Fifth, the highly conformal nature of deposits that can be formed by methods of this invention are useful in forming integrated circuits with line-widths of 0.25 microns (250 nm) or less. [0019]
  • Other advantages of this invention include the low sensitivity of the system to impurities such as dissolved gases and particles in the precursor, the relative ease of alternating between multiple precursors in a single system as a result of the ability to coordinate the use of each with a precursor delivery system, the ease of accessing and maintaining all subsystems, the low power requirements of the system, the use of only low voltages in the operating elements of the system and the small overall size of the system.[0020]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages of the invention will be apparent from the following, more particular description of preferred embodiments of the invention, as illustrated in the accompanying figures. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention. [0021]
  • FIGS. 1A and 1B provide a schematic illustration of an apparatus of this invention. [0022]
  • FIGS. 1C and 1D provide a schematic illustration of another embodiment of an apparatus of this invention. [0023]
  • FIG. 2[0024] a is a cross-sectional illustration of a vaporization subsystem of this invention.
  • FIG. 2[0025] b is a cross-sectional illustration of another embodiment of a vaporization subsystem of this invention.
  • FIG. 2[0026] c is a schematic illustration of a control system of this invention.
  • FIG. 2[0027] d is an illustration, partially schematic, of an apparatus of this invention, including a plurality of vaporization subsystems coupled to a single process chamber.
  • FIG. 2[0028] e is an illustration of a stepped vaporizer of this invention.
  • FIG. 3[0029] a is an illustration of a vapor-flow-control subsystem of this invention.
  • FIG. 3[0030] b is an illustration of another embodiment of a vapor-flow-control subsystem of this invention.
  • FIG. 3[0031] c is a side view of a plurality of vapor-flow-control subsystems of the apparatus shown in FIG. 2d.
  • FIG. 3[0032] d is a chart of a representative vapor pressure in a vaporizer of this invention versus time.
  • FIG. 3[0033] e is a chart of a representative vapor pressure exiting a vapor-flow-control subsystem of this invention.
  • FIG. 4[0034] a is a view, partially in cross section, of a process subsystem of this invention.
  • FIG. 4[0035] b is a cross-sectional view of another embodiment of a process subsystem of this invention, with the substrate chuck in a retracted position.
  • FIG. 4[0036] c is a cross-sectional view of the embodiment of FIG. 4b , with the substrate chuck raised to a processing position.
  • FIG. 4[0037] d is another cross-sectional view of the embodiment of FIG. 4b , with the substrate chuck in a fully-extended position.
  • FIG. 5[0038] a is an illustration of a shower head of this invention.
  • FIG. 5[0039] b is a top view of a replaceable showerhead mounted within a ring.
  • FIG. 5[0040] c is a cross-sectional side view of the showerhead and ring illustrated in FIG. 5b.
  • FIG. 5[0041] d is an illustration of a typical deposited layer formed in a cavity via PVD processes.
  • FIG. 5[0042] e is an illustration of a typical deposited layer formed in a cavity via conventional CVD processes.
  • FIG. 5[0043] f is an illustration of a deposited layer that can be formed with the apparatus and method of this invention.
  • FIG. 5[0044] g is a graph of pressure differential across a chuck versus the position of the chuck in a process chamber of this invention.
  • FIGS. 6[0045] a , 6 b and 6 c are perspective views of one embodiment of the CVD apparatus of this invention.
  • FIG. 7 illustrates the control architecture of a CVD apparatus according to one embodiment of the invention. [0046]
  • FIG. 8 illustrates the main process control routine according to one embodiment of the invention. [0047]
  • FIGS. 9[0048] a and 9 b illustrate the operation of the vaporizer sub-process according to one embodiment of this invention.
  • FIG. 10 illustrates the processing performed by the vapor phase flow control sub-process according to one embodiment of this invention. [0049]
  • FIG. 11 illustrates a process chamber pressure control sub-process according to this invention. [0050]
  • FIGS. 12[0051] a through 12 d illustrates the operation of inserting a wafer into the process chamber of one embodiment of this invention.
  • FIG. 13 illustrates the cleanup sub-process according to one embodiment of this invention. [0052]
  • FIG. 14 illustrates an example portion of a schematic showing the closed loops present in a CVD apparatus according to one embodiment of the invention. [0053]
  • FIG. 15 is an illustration of a cluster tool embodiment of this invention. [0054]
  • FIG. 16 illustrates multiple cluster tools configured to be controlled by a single factory automation controller according to this invention. [0055]
  • FIG. 17 illustrates multiple cluster tools, each controlled by separate cluster tool controllers which are in turn controlled by a factory automation controller according to this invention. [0056]
  • FIG. 18 illustrates an example of the processing steps performed by a cluster tool controller according to one embodiment of this invention. [0057]
  • FIG. 19 is a graph of CVD source vapor pressure curves for various sources versus temperature. [0058]
  • FIG. 20 is a graph showing the refractive index of a silica layer at various positions across the surface of a wafer formed by methods of this invention. [0059]
  • FIG. 21 is a graph showing the thickness of a silica layer at various positions across the surface of a wafer formed by methods of this invention. [0060]
  • FIG. 22 is a schematic illustration of a cluster tool for gate oxide deposition. [0061]
  • FIG. 23 is a schematic illustration of a cluster tool for depositing aluminum and copper metallization films. [0062]
  • FIG. 24 is a graph of vapor pressure versus source temperature for several copper sources. [0063]
  • FIG. 25 is a chart illustrating a relative cost comparison of copper sources. [0064]
  • FIG. 26 is a cross-sectional illustration of a device for microelectronics applications.[0065]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The features and other details of the method of the invention will now be more particularly described with reference to the accompanying drawings and pointed out in the claims. Numbers that appear in more than one figure represent the same item. It will be understood that the particular embodiments of the invention are shown by way of illustration and not as limitations of the invention. The principle features of this invention can be employed in various embodiments without departing from the scope of the invention. [0066]
  • As illustrated in FIGS. 1A and 1B, a preferred embodiment of a [0067] CVD apparatus 10 of this invention includes four integrated subsystems, including a vaporization subsystem 12, a vapor-flow-control subsystem 14, a process subsystem 16, and an exhaust subsystem 18. A distributed processing system, described below, provides integrated control and management of each of these subsystems. The distributed processing system and each of the subsystems 12, 14, 16 and 18 are all within a single free-standing CVD module 10 (illustrated in FIGS. 6a-c). The dimensions of the CVD module generally will not exceed a 1 m by 2 m footprint and preferably are no greater than about 1.2 m in length by about 0.6 m in width by about 1.8 m in height to achieve conformity with existing standards for integration with a wafer handler such that the free-standing CVD module can fit within the typically allotted footprint in a larger cluster tool configuration. In a further preferred embodiment, the CVD module fits within a standard footprint, as established by MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers, for connection to a wafer handler or transport module.
  • Each of [0068] boxes 103, 105, 107, 109, 111, 113, 115, 117 and 119 represents a separate control zone. Each of the control zones is independently heated with a separate cartridge heater 121. Further, the temperature in each control zone and at other heated elements of the apparatus is monitored by a resistance temperature detector or resistance thermometry detector (RTD) 131, of which one embodiment is a platinum resistance thermometer. The RTD is preferably encapsulated by a silicon nitride coating because of the heat conduction and low thermal mass of the silicon nitride. Alternatively, thermocouples or other temperature sensing devices can be used in place of the RTD's.
  • The [0069] vaporization subsystem 12, illustrated in FIG. 2a, is designed to generate a controlled supply of precursor vapor for deposition. The precursor, initially in liquid or solid form, is stored in a reservoir 20 fabricated from Inconel® or Inconel® alloys, such as Incoloy® 850 (available from Inco Alloys International, Inc., Huntington, W. Va.). Alternatively, the reservoir 20 is formed of 316L stainless steel. A funnel 22 is provided at the base of the reservoir 20, with a dispenser in the form of a dispensing valve 24 at the focal point of the funnel 22 for dispensing precursor from the reservoir 20. Where a liquid precursor is used, the dispensing valve 24 is an axial displacement pulse valve. Where a solid precursor is used, the dispensing valve 24 is a rotary valve. The reservoir 20 is thermally insulated from the vaporization chamber 26, discussed below, and is maintained at a temperature below that at which the precursor will be subjected to significant decomposition. Optionally, multiple reservoirs 20 are provided, each filled with a different precursor and each feeding into the vaporization chamber 26. As each precursor is needed, the appropriate reservoir 20 can be utilized. Alternatively, multiple reservoirs 20 each feed into their own vaporization chamber.
  • A system for governing the supply of various precursors to a [0070] cluster tool 120 having one or more vaporization chambers 26 is illustrated in FIG. 2c. A cluster tool controller 802 is controlled by a programmable host computer or data processor 804, which sends high-level commands to a process module to govern the deposition process, including regulation of the delivery of precursors 806, 808, 810 for the deposition of titanium nitride, copper, and aluminum, respectively, for example. The cluster tool controller 802 is further programmed by the host computer 804 to regulate a pair of modules for annealing/diffusion 812, 814 and a separate module for pre-heating and pre-cleaning 816. Communication between each of these modules 806, 808, 810, 812, 814 and 816 and the cluster tool controller 802 is facilitated by a bus architecture that can include, for example, a ProfiBus data bus 818 in combination with an EtherNet/Epics data bus 820. Connected to the EtherNet/Epics data bus 820 is the cluster tool 120, allowing the cluster tool controller 802 to likewise govern operation of the cluster tool 120 to which the precursors from modules 806, 808, 810 are delivered. The system further includes a console for monitoring operation of the system 822 and a console for system maintenance 824. Both consoles 822 and 824 are connected to the cluster tool controller 802.
  • In operation, the [0071] cluster tool controller 802, as controlled by the host computer 804, can, in relatively rapid sequence, select various precursors from module 808, 810 and 812 for delivery to one or more vaporization chambers 26 (FIG. 2a). This capability allows for a sequencing of starting materials in a single system, thereby allowing for a rapid sequence of depositions of different layers on a substrate in process modules of the cluster tool 120. Additional details regarding the various components of FIG. 2c, alternative embodiments thereof, and methods of using the same are described in greater detail below.
  • A [0072] vaporizer 28 that has ever-increasing surface area at distances away from the dispensing valve 24 (e.g., the vaporizer having the approximate form of a cone or a dome) is used to vaporize the precursor. The vaporizer 28 functions as a falling film molecular still, in which a liquid precursor generates a wavefront flowing down the surface of the vaporizer 28. The temperature of the vaporizer 28 is set to vaporize the precursor over the course of its travel across the vaporizer 28 surface. Contaminants with higher vaporizing temperatures will generally flow down the surface of the vaporizer 28 and fall off without vaporizing.
  • Preferably, the [0073] vaporizer 28 is in the form of an inverted cone and is positioned to receive precursor flowing from the dispensing valve 24. The vaporizer 28 is made from a thermally-conductive material coated or plated, as required, for the best chemical compatability with the precursor. In a preferred embodiment, the vaporizer 28 includes an electroless-nickel-plated OFHC substrate coated with a sulphamate nickel overplate, which in turn is optionally coated with rhodium overplating for very high corrosion resistance and inertness. The vaporizer 28 illustrated in FIGS. 1A and 1B is designed for vaporizing a liquid precursor. Alternatively, a multi-stepped-shape cone, illustrated in FIG. 2e, is used for solid precursors, wherein ridges are provided on the cone to collect the solid as it is delivered from the reservoir 20. In the embodiment of the stepped cone illustrated in FIG. 2e, the width of each step 171 is 0.050 inch, and the distance from the apex 173 to the first step 171′ is 0.75 inch.
  • One suitable embodiment of the [0074] vaporizer 28 illustrated in FIGS. 2a and 2 b includes a cone with a height of 4.20 inches and a base diameter of 3.70 inches. The vaporizer 28 and the reservoir 20 are removable so that they can be cleaned and replaced during scheduled maintenance. When in use, the vaporizer 28 is heated to a temperature sufficient to vaporize the precursor without causing it to suffer thermal decomposition.
  • The [0075] vaporizer 28 includes a plurality of bores 29. Heaters, e.g., Watt-Flex® cartridge heaters 90 (available from Dalton Electric Heating Co., Inc., Ipswich, Mass.) are inserted into four of these bores 29. In one example, the heaters are 3.0 inches in length and 0.25 inches in diameter. The heaters supply 50 watts at 24-25 VAC, and can be heated above 1000° C. Typically, though, the heaters are operated in the vicinity of 200° C. Depending on the precursor, though, the vaporizer can be operated at least up to 250-300° C. A platinum resistance thermometer is inserted into a central bore 31.
  • The [0076] vaporizer 28 is not intended to be used as a “flash vaporizer.” Rather, it is intended that the precursor will spread across the vaporizer 28 surface, from which vapors will evolve. The vaporizer 28 offers the advantage of not being sensitive to small particles suspended in standard grades of liquid CVD precursor used in the semiconductor industry. In this embodiment, suspended particulates are left behind on the vaporizer 28.
  • A [0077] vaporization chamber 26 surrounds the vaporizer 28 and is made of OFHC copper plated with electroless nickel and sulfamate nickel and also rhodium if highly reactive or unstable precursors are used. The vaporization chamber 26 includes a principal cylinder 30 and a vapor outlet 32. The vaporization chamber 26 essentially serves as an expansion volume and reservoir for vapors produced by the vaporizer 28.
  • A [0078] pressure sensor 34 is preferably positioned in the vapor outlet 32 for measuring the vapor pressure in the vaporization chamber 26. Alternatively, the pressure sensor 34 can be positioned in the principal cylinder 30. The pressure sensor 34 is heated to about the same temperature as the vaporizer 28 during operation to prevent condensation of the vaporized precursor. The pressure sensor 34 is coupled in a processor-driven control loop with the dispenser 24 to achieve a fairly constant pressure in the vaporization chamber 26. Because vapor flow in this system is driven by pressure differentials, the pressure in the vaporization chamber 26 is maintained above that in the process chamber 70. As pressure drops in the vaporization chamber 26, the dispenser 24 is signaled to dispense more precursor. Accordingly, the pressure sensor 34 and dispenser 24 work in concert to maintain the pressure in the vaporization chamber in a range between the pressure in the process chamber 70, discussed below, and the standard vapor pressure of the precursor at the temperature of the vaporizer. In this system, the response time for reestablishing the desired vapor pressure is typically about 10 seconds. Preferably, the pressure sensor 34 is a capacitance manometer with a 1000 torr fill-scale range, or other, similar direct-measuring gauge.
  • FIG. 2[0079] b illustrates an alternative embodiment of the vaporization subsystem in which the base 21 of the neck 23 includes a groove, where the base is hollowed out to prevent thermal degradation of the precursor as it flows down rod 33 on the way to the vaporization chamber 26. Heat from the vaporizer 28 travels through the walls of the vaporization chamber 26 and into the neck 23. By hollowing out the neck 23, the inner wall 25 is spatially removed from the flow of precursor down the rod 33. The hollowed out section extends approximately midway up the neck 21. It ends at angled surface 27, above which the inner diameter of the neck is constricted. Vapor flowing up into the hollowed out section is prone to condense on angled surface 27, which directs condensed vapors back toward rod 33.
  • The vapor pressure throughout the system is maintained at relatively low levels. One reason why the system can be operated at low pressure levels is the close physical proximity of all of the subsystems. Accordingly, the vapors need travel only very short distances from vaporization to deposition. Because the vapor pressure and the velocity of the vapor are low, the transport of particles throughout the system is significantly reduced in comparison to higher pressure systems, such as those which use a carrier gas. [0080]
  • Alternatively, a plurality of vaporization subsystems similar to that illustrated in FIGS. 2[0081] a or 2 b can be coupled to a single process chamber 70 through respective vapor-flow-control subsystems, as shown in FIG. 2d. The apparatus of FIG. 2d includes three vaporization subsystems 12, 12′, 12″, three vapor-flow- control subsystems 14, 14′, 14″ and a process chamber 70. Each of the components are covered with a layer of thermal insulation 199 to allow each element to operate thermally independent of one another. As an alternative to the embodiment illustrated in FIG. 2d, each of the vaporization subsystems 12, 12′, 12″ can feed to a single vapor-flow-control subsystem for delivery to the process chamber 70. In one embodiment, the lines 175 leaving each vapor-control- subsystem 14, 14′, 14″ are merged to form a single input into the process chamber 70. In an alternative embodiment, one or more lines 175′ can form completely separate inputs to the process chamber; such an embodiment is advantageous where the lines 175 are heated to different temperatures to prevent condensation therein or where vapors in the different lines 175 can react with one another.
  • In this apparatus, each vaporizer is aligned with a dispenser filled with a different precursor. The benefit of employing a plurality of [0082] vaporization chambers 12, 12′, 12″ is that each can be used to generate a distinct vapor that can be deposited in sequence on the substrate. Accordingly, multiple layers of differing compositions can be deposited on the substrate without ever moving the substrate from its position in the process subsystem 16. For example, one embodiment of an apparatus used for forming stacked gate dielectrics has one vaporization subsystem 12 with a reservoir 20 filled with TEOS for forming a silica deposit, a second vaporization subsystem 12′ having a reservoir 20′ filled with TAETO for forming a tantalum oxide deposit, and a third vaporization subsystem 12″ having a reservoir 20″ filled with TiBr4 or TDEAT for forming titanium nitride.
  • In this example, the process commences with the generation of TEOS vapor in the [0083] first vaporization subsystem 12. The TEOS vapor is reacted with N2O to form a low-k dielectric film (SiO2) on a heated semiconductor wafer. Background discussion of deposition of silicon dioxide from TEOS/N2O mixtures is provided in D. Davazoglou, “Thermodynamic Study, Composition, and Microstructure of Low-Pressure Chemical Vapor Deposited Silicon Dioxide Films Grown from TEOS/N2O Mixtures,” 145 J. Electrochem. Soc. 1310 (April 1998), which is incorporated herein by reference in its entirety.
  • After a sufficient thickness of the low-k dielectric film is deposited, the TEOS dispenser shuts off and vaporization commences in the [0084] second vaporization chamber 12′, where TAETO vapor is generated and delivered to the process chamber 70, where the TAETO vapor is reacted with N2O to form a high-k dielectric film, tantalum oxide (Ta2O5), on the first (SiO2) dielectric film. Finally, TiBr4 or TDEAT vapor is generated in the third vaporization chamber 12″ and reacted with ammonia (NH3) to form a very thin titanium nitride (TiN) deposit, which serves as a capping material for the tantalum oxide layer. The wafer can then be removed from the chamber. In alternative methods, the step of depositing TiN can be performed in a separate process chamber.
  • Performing such a process in a single chamber is possible because the entire apparatus is designed to operate without a need for using plasma or other energy source to facilitate deposition. When plasma, for example, is used to enhance deposition, films tend to be deposited on the walls of the chamber, thereby leading to cross-contamination if alternating sources were delivered to the same chamber. In contrast, the surfaces of the chamber remains clean with the methods of this invention. [0085]
  • The bodies of the vapor-flow-[0086] control subsystems 14, 14′, 14″ illustrated in FIG. 2d are formed of an aluminum block 197 with a flow path bored out of the interior. Bores in the aluminum block 197 are also formed for accommodating heaters and components that are exposed to the flow paths, such as pressure sensors 34, 48, 50 and valves 42, 44, 159, 58, with fittings machined into the aluminum block 197 for mounting each of the components. As in previously-described embodiments, a laminar flow element is mounted between the pressure sensors in the through-bore through which the vaporized precursor flows. By forming the structure from a single, unitary block of material, temperature uniformity throughout the vapor-flow-control subsystem is promoted. Alternatively, the aluminum block 197 can be formed of stainless steel or other material that does not react with the precursor vapor.
  • Another embodiment of a vapor-flow-[0087] control subsystem 14 is illustrated in FIG. 3a . All items in the vapor-flow-control subsystem 14 are enclosed in a heated conductive sheath, preferably of aluminum, which heats the items to approximately the same temperature as the vaporizer 28. The conductive sheath has a 3-inch by 3-inch square cross-section with a bore of just over 1-inch diameter in the center to accommodate the delivery conduit 40. Further, the conductive sheath includes casts of pressures sensor 48, 50 and other instruments, allowing the conductive sheath to conform to the exterior shape of the vapor-flow subsystem. The conductive sheath includes bores into which heaters, e.g., Watt-Flex® cartridge heaters and temperature sensors, are inserted. A delivery conduit 40 joins the vaporization chamber 26 and the process chamber 70. Preferably neither the length of the delivery conduit 40 nor the distance between the vaporization chamber 26 and the process chamber 70 exceeds 25 cm. A series of valves controls the flow of vapor between chambers 26, 70. An isolation valve 42 seals the vaporization chamber 26 from the delivery conduit 40. In one embodiment the isolation valve 42 is an HPS Lopro® valve modified to operate at high temperatures. In elements, such as the isolation valve 42, which must withstand high temperatures, all elastomer seals are a special high temperature material, such as CHEMRAZ E38 seals (or other CHEMRAZ seals, depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pa., U.S.A.). DuPont KALREZ 8101, SAHARA or DRY seals may also be used but have been observed to offer poorer thermal stability relative to the CHEMRAZ seals. These o-ring seals preferably have dimensions meeting the standards established by the International Organization for Standardization (ISO 2861/1). A proportional control valve 44 (for example, those made by MKS Instruments, Andover, Ma.) designed to withstand high temperatures, provide high conductance and provide chemical compatibility with wet precursors is illustrated in FIG. 2a. Alternatively, a plurality of valves 44′ connected in parallel, as illustrated in FIG. 1A, can be used in place of a single proportional control valve 44. The proportional control valve 44 is positioned downstream from the isolation valve 42 and is upstream from a flow meter 46 consisting of a pair of pressure sensors 48, 50 and a laminar-flow element 54. In the illustrated embodiment, the laminar-flow element is an open-ended tube 54 inserted through an orifice in an otherwise solid block 56 blocking flow through the delivery conduit 40. In one embodiment, the tube 54 has a length of 8.0 inches, an outer diameter of 0.375 inches, and an inner diameter of 0.280 inches. The tube 54 is oriented concentrically with and within the delivery conduit 40. In one embodiment of the method of this invention, the pressure drop across the tube 54, as vapor flows through the delivery conduit 40, is on the order of 0.1 torr.
  • The [0088] delivery conduit 40 has an internal diameter (I.D.) that is larger than that of pipes conventionally used for vapor precursor delivery in existing CVD systems. Preferably the internal diameter of delivery conduit 40 is between 12 and 40 mm. More preferably, the internal diameter is about 25 mm. The use of such a wider-I.D. conduit for vapor transport between the vaporization chamber 26 and the process chamber 70 (see FIGS. 4a-d) permits higher conductance for the vapor flow therein and, consequently, allows for adequate vapor flow at lower pressures. The vaporized precursor is delivered to the process chamber 70 through conduit 40 at no more than 50% dilution. In preferred embodiments, the vaporized precursor is delivered to the process chamber in a substantially undiluted state (i.e., less than 10% dilution). In further preferred embodiments, the vaporized precursor is delivered in an intrinsically pure form. Additional conduits 141 can also be provided to deliver vaporized precursors from other vaporizers to the process chamber 70.
  • Each of a pair of [0089] pressure sensors 48, 50, preferably capacitance manometers, is respectively aligned with an open end 57/59 of the tube 54. Accordingly, the difference in pressure measurements from the two pressure sensors 48, 50 will reflect the pressure drop across the tube 54, thereby allowing the rate of vapor flow through the tube 54 to be calculated. A capacitance manometer is an electronic gauge providing a direct measurement of pressure in the delivery conduit 40. Where capacitance manometers are used, each manometer preferably has the same full-scale range, typically 10 torr. Suitable capacitance manometers include a specially-constructed Baratron® 121-based absolute pressure transducer (available by special order from MKS Instruments) and the model 622 Barocel® bakeable vacuum/pressure transducer (available from Edwards High Vacuum International, Wilmington, Ma.). The Baratron® transducer is specially built and calibrated to operate at 200° C., in comparison to a standard Baratron® transducer, which is typically limited to 150° C.
  • The transducers are modified to remove all unnecessary mass and to promote uniform temperature distribution across the transducer. Accordingly, as a first step, the cover or shell of the transducer is removed. To do so, the cables attached to the Baratron® transducer are removed, the shell of the transducer is removed and discarded, and the cables are shortened and reattached. The housing support ring is also removed and discarded. Further, the port of the transducer is removed. Its length is machine cut, and it is then reattached. The electronics of the transducer are then re-calibrated to match the changed capacitance of the modified transducer. While the Barocel® transducer is available, off the shelf, for use at 200° C., as with the Baratron® transducer, the case of the Barocel® transducer is removed, and its cables are removed and replaced. [0090]
  • In an alternative embodiment, illustrated in FIG. 3[0091] b , the solid block 56 surrounding the laminar flow element 54 extends further toward the ends of the laminar flow element 54. By lengthening the block 56, the volume of open volume surrounding the laminar flow element 54 is reduced. This open volume is generally considered to be “dead space.” Reduction of this dead space is thought to provide a more direct and efficient flow path through the delivery conduit 40. In a further preferred embodiment, all or nearly all dead space is removed as the block 56 and the laminar flow element 54 essentially form a single tubular component such that vapor flowing through the conduit 40 will hit a wall at the capacitance manometer 48 and be directed through a bore, which acts as the laminar flow element 54, within that wall.
  • Also shown in FIG. 3[0092] b is a heated aluminum sheath 55, which is in thermal contact with the delivery conduit 40 and other components of the precursor delivery system.
  • The [0093] proportional control valve 44 is coupled with the flow meter 46 in a processor-driven control loop to regulate the flow of vapor through the delivery conduit 40. Accordingly, the flow meter 46 provides feedback regarding the pressure differential in the delivery conduit 40, and this feedback is used to direct the proportional control valve 44 to increase or decrease flow, which in turn, will respectively increase or decrease the pressure differential in the delivery conduit 40, as measured by the flow meter 46. This responsive regulation of the proportional control valve 44 is continued until the pressure differential, as measured by the flow meter 46, matches that which is needed to supply the precursor at the desired rate for reaction in the process chamber 70.
  • Alternatively, a single differential pressure transducer capacitance manometer, which measures a pressure drop across the laminar flow element, can be used along with a single absolute pressure transducer in place of the pair of capacitance manometers. Other alternative means for inducing a predictable pressure drop include a choked flow element or a molecular flow element in place of the laminar flow element. [0094]
  • The vapor-flow-[0095] control subsystem 14 further includes a second isolation valve 58, e.g., an HPS Lopro® valve modified for high temperatures, positioned downstream from the flow meter 46. Charts showing representative vapor pressure over time at the inlet of the vapor-flow-control subsystem 14 of this invention is provided in FIG. 3d, while representative source flow at the outlet of the vapor-flow-control subsystem 14 is provided in FIG. 3e.
  • In parallel with the vaporization and vapor-flow-[0096] control subsystems 12, 14, a process gas subsystem 150 supplies additional reactant, purge and other process gases to the process chamber 70. The illustrated subsystem 150 includes sources of argon 152, helium 154, and nitrous oxide (N2O) 156. Gas flow from each of these sources is regulated by a plurality of valves 162/164/169 and 161/163/168 with a mass flow controller 165/166/167.
  • In specific processes, nitrous oxide from [0097] source 156 flows through valve 157 into process chamber 70 through exit port 143 for reaction with the vaporized precursor delivered through delivery conduit 40. Other gas sources or reactants may be used for deposition of other specific materials. After deposition is performed, argon from source 152 flows through valve 157 into process chamber 70 to purge the chamber 70. By opening valve 160 in conjunction with at least one of valves 155, 158 or 159, particular subsystems or segments of CVD apparatus 10 can be independently isolated and evacuated or backfilled. Additional reactant sources, including plasma-ionized gas can be linked into the process gas subsystem in parallel and with or without the nitrous oxide for delivery to the process chamber 70.
  • Helium from [0098] source 154 is delivered through valve 157 into process chamber 70, where it is channeled through a conduit for release between a substrate chuck 74 and a substrate 88 upon which vapors are deposited to improve the transfer of heat between the substrate chuck 74 and the substrate 88.
  • The [0099] process subsystem 16 is designed to perform the actual deposition of reacted precursor vapor onto a substrate. The process subsystem 16, illustrated in FIG. 4a , includes a process chamber 70, a showerhead 72 and a substrate chuck 74.
  • The [0100] process chamber 70 typically is formed of electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is operated between 50° C. and 300° C. The process chamber 70 includes an access port 123, which can be joined to a wafer handler or cluster tool for transporting wafers into and out of the process chamber 70. A gate valve 125 is mounted to the access port 123 for controlling access there through. The process chamber 70 further includes an inlet port 76 in an upstream section 78 of the chamber 70 and an exhaust port 80 in a downstream section 82 of the chamber 70 through which vapor flow is managed. An outlet of the delivery conduit 40 projects into the chamber 70 through the inlet port 76, while the exhaust port 80 is connected to the exhaust subsystem 18. A pressure sensor 51 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the upstream section 78. At least one other pressure sensor 53 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the downstream section 82.
  • A [0101] showerhead 72 segregates the process chamber 70 into upstream and downstream sections 78, 82. In one embodiment, the showerhead 72 comprises electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is in the form of a flat, circular plate with passages 84 for gas flow. The showerhead 72 is either passive, as illustrated in FIG. 4a , or active. An “active” showerhead is a showerhead which undergoes a change to alter the rate at which vapors flow through it. In a preferred embodiment, the active showerhead includes an array of phase-change eutectic milliscale valves in place of the small holes 84 illustrated in FIG. 5a . These valves, which are available from TiNi Alloy Company (San Leandro, Calif.), are made of a thermal-phase-change material comprising a micromachined titanium and nickel alloy. The valves, which, in one embodiment, are about 0.1 inch in diameter, can be formed in situ on the showerhead plate en masse. The valves open when current is applied. The valves react in milliseconds, so they can be used in real time. They can also be used to effect dynamic patterns of valve actuation, e.g., sweeping action, pulsing, spots, etc.
  • In an alternative embodiment, the [0102] showerhead 72 is a smaller plate with a diameter approximating that of the wafer 88. This embodiment is shown from a top view in FIG. 5b and, in cross-section, from a side view in FIG. 5c . As shown, the showerhead 72 is replaceably fitted into a larger ring 73 and is no larger than a confined process volume, described below. Accordingly, various showerheads may be exchanged in the larger ring for use with different sized wafers and with different process conditions. The use of smaller showerheads reduces cost, provides greater flexibility in processing, and concentrates the flow of process gases exclusively into the volume immediately above the substrate 88.
  • A [0103] substrate chuck 74, positioned in the downstream section 82, comprises electroless-nickel-plated OFHC copper, with an electroplated sulphamate nickel overplate, and, optionally, an overcoat of a flame-sprayed aluminum oxide or other, similar insulating ceramic. The substrate chuck 74 is secured with Hastalloy screws and lock washers and is designed to hold a substrate 88 upon which the precursor is to be reacted. The substrate chuck 74 includes a plurality of bores 75 radiating outward and into the substrate chuck 74. A platinum resistance thermometer or thermocouple is inserted through one of the bores 75 to measure the temperature of the substrate chuck 74 The substrate chuck 74 is heated by Watt-Flex® cartridge heaters (available from Dalton Electric Heating Co., Inc., Ipswich, Ma.) inserted into the remaining bores 75. In this embodiment, the heaters are 2 to 3 inches in length and 0.25 to 0.5 inches in diameter. The heaters supply 225 watts at 208 VAC, and can be heated above 1000° C. Comparable wattage heaters at 24-25 VAC can alternatively be used. The heaters, however, are typically operated at a maximum of 650° C., and, more commonly, around 300-500° C. These temperatures are considerably lower than the temperatures to which a wafer is typically heated in conventional thermal CVD processes, i.e., 800-1300° C. The reason why, in the system of this invention, the substrate can be operated at lower temperatures is that the vaporized precursor is provided at higher concentrations at the wafer due to the absence of a carrier gas, the shorter delivery paths, and the higher conductance of the conduits.
  • As an alternative or supplement to the above-described heating means, the substrate can be heated by a laser, an ion beam, an electron beam and/or photon-assisted energy sources. In any case, the substrate is heated to a temperature higher than the temperature of the walls of the process chamber. [0104]
  • In one embodiment, a DC or AC bias is supplied to the [0105] substrate chuck 74 by a voltage source 79. The elevator shaft can also be biased in order to provide electrical bias across the substrate. The electromagnetic field generated by the bias can influence the crystalline structure of the thin film as it grows on the substrate. It has been shown that an otherwise uniform film (with a lattice orientation of <100> for example) can be induced to grow in a different crystalline structure (<111> for example). In some cases, a film is induced to grow in a gradient from one structure (e.g., <100>) to another (e.g., <111>) by applying either a DC or AC bias to the substrate 88 relative to the rest of the chamber. To achieve this bias, a ceramic ring is used to electrically isolate the substrate chuck 74 from the process chamber 70 and other components within the process chamber 70, which are held at ground. Alternatively, and more commonly, the lower portion of the process chamber 18 coated with aluminum oxide of sufficient thickness to isolate the chuck and bellows from the chamber.
  • A [0106] substrate 88, e.g., a silicon semiconductor wafer, is mounted on the substrate chuck 74 and is subject to the generated DC or AC bias. A mask (or clamp) 94 extends down from the showerhead 72 and forms a ring which masks the outer 0.5 to 3.5 mm or more but more typically 1.5 to 2.0 mm from the edge of the substrate 88. The mask 94 also shrouds the edge of the substrate 88 and prevents CVD from occurring on the edge or underside of the substrate 88. The mask 94 is formed of a material having very low thermal conductivity to minimize heat loss to any area, other than the substrate, that is exposed to unreacted process gas. Preferably, the mask is formed of either Incoloy® 850, Elgiloy® (available from Elgiloy Ltd. Partnership, Elgin, Ill.) or molybdenum and, optionally, includes a coating of either aluminum oxide (Al2O3), silicon dioxide (SiO2) or other, similar dielectric material. Alternatively, the mask 94 is formed of ceramic. When the substrate chuck 74 is lowered, the mask 94 is suspended above the substrate 88.
  • A [0107] flow shield 77 extends down from the showerhead 72 and forms a ring within which the substrate 88 is positioned. The flow shield 77 channels the flow of reactant gases through the showerhead 72 and across the exposed face of the substrate 88.
  • The [0108] substrate chuck 74 is raised and lowered by an elevator 96, upon which the substrate chuck 74 is mounted. The elevator 96 is electrically isolated. The elevator 96 is powered by a stepping motor 97, with the power being transmitted by a drive shaft 99. The position of the elevator 96 is continuously adjustable over a range from fully retracted to fully extended, providing a working stroke of about 70 mm. The changing position of the substrate chuck 74 is measured by a linear voltage differential transformer 101, which can measure the height of the elevator with sub-micron precision. By raising and lowering the substrate chuck 74, the flow character of vapor reactants above the substrate 88 can be altered. Accordingly, the substrate chuck 74, when raised and lowered by the elevator 96, can be used as a throttle valve controlling the flow rate through the showerhead 72. The vertical position of the chuck 74 can also be changed to modify the microstructure and properties of the deposited film. The differential in pressures measured by sensors 51 and 53 is charted in FIG. 5g, wherein chuck position is measured in mils and pressure differential across the chuck is measured in torr. This pressure differential can be used to control or monitor the process.
  • Further, the [0109] showerhead 72, the mask 94, the replaceable gettering ring 89, the flow shield 77 and the substrate 88 are positioned to cooperatively define a confined process volume to which the vapor precursor and, if required, reactant gas are delivered and in which deposition will occur. The diameter of this volume (i.e., as defined by the mask 94) is preferably no more than about 120% the diameter of the substrate. The height (or depth) of the volume is a function of the position of the elevator, which governs the height of the substrate 88. This volume, where processing occurs, is much smaller than that of conventional CVD reactors and, consequently, improves the efficiency of deposition on the substrate.
  • In the method of this invention, deposition occurs when process gases contact the [0110] heated substrate 88 and react to form a solid thereon. Deposition at the surface of the substrate can be rate-limited either by the rate of precursor transport or by the rate of reaction at the surface. In a typical CVD and plasma-enhanced, plasma-assisted or plasma-promoted vapor deposition (PECVD, PACVD, PPCVD) process, the limiting factor is the rate of precursor transport. Consequently, the rate of surface reaction will be sub-optimal and the vaporized or dissociated precursor will tend to react and deposit in a line-of-sight manner on the first hot surface that it contacts. Moreover, the use of a plasma, causes vapor-phase reactions which also mitigate against conformal coverage on the surface. As a consequence, and as shown in FIG. 5e , the deposited layer 192 formed at the mouth of an etched cavity 194 in a substrate will grow much more quickly than will a layer 192 forming on more remote areas of the cavity 194.
  • For further comparison, FIG. 5[0111] d illustrates a typical deposited layer 192 formed via physical vapor deposition (PVD). The deposited structure 192 has a similar pinched-off shape with very little deposit forming at the deeper regions of the cavity 194. This imbalance results from the directional, line-of-sight deposition that is characteristic of PVD.
  • In contrast, however, FIG. 5[0112] f illustrates the approximate structure of a conformal deposit 192 that can be formed in accordance with the equipment described herein and in accordance with the method of this invention. In this embodiment, the pinching effect at the mouth of the cavity 194 is noticeably diminished because the deposition process is limited by the reaction kinetics at the surface rather than by the rate of precursor transport, with the resulting tendency for deposition to occur simultaneously and uniformly on all exposed surfaces of the substrate.
  • A plurality of pins (preferably, at least three) engage the [0113] substrate chuck 74 through bores within the substrate chuck 74. The pins are cylindrical with rounded ends. One such pin 74 a is illustrated in FIG. 4a . In this embodiment, the pins are mounted to the base of the downstream section 82 of the process chamber 70. On the other hand, when the elevator 96 is lowered, the substrate chuck 74 slides down the pins toward the base of the downstream section 82. When the substrate chuck 74 is fully retracted, the pins extend through the top surface of the substrate chuck 74 to lift the substrate 88 off the chuck 74. After it is lifted off the chuck 74, the substrate 88 can then be removed from the process chamber 70 by a robot arm. A similar process, illustrated in FIGS. 12a-d, is performed to place the wafer on the substrate.
  • In an alternative embodiment, illustrated in FIG. 4[0114] b , each self-aligned pin 74 a is attached to the substrate chuck 74 by bellows 81. The bellows 81 provides a spring-like support because the free height of the bellows 81 is greater than the depth of the cavity in which it is mounted. When the chuck 74 is fully retracted, as shown in FIG. 4b, the pin 74 a is forced through the chuck 74, lifting the substrate 88 off the surface of the chuck 74. When the elevator 96 is used to raise the chuck 74 toward the showerhead 72, the pin 74 a drops back down to a position where it remains held in place by the bellows 81 within the chuck 74 below its top surface.
  • FIG. 4[0115] b also illustrates a replaceable gettering ring 89 to mask the side of the chuck 74 from deposition. The side of the chuck 74, which is heated throughout, typically is subject to an accumulation of deposits from unreacted precursors which do not react on the substrate 88. After deposits build on the replaceable gettering ring 89, the ring 89 can be simply replaced without any damage to the chuck 74 and without requiring that the chuck 74 be replaced. Accordingly, use of the replaceable gettering ring 89 can greatly extend the useful life of the chuck 74.
  • The [0116] replaceable gettering ring 89 also serves as a support for the substrate 88 when the pins 74 a are retracted. Accordingly the substrate 88 is not in physical contact with the substrate chuck 74. Rather, a gap of about 0.015 inches (0.38 mm) exists between the substrate 88 and the chuck 74. As noted, this gap is filled with helium gas which transfers heat between the chuck 74 and the substrate 88. The mask 94 seals the gap at the edge of the substrate 88, thereby containing the helium gas. The pressure of the helium gas between the substrate 88 and the chuck 74 is controlled, and the flow of helium is also monitored and/or controlled.
  • FIG. 4[0117] c illustrates the apparatus of FIG. 4b with the chuck in position for wafer processing. FIG. 4d also shows this same apparatus, this time with the shaft of the elevator fully extended. In this position, the chuck 74 is lifted out of the processing chamber 70, providing access to the chuck for service/maintenance.
  • Optionally, a [0118] sensor 87, e.g., an optical thickness sensor including a grazing incidence laser, is provided in the process chamber 70 for measuring the thickness or chemistry of the deposited film or the ambient conditions in the process chamber 70.
  • The final subsystem, i.e., the [0119] exhaust subsystem 18, is designed, in part, to maintain a pressure differential across the showerhead 72. The exhaust subsystem 18 includes an exhaust conduit 110 connected to the downstream section 82 of the process chamber 70, a trap vessel 85, and a vacuum pump 112 (such as the IQDP 80, available from Edwards High Vacuum International, Wilmington, Mass., USA, or equivalent) connected to the exhaust conduit 110 opposite the process chamber 70 to thereby pump vapors from the process chamber 70, through the exhaust conduit 110. Alternatively, more than one vacuum pump 112 can be used. A throttle valve 83 is positioned in the exhaust conduit 110 to regulate the amount of vapor pumped from the process chamber 70 and, accordingly, to maintain a desired vapor pressure in the process chamber 70. In this embodiment, the trap vessel 85 is situated between the vacuum pump 112 and the throttle valve 83. The purpose of the trap vessel 85 is to trap a majority of the unreacted precursor vapor before it reaches the vacuum pump(s) 112. The trap vessel 85 includes surfaces that cause the precursor to react or be otherwise retained thereupon due to chemical or thermal decomposition or an entrainment process.
  • In an alternative, preferred embodiment, illustrated in FIGS. [0120] 1C-D, a scrubber 85′ is used in place of the trap 85. The scrubber 85′ actively removes harmful contaminants from the gas stream before exiting the process subsystem thereby providing a cleaner effluent leaving the system. A small, dry, low-power, dynamic, variable-speed pump 95 is also provided within the process subsystem cabinet 16. A preferred embodiment of pump 95 is manufactured by Pfeiffer Vacuum (Nashua, N.H., USA), which pumps at rates up to 50 m3/hr. The pump 95 is integrated with the control system, through a ProfiBus data bus, such that the pumping speed of the pump 95 is controlled to govern the rate at which vapor is drawn through the system via a closed loop processing system. By so controlling the pumping speed, the throttle valve 83 upstream from the pump 95 can be omitted.
  • Each of the [0121] subsystems 12, 14, 15, 18, 150 are enclosed in sealed vessels to contain leaks of any hazardous gases from the system. The vaporization and vapor-flow- control subsystems 12 and 14 are both contained in a first sealed vessel 180. An exhaust line 182 is connected to the first sealed vessel 180 for the controlled release and removal of gases escaping from the system. A second sealed vessel 184, which likewise includes an exhaust line 186, encloses the process gas subsystem 150.
  • A [0122] CVD module 10 incorporating the various subsystems described herein is illustrated from three different perspectives in FIGS. 6a-c. FIG. 6a illustrates a rear view (from the vantage point of a connected wafer handler) of the CVD module 10. FIG. 6b illustrates a side view of that same CVD module. Finally, FIG. 6c illustrates a front view of the CVD module 10. Components that are all included within the module include a process module controller 205, a vaporization subsystem 12, a power input module 142, a vapor-flow-control subsystem 14, a process subsystem 16, an elevator 96, a scrubber 85′, and a gate valve 125.
  • FIG. 7 illustrates a general control architecture diagram [0123] 200 for control of a single CVD apparatus 10 and its associated subsystems. Control of a CVD apparatus 10 is facilitated through a process module controller 205 operating under software control in a distributed manner to independently control temperature control modules 210, pressure control modules 215, flow control modules 220, and elevator control modules 225. While the preferred embodiment is illustrated as a distributed system, the overall chemical vapor deposition concepts and techniques presented within this invention do not have to be implemented in a distributed fashion. Rather, they may be performed in a linear manner with a single main controller executing all processing steps itself, while still overcoming many of the problems of the prior art system. However, the distributed nature of the preferred embodiment provides significant advantages over a linear system operation, as will be explained.
  • Software control of process operations can be achieved using a Siemens programmable logic controller (PLC) running software conforming with the following ISO-standard: DIN EN [0124] 6.1131-3. This software can be integrated with software from Wonderware Corp. (Irvine, Calif., USA) to create an interactive graphical user interface to the process control.
  • [0125] Modules 210 through 225 are representative of the main processing tasks of the CVD apparatus 10, and there may be other control modules not shown which may be used for other specific tasks noted throughout this specification. Each of the previously described subsystems, including the vaporization subsystem 12, vapor-flow-control subsystem 14, process subsystem 16, and exhaust subsystem 18 can include certain components that are operated by the modules 210, 215, 220 and/or 225 of the overall control architecture shown in FIG. 7.
  • For example, in FIGS. 2[0126] a and 2 b, the vaporizer subsystem 12 involves, among other tasks, controlling the temperature of reservoir 20, controlling the position of, and therefore the amount of precursor flow from dispensing valve 24, controlling the temperature of the vaporizer 28, and monitoring the pressures within the vaporization chamber 26. Each of these tasks is generally coordinated via software operating within process module controller 205 and is physically carried out by one or more of modules 210 through 225.
  • Through the distributed nature of the various system components, the [0127] process module controller 205 can manage wafer processing for an individual CVD apparatus 10, which requires multiple simultaneous events. If wafer processing for a single CVD apparatus 10 is not too complex, it may be the case that an alternative embodiment of the invention may use a single process module controller to monitor and control more than one CVD apparatus. That is, two physical CVD systems 10 could be controlled by a single process module controller 205, without overloading the processing capacity of the process module controller 205. The preferred embodiment however uses a separate process module controller 205 per CVD apparatus 10. By using distributed processing, certain steps in the overall wafer processing procedure can be performed in parallel with each other which results in more efficient wafer yields and allows real time management of vapor deposition.
  • Actual process control is accomplished by providing [0128] separate control modules 210 through 225 for each of the individual operational components (i.e., valves, temperature monitoring and heating devices, motors, etc.) in each of the subsystems. The modules can be programmed to do specific tasks related to a specific portion of that subsystem's functionality. When given a task, each control module reports back to the process module controller 205 when the task is complete, its status, and/or if the task fails to complete.
  • For example, all of the temperature control processing may be done in a distributed fashion, such that the high level [0129] process module controller 205 can merely instruct one or more specific temperature control modules 210 to set and maintain specific temperatures. The process module controller 205 can then move on to the next main task in the overall wafer processing routine. Achieving and maintaining the set point temperature(s) can then be carried out by the independent temperature control module 210 in a closed loop manner.
  • An example of a control module is the Intelligent Module No. S7-353 or the S7-355, both manufactured by Siemens Corporation. Such modules may be used for intensive closed-loop type control tasks, while an Intelligent Module No. S7-331 , also manufactured by Siemens Corporation, may be used for precision signal conditioning type tasks, such as voltage measurements from capacitance manometers resulting in adjustments in flow control. [0130]
  • These particular control modules used in the preferred embodiment, as well as most other electrical components in the system, operate at low voltage (i.e., 24 Volts AC or DC) in order to prevent injury in the event of a short circuit, and also to prevent interference with vapor deposition. Low voltage operation also allows the system of the invention to operate with 120 Volt or 240 Volt power supplies, or with other international power systems of differing voltages. [0131]
  • Accordingly, all aspects of control, beginning with the [0132] vaporization subsystem 12 and ending with the process subsystem 16, are handled by modules which may be independently activated, and which can then handle the given task on their own.
  • There are, however, instances where modules can provide information or communications directly to other modules to establish adaptive relationships in order to maintain certain process settings. In such instances, these modules can adapt their task without the need for further instructions or tasks from the [0133] process module controller 205. That is, two or more modules may establish a relationship such as a master/slave or client/server type relationship, and can adjust themselves accordingly to either back off from a task, or move ahead faster with a task, depending upon the feedback of other inter-related modules involved in adaptative relationships.
  • For example, a [0134] pressure control module 215 may be used to monitor pressure sensor 34, which detects the pressure output from the vaporization chamber 26. The pressure control module 215 can provide direct feedback to a separate flow control module 220 which operates isolation valve 42. If the process module controller 205 initially instructs isolation valve 42, through flow control module 220, to maintain a certain flow of vapor or gas, the flow control module 220 can obtain pressure data from the pressure control module 215 that controls pressure sensor 34. This data may be used to determine if there is enough pressure in the delivery conduit to deliver the requested flow. If the pressure is too low or too high, pressure control module 215 may, depending upon the implementation, signal to the process module controller 205 that the task cannot be completed due to lack of pressure, or may, via an established adaptive relationship, signal in real time directly to a vaporization chamber pressure control module in order to increase or decrease vaporization chamber pressure.
  • In other words, while the overall processing of chemical vapor deposition is controlled in the CVD apparatus by the [0135] process module controller 205 with a master control routine, certain control module loops may incorporate data from other modules to adapt or detect changes in other system components, without the need for communication with process module controller 205. Most frequently, this is done where the output of one module directly affects the performance or operation of another module.
  • Communication between the [0136] modules 210 through 225 and the process module controller 205 may be accomplished in a number of ways. Direct Memory Access (DMA) can be used to directly read and write data to commonly accessible memory locations within a shared memory 230, as shown in FIG. 7. A data bus (not shown in FIG. 8), such as, for example, a ProfiBus data bus, which typically operates at 12 Megahertz and uses DB-9 connectors to interface to modules, can interconnect modules 210 through 225 with each other and the process module controller 205, to allow data communications and sharing of information. It is to be understood that common networking and data communications processes and principles are contemplated herein as being applicable to communications between devices, modules and components in this invention.
  • It is also contemplated in this invention that faults in modules and componentry may occur and therefore, the invention can use redundant or fault tolerant modules, components and processors and can provide swappable dedicated processors for each [0137] module 210 through 225 and the process module controller 205. By providing swappable componentry, parts may be replaced without shutting down the entire system. This is beneficial, for example, when an expensive precursor has been preheated and will be damaged if returned to a lower temperature. If a fault occurs, for example, in an elevator control module 215, this module may be replaced or deactivated by another redundant module which may take over for the lost functionality of the failed module. The swapping or redundant failover may be performed without having to stop the wafer deposition process, which saves wafers and reduces precursor waste and reduces system down-time.
  • FIG. 8 illustrates a flow chart of the main processing tasks performed by the [0138] process module controller 205 from FIG. 7. The steps 300 through 305 are, in a preferred embodiment, implemented in software or firmware and are performed when the CVD apparatus 10 is activated to process wafers. In the preferred embodiment being described, the main process control steps 300 through 305 are wafer-centric in nature. That is, these steps focus mainly upon wafer handling and execution of a process recipe which performs the CVD operation on a particular wafer. Generally, the master routine sets tasks to be performed, sets variables for those tasks and system operation, and instructs the dedicated modules to perform the tasks. In parallel with this main process routine, as will be explained, are a set of other concurrently executing routines which perform other tasks. The sub-processes are necessary for the success of the major process sequence (i.e., steps 300 through 305) of FIG. 8 to complete. The sub-processes, shown in FIGS. 9a, 9 b, 10 and 11, are, respectively, the vaporizer sub-process, the vapor phase flow controller sub-process, and the process chamber pressure control sub-process. Other sub-process may exist as well, such as, for example a cleanup process, a housekeeping process, a safety interlock process, and other which are explained herein.
  • In [0139] step 300 of the main process control subroutine of FIG. 8, the CVD apparatus 10 is pre-prepared to accept a wafer. This step includes, for example, the process of pre-heating the pre-cursor in reservoir 20 to the desired temperature and loading a process recipe for the wafer process to be performed by the CVD apparatus 10. Parameters for the process recipe are loaded into memory 230 from an external source, such as, for example, a cluster tool controller (discussed, below). The recipe parameters control the various settings such as temperature, pressure, and which vapors and gases are to be processed with the wafer 88.
  • In a preferred embodiment, there may be as many as ten or more steps that constitute the recipe for wafer processing. Each step allows a user who is processing a wafer to select parameters, such as, for example, the “step number”, “step duration” (in seconds), “target process pressure” (in millitorr), “precursor flow rate” (milli-sccm), “reactant flow rate” (milli-sccm) and “wafer temperature” (degrees C). These parameters make up the recipe for a wafer and govern the general temperature, flow, pressure and operation of the [0140] CVD apparatus 10. For example, the last parameter, “wafer temperature”, is a function of the substrate chuck temperature, since, as will be explained, the wafer is in contact with the substrate chuck for much of the time during processing. Hence, the wafer temperature is a parameter that typically does not change too much from one wafer to another, and may be provided merely for reference for the process recipe.
  • [0141] Step 301 prepares to accept a wafer and signals to an external wafer provider mechanism (e.g., central wafer handler robot arm 134, discussed, below) that the CVD apparatus 10 is ready to accept a wafer. Step 302 then coordinates the movement of the wafer into the process chamber 70 and placement of the wafer on the substrate chuck 74.
  • FIGS. 12[0142] a through 12 d pictorially illustrate the process of coordinating the movement of the wafer (step 302) into the process chamber 70. Each of these figures includes top and side perspective views of the process chamber 70 area and robot arm 134. In FIG. 12a, substrate chuck 74 includes pins 74 a-c, upon which the substrate or wafer 88 is loaded prior to the CVD operation. Before entering the process chamber 70, the wafer 88 rests upon an end effector of robot arm 134 outside of the process chamber 70. As shown in FIG. 12b, as the robot arm extends and enters into the process chamber 70, the wafer 88, carried on the end of the robot arm 134, passes over substrate chuck 74 and substrate chuck pins 74 a-c and passes under showerhead 72, which is not in use during the process of accepting a wafer. FIG. 12c illustrates the wafer 88 fully inserted into process chamber 70, prior to the retraction of the robot arm 134. The wafer 88 rests on pins 74 a-c, after the robot arm 134 lowers slightly and retracts, as shown in FIG. 12d.
  • Returning to the main processing routine shown in FIG. 8, step [0143] 303 then runs the current process recipe that has been programmed into the CVD apparatus 10. The recipe (i.e. the parameters) may be changed between wafers, but once the recipe has been started in step 303, the pre-loaded parameters used for processing do not change for the current wafer 88. As will be explained in FIGS. 9a, 9 b, 10 and 11, running the recipe in step 303 includes aspects of temperature control (step 303 a), pressure control (step 303 b) and flow control (step 303 c). The sub-processes in FIGS. 9a, 9 b, 10 and 11 provide details as to the operation of these aspects of the invention.
  • In one embodiment of the invention, a recipe loaded into [0144] process module controller 205 governs the various processing steps of the wafer according to, for example, the “step duration” parameter. That is, this embodiment can be governed by timers set by parameters that determine, for instance, how long a particular vapor is deposited onto a wafer.
  • In another embodiment, the sensor sub-system [0145] 19 (FIG. 1B) can be used to calculate, measure, or determine the deposition activity on the wafer itself. This information can be used to determine when the next step in the recipe is performed. For example, if a step in the recipe calls for depositing 100 angstroms of copper using a copper vapor onto a wafer, the sensor sub-system, by monitoring the deposition activity, can indicate when this has been completed. As such, the steps in the recipe in this embodiment are not driven so much by timers, as by when processing steps are actually physically completed.
  • The [0146] sensor modules 227, illustrated in the control architecture in FIG. 7 are used to control and provide feedback to process module controller 205 from wafer subsystem 19 as illustrated in FIGS. 1A and 1B. Wafer sensing equipment 87 in wafer subsystem 19, for example, may comprise a laser measurement system that can measure the thickness of any layer of material being deposited onto the wafer 88 during a CVD operation. This layer thickness information may be monitored by sensor modules 227, and when the task of detecting 100 angstroms of copper, for example, is complete, the sensor modules 227 can indicate to the process module controller 205 that the task has been completed. Other wafer sensing equipment that may be used to sense CVD progress may include reflectivity sensors that detect the reflectiveness of the wafer surface. As more material is deposited onto a wafer, the surface may become more or less reflective thus indicating deposition progress. Another sensing device may be an x-ray diffraction system used to measure composition of the wafer surface, thus indicating deposition progress. Those skilled in the art will now readily understand that other common real-time measurement and sensing hardware may used within sensor sub-system 19 to detect and indicate recipe step completion, depending upon the task.
  • After the recipe is complete, the [0147] wafer 88 has been processed by the vapor and gases in the process chamber 70. Step 304 in FIG. 8 then removes the wafer, which is generally the reverse process of that illustrated in FIGS. 12a through 12 d. The robot arm 134 returns and picks up the wafer 88 off of the substrate chuck pins 74 a-c, and carries the wafer 88 out of the process chamber 70. Step 305 then performs cleanup of the CVD apparatus 10, which will also be described in more detail later.
  • FIG. 10 illustrates the steps of the vaporizer sub-process that is continually performed during the main control processing steps that execute as explained with respect to FIG. 8. The vaporizer sub-process steps [0148] 330 through 334 generally control the vaporization of the precursor in reservoir 20 and the maintenance of pressure at the inlet port 76 to the process chamber 70. The vaporizer sub-process is also responsible for the cleanup of the vaporizer 28 between processing wafers during standby modes.
  • The vaporizer sub-process shown in FIG. 9[0149] a is driven primarily by the “vaporizer temperature” parameter that gets loaded during the programming of the recipe into memory 230. This variable drives the temperature setting for all of the other temperature controlled surfaces except the wafer chuck 74 (set by a “wafer chuck temperature” setting) and the funnel temperature (set by a “funnel temperature” setting). The vaporizer pressure largely relies on the pressure control modules 215 which operate and monitor the capacitance manometers 34, 48, 50, 51 and 53 located throughout the system, as previously described.
  • In [0150] step 330, the pressure at pressure sensor 34 must be greater than the pressure at pressure sensor 48. In step 331, the pressure at pressure sensor 48 must be greater than the pressure at pressure sensor 50. In step 332, the pressure at pressure sensor 50 must be greater than the pressure at pressure sensor 51. And finally, in step 333, the pressure measured at pressure sensor 51 must, in this embodiment, be approximately 1.5 times (or more) greater than the pressure measured at pressure sensor 53. If any of these steps 330 through 333 fail, feedback is provided back to the vaporizer subsystem 12 by step 334, at which point the appropriate modules in various subsystems are adjusted so as to maintain the optimum pressure at the wafer, as measured by the difference in pressure between pressure sensors 51 and 53.
  • The recipe parameter “process pressure” is referred to as the “target pressure” since this is the pressure to be maintained by the system at the [0151] wafer 88, and is attained in cooperation between the vaporizer sub-process (FIG. 10), the vapor phase flow controller sub-process (FIG. 10) and the process chamber pressure control sub-process (FIG. 11).
  • Hence, as explained above, during wafer processing, the [0152] reservoir 20 deposits small amounts of precursor onto vaporizer 28 which is heated. Each small amount of precursor, which typically flows slowly down the vaporizer 28 inverted cone structure, forms a thin film and resides on the cone for a period of time during vaporizing. As this vaporization occurs, an upward ramp in pressure is measured by capacitance manometer 34. The upper limit of the vapor pressure that is measured by pressure sensor 34 is a function of the temperature of the vaporizer 28 (and the rest of the system) as well as the material used as the precursor. Thus, too high of a temperature may cause the premature chemical decomposition of the precursor prior to its exposure to the wafer 88, and too low of a temperature may result in a low vapor pressure, low flow rate, and low process pressure which results in a low chemical vapor deposition rate.
  • The vaporizer sub-processes in FIGS. 9[0153] a and 9 b may be in either a processing state or a standby state. The processing state is used, as explained above with respect to FIG. 9a, after a wafer has been accepted. The standby state governs a cleanup process and is shown in FIG. 9b and will be described in conjunction with FIG. 1A through 1D.
  • During cleanup of the vaporizer sub-process, in [0154] step 340, no precursor is introduced into the vaporization chamber 26. In step 341, isolation valve 42 isolates the entire vaporization subsystem 12 from the other subsystems. Step 342 then fully opens valve 160. Next, in step 343, Argon gas provided from valves 161 and 162 and mass flow controller 165 is introduced into the vaporization chamber 26 until a pressure of approximately 50 torr is measured at pressure sensor 34. Then, step 343 evacuates the pressure in vaporization chamber 26, by opening valve 170 and closing valves 161 and 162, and operating exhaust subsystem 118 to suck out the argon gas. Step 344 then detects a vacuum pressure. Step 345 then repeats steps 343 and 344 N times, where N may be one, two or more times, for example. This N repeat count may be varied, depending upon the properties of substances used. Step 346 then evacuates the vaporization chamber 26 and step 347 maintains the entire volume of vaporization chamber 26 in a vacuum until the vaporization sub-process is instructed to go active to begin processing wafers.
  • The second sub-process is the vapor phase flow controller sub-process and is illustrated by the processing steps in FIG. 11. During wafer processing, this sub-process ensures that the vapor-flow-control subsystem maintains a steady flow of vapor to the [0155] process chamber 70, in concert with the variations in pressure that occur at various critical points in the system as explained above during wafer processing. The main objective of this sub-process is to maintain the target mass flow and total aggregated mass flow of vapor to the wafer 88. Unlike traditional mass flow controllers, where pressures are typically 20 psig or more at inlets to the wafer and that flow into a vacuum at or below the wafer, the present invention uses this sub-process to control the flow of vapor in upstream section 78, where the pressure is only one to five torr, and where the “process pressure” is targeted at approximately 800 to 1000 millitorr.
  • To accomplish this, this sub-process uses the proportional control valve(s) [0156] 44 (44′ in FIG. 1A, 44 in FIG. 1C) to maintain the appropriate flow and target pressure drop as measured from pressure sensor 34 to pressure sensor 48. Step 360 in FIG. 10 monitors this pressure difference. Step 361 then determines if adaptive flow control is operational. If so, step 362 is executed which calculates the desired flow (“Q”) of the vapor being applied to the wafer and adjusts, in step 363, the process time system variable to compensate for any variations from the target pressure experienced during the normal set process time. That is, step 363 lengthens or shortens the check time between determining system pressures, so that the pressure will have the correct time to build based upon the precursor material being used for vapor flow.
  • In [0157] step 361, if adaptive flow control is not being used, step 364 determines if the pressure across the proportional control valve(s) 44 (44′ in FIG. 1A, 44 in FIG. 1C) is insufficient to attain the targeted flow rate, and if so, step 365 detects this and signals to the other two sub-processes to attain the desired flow rate by varying appropriate settings.
  • The vapor phase flow controller sub-process in FIG. 10 is also responsible for controlling modules that set the flow rate of oxidizing reactants via [0158] step 366. That is, nitrous oxide, for example, from valves 168 and 169 may be provided as a reactant gas along with the precursor vapor, into the process chamber during flow control of the vapor from the vaporization chamber 26. Step 366 determines the flow rate of any reactant gas by a “reactant flow rate” parameter provided in the recipe. Typically, the reactant flow rate is expressed as a ratio to the flow rate of the vapor from the vaporization chamber 26.
  • For example, a target pressure that might be typically set is 1.5 to 2.0:1. Since the flow rate of vapor can vary somewhat (as explained above), the flow rate of the reactant from one or more of the [0159] mass flow controllers 165, 166 or 167 must also vary in concert with the flow rate of the precursor vapor. Note that in the embodiments shown in the figures, the system is well damped such that variations are on the order of plus or minus 10 percent of the target pressure or flow rate, and are dependent upon variations in the lots of precursor used as received from different suppliers, for example. That is, oscillatory swings may not be noticed within one batch of precursor, but subtle shifts may be observed based upon chemical lots. The sub-process in FIG. 10 helps eliminate these shifts.
  • The vapor phase flow control sub-process, if in a standby state, as shown in [0160] standalone step 367, independently checks any output offsets that might have occurred between pressure sensor 48 and pressure sensor 50, and can use this calculated offset to adjust the pressure sensors apparent output accordingly during subsequent calculations while in active mode. Step 367 can also cross-check pressure measurements of sensors 48 and 50 in standby mode against pressure sensors 34, 51 and 53.
  • FIG. 11 illustrates the third sub-process, referred to as the process chamber pressure control sub-process, which is associated with maintaining the pressure at the [0161] wafer 88. In step 380, the pressure is measured at capacitance manometer 53, which is the pressure in the process chamber 70 below the showerhead 72 at the wafer. Step 381 then directs throttle valve 58 to increase or decrease the pressure as measured in step 380, to maintain the pressure as defined by the parameter “process pressure”.
  • FIG. 13 illustrates the processing steps performed in a cleanup sub-process that runs continuously and which is transparent to the other sub-processes in the system. Upon startup of the CVD apparatus, without a signal of an approaching wafer, the cleanup sub-process is the default process. The cleanup sub-process, in [0162] step 390 enables a mechanical circuit breaker to isolate the electrical system components in the event of a power surge. Step 391 maintains all heat zones at the system set points. The parameter “vaporizer temperature” is used as the temperature set point for all heated zones except the reservoir 20 and funnel 22 temperatures, and wafer chuck 74 temperatures. This step can also detect heating wire breaks or shorts. Step 392 ensures that adequate vacuum is present for the process module by testing the vacuum pump control. Step 393 monitors the state of the door and housing covers surrounding the CVD apparatus 10. Steps 394 and 395 monitor system power and pressures, and looks for excursions outside of the normal operating state. Step 396 tracks gauge status and can detect gauge problems and can cross calibrate gauges in the system. Step 397 sets up and calibrates the mass flow controllers 165, 166 and 167. Step 398 cross calibrates the pressure sensors in the system, and step 399 initializes the system parameters to a default state.
  • FIG. 14 illustrates a schematic architecture of a CVD apparatus of this invention, with each of the previously described [0163] sub-processes 600 through 604 of FIGS. 8 through 13 illustrated as a closed loop. Process module controller 205 interfaces with the other hardware components of the system via data bus 605, which carries serial analog and digital commands to the components. Each of the control modules 210 through 227 interfaces to the data bus 605, to communicate with process module controller 205, and in certain instances where adaptive relationship exists, with each other. The process module controller 205 is also connected to a Profibus data bus 607 via which provides deterministic communication with any of a cluster tool controller, a transport module controller, or another process module controller. At higher levels of communication, not shown in FIG. 14, communication is generally via Ethernet, which is non-deterministic.
  • In the [0164] vaporizer loop 600, a pressure control module 215 monitors pressure from capacitance manometers 34, 48, 50, 51, and 53, according to the processing explained above, and can provide data to temperature control module 210 which controls vaporizer heating element 29, in order to provide proper vapor for the system to operate. To interface 606 between pressure control module 215 and temperature control module 210 is an example of a closed loop adaptative relationship, since the temperature is controlled based upon feedback from the pressure control module 215.
  • In [0165] flow control loop 601, which is responsible for maintaining the proper flow of vapor in the system, pressure control module 215 monitors pressure from each of pressure sensors 34, 48 and 50, in order to provide feedback data to flow control module 220, which operates proportional relief valve 44, as well as valves 161 through 164, 168, 169 and 170, in order to provide vapor and reactant gases at a proper flow rate.
  • Process chamber [0166] pressure control loop 602 uses pressure control module 215 to detect pressure at pressure sensors 51 and 53 within the process chamber 70. This pressure information is used in an adaptative relationship between the pressure sensors and the throttle valve 83, operated by the flow control module 220. This closed loop 602 ensures that the pressure in the process chamber is correct during wafer processing by using the throttle relief valve 83 to maintain a continuous flow.
  • [0167] Elevator control loop 603 illustrates the adaptative relationship between the elevator 96, which is operated by elevator control module 225, and the sensor control module 227 which uses sensor equipment 87 to detect how much material has been deposited on a wafer. In this closed loop, which is used when the recipe calls for sensor control, the elevator 96 may be lowered when the sensor equipment 83 detects enough material is present on the wafer. Thus, direct communications is provided between the elevator control module 225 and the sensor control module 227.
  • The [0168] elevator control loop 603 is also related to the sensor loop 604, in that when sensor equipment 96 detects enough deposition material on a wafer, sensor control module 227 notifies flow control module 220 to activate throttle valve 83 in order to turn on the exhaust pump to full power. This empties the process chamber 70 of any leftover vapor so as to immediately stop the deposition process. Sensor loop 604 is thus another example of an adaptive loop, but acts more like a one way trigger since the sensor equipment 96 causes the throttle valve 83 to open when deposition is complete.
  • In each of the [0169] aforementioned loops 600 through 604, the process control module 205 can merely provide the appropriate tasks to each of the control modules 210 through 227. The control modules will execute the given task on their own. By allowing adaptive relationships as explained above, closed loops are formed for the basic underlying sub-processes required for the CVD apparatus to operate efficiently. The process module controller 205 monitors the progress of each closed loop via status data that is provided from each control module. Thus, the process module controller 205 is fully aware of how a specific CVD process is progressing while the process is taking place. In this manner, the process module controller 205 can report to a higher level process, such as the main process taking place within a cluster tool controller 207.
  • The “processing hierarchy” formed by the lower closed loops and control modules, the intermediate process module controller routine executing on the [0170] process module controller 205, and the master cluster tool controller routine executing on the cluster tool controller 120 allows modifications to processing code at one level to have little or no adverse impact on the programs or processes used for other aspects of the CVD process. Moreover, any modifications made to one aspect of the CVD processing, for example, in the flow control loop, which may happen to impact the processing of other loops, will be properly accounted for due to the adaptive relationships and feedback of information between control modules. This hierarchy also allows easy code maintenance and a structured environment where features may be added to one area of CVD processing without having to re-tool or re-code other areas.
  • In one embodiment, the [0171] CVD apparatus 10 is used to deposit a number of films on a single wafer. This embodiment is designed to operate at low pressure (0.001 to 10.0 torr) and is aimed at the deposition of films with geometries of 0.25 microns or less. The same embodiment, with changes only in temperature and flow control components, can be used in a number of different processes to limit costs and maintenance requirements.
  • Films that can be deposited by this system include, but are not limited to, the following: aluminum from dimethyl aluminum hydride (DMAH), copper from one of the Cu[0172] I(hfac)(tmvs, tevs, teovs) precursors, tantalum nitride from a solid precursor such as TaBr4, titanium nitride from a liquid precursor such as tetrakisdiethylamido titanium (TDEAT), tetrakisdimethylamido titanium (TDMAT), TiBr4, or TiI4, low-k dielectric films from hexasilsesquioxane (HSQ) or a fluorinated tetraethylorthosilicate (TEOS), and tantalum oxide from tantalum pentaethoxide (TAETO) and either ozone or N2O. Other films that can be deposited in accordance with the methods and apparatus of this invention include phosphorous-doped silica from triethylphosphate (TEPO), boron-doped silica from triethylborate (TEB), and tantalum oxide from tantalum tetraethoxide dimethylaminoethoxide (TAT-DMAE). FIG. 19 is a graph showing CVD source vapor pressure curves, expressed in termns of temperature (° C.) along the abscissa and pressure (torr) on a logarithmic scale along the ordinate.
  • Illustrated curves include functions representing the vapor pressure of [0173] TEPO 401, TEOS 402, TEB 403, TAETO 404, TAT-DMAE 405, CuTMVS 406 and TDEAT 407. The shaded region 410 represents a demonstrated operating range between 1 and 10 torr for this process. This indicates the ability of the system to deposit a variety of materials over a temperature range between 20° C. and 200° C in this pressure range. This pressure range is not limiting, however, as one can work outside of it, as well. The vapor pressure curve (in torr) for TEB has been expressed as follows:
  • Log P=8.4156−2167/T K.
  • The vapor pressure curve (in torr) for TEPO has been expressed as follows:[0174]
  • Log P=8.1516−2547.5/T K.
  • The vapor pressure curve (in torr) for TAT-DMAE has been expressed as follows:[0175]
  • Log P=−3.66 (103 /T K)+9.33.
  • The vapor pressure curve (in torr) for TEOS has been expressed as follows:[0176]
  • Log P=8.3905−2415.7/T K.
  • Finally, the vapor pressure curve (in torr) for titanium nitride has been expressed as follows:[0177]
  • Log P=−3.18 (103 /T K)+7.79.
  • Using nitrous oxide as a reactant, thermal deposition via reaction with TEOS can occur at temperatures in the vicinity of 475° C., which is significantly cooler than the typical range of 600° C. to 750° C. (or higher) for other methods, which typically use oxygen or ozone as opposed to nitrous oxide as a reactant. The molar ratio of N[0178] 2O:TEOS is greater than 4:1; preferably, between 5:1 and 10:1; and more preferably about 8:1. FIGS. 20 and 21 illustrate the refractive index and thickness, respectively measured at multiple points on an eight-inch wafer that was subjected to deposition of silica using an 8:1 ratio of N2O and TEOS in accordance with this invention. As is evident from FIGS. 20 and 21, the thickness of the coating is highly uniform across the surface of the wafer, and the refractive index is near that of thermal oxide. The properties of films deposited with the methods and apparatus of this invention are sufficient for applications such as gate dielectric deposition. Typicallly, silica layers deposited by conventional methods have a refractive index below 1.44. However, as shown in FIG. 20, silica layers deposited by methods of this invention can have a refractive index above 1.44; and in preferred embodiments, the refractive index is between about 1.45 and about 1.46.
  • As an example of a process performed in accordance with this invention, a tantalum oxide film is deposited on a wafer using liquid TAETO as a precursor and gaseous N[0179] 2O as an oxidant. The reservoir 20 is filled with TAETO either with the reservoir 20 in place in the system or with the reservoir 20 temporarily removed for filling. While in the reservoir 20, the TAETO is stored at a temperature above its melting point but below that at which it decomposes. In this embodiment, the TAETO is stored at or near room temperature. From the reservoir 20, the TAETO is delivered to the vaporizer 28 through the axial displacement pulse valve in an amount that is just sufficient to generate a workable vapor pressure to deliver to the process chamber 70. The temperature of the vaporizer 28 is tightly controlled, in one embodiment, at 180° C., to vaporize the TAETO as it flows across the surface of the vaporizer 28 without causing the TAETO to thermally decompose.
  • The vapor pressure of TAETO that is generated in the [0180] vaporization chamber 26 is a function of the temperature of the vaporizer 28. Specifically for TAETO, the log of vapor pressure can be calculated with the following formula:
  • Log P=−4.15(103 /T K)+9.60,
  • The vapor pressure of TAETO and several other sources (measured in torr) is provided in Table 1, below, over a range of temperatures from 20° C. to 200° C. [0181]
    TABLE 1
    Silicon Oxides Tantalum Oxide Copper Ti Nitride
    Degrees C. TEOS TEPO TEB TAETO TAT-DMAE Cu TMVS TDEAT
    20 1.42634 0.292384 8.910782 2.8227E-05 0.00071008 0.059138 0.000887
    22 1.621831 0.334796 10.0792 3.5196E-05 0.00086263 0.070819 0.00105
    24 1.840931 0.382561 11.38194 4.3756E-05 0.00104521 0.084601 0.001241
    26 2.086094 0.436589 12.8322 5.4239E-05 0.00126318 0.100826 0.001463
    28 2.359987 0.497247 14.44423 6.7043E-05 0.00152278 0.119882 0.001721
    30 2.665499 0.56536 16.23341 8.2638E-05 0.00183122 0.142216 0.00202
    32 3.005765 0.641724 18.21633 0.00010158 0.00219681 0.168332 0.002366
    34 3.38417 0.727202 20.41082 0.00012453 0.00262914 0.198808 0.002766
    36 3.804376 0.822735 22.83606 0.00015227 0.00313927 0.234297 0.003226
    38 4.27033 0.929342 25.51263 0.0001857 0.00373984 0.275539 0.003756
    40 4.786289 1.048132 28.46262 5.0002259 0.00444535 0.32337 0.004365
    42 5.35683 1.180302 31.70966 0.00027411 0.00527239 0.378735 0.005063
    44 5.986876 1.327152 35.27905 0.00033181 0.00623986 0.442695 0.005861
    46 6.681712 1.490081 39.19781 0.0004007 0.00736929 0.516447 0.006772
    48 7.447002 1.670602 43.4948 0.00048275 0.00868514 0.60133 0.007811
    50 8.288317 1.870345 48.20076 0.00058060 0.01021516 0.698848 0.008994
    52 9.213651 2.091064 53.34844 0.0006959 0.01199077 0.810682 0.010337
    54 10.22844 2.334645 58.97271 0.00083272 0.01404748 0.938705 0.011862
    56 11.3406 2.603114 65.1106 0.00099428 0.01642535 1.085015 0.013588
    58 12.55803 2.898642 71.80144 0.00118466 0.01916951 1.251936 0.01554
    60 13.88914 3.223559 79.08693 0.00140849 0.02233068 1.442059 0.017744
    62 15.34289 3.580357 87.0113 0.00167118 0.02596582 1.658254 0.020228
    64 16.9288 3.971698 95.62132 0.00197885 0.03013876 1.903705 0.023026
    66 18.657 4.40043 104.9665 0.00233849 0.03492093 2.181935 0.026168
    68 20.53822 4.869588 115.0991 0.0027581 0.04039211 2.496832 0.029695
    70 22.58382 5.382408 126.0745 0.00324674 0.04664131 2.852692 0.033648
    72 24.80587 5.942338 137.9507 0.00381474 0.05376768 3.254243 0.038073
    74 27.21711 6.553044 150.7893 0.0044738 0.06188147 3.706692 0.043018
    75 29.83104 7.218422 164.6549 0.00523715 0.07110513 4.215756 0.048538
    78 32.66188 7.94261 179.6154 0.00611977 0.08157446 4.787713 0.05469
    80 35.72466 8.729997 196.7424 0.00713853 0.09343985 5.429442 0.061539
    82 39.03525 9.585236 213.1111 0.00831246 0.1088676 6.148473 0.069154
    84 42.61032 10.51325 231.8001 0.00966297 0.12204139 6.953039 0.07761
    86 46.46747 11.51926 251.8923 0.01121407 0.13916381 7.85213 0.086988
    88 50.62518 12.60877 273.4743 0.01299273 0.15845801 8.855551 0.097376
    90 55.10289 13.7876 296.6367 0.01502912 0.18016849 9.973985 0.108869
    92 59.92101 15.0619 321.4747 0.01735699 0.20456799 11.21905 0.12157
    94 66.10096 16.43814 343.0974 0.02001401 0.23194948 12.60339 0.13559
    96 70.66521 17.92315 376.5787 0.02304219 0.26263841 14.14071 0.151048
    98 76.6373 19.52411 407.0568 0.02648683 0.29698993 15.84589 0.168073
    100 83.04189 21.24858 439.6349 0.03040437 0.33539237 17.73503 0.186802
    102 89.90476 23.10452 474.4309 0.03484814 0.37826988 19.82559 0.207386
    104 97.25291 25.10027 511.5676 0.03988367 0.42608517 22.13642 0.229982
    106 105.1145 27.2446 551.173 0.04558191 0.47934249 24.68786 0.254762
    108 113.519 29.5467 593.3803 0.05202133 0.5385907 27.5019 0.28191
    110 122.4971 32.01621 638.3279 0.05928865 0.60442664 30.6022 0.311621
    112 132.0809 34.66322 686.16 0.06747953 0.67749857 34.01426 0.344105
    114 142.3037 37.4983 737.0261 0.07669943 0.75850993 37.7655 0.379586
    116 153.2004 40.5325 791.0814 0.08706443 0.8482232 41.8854 0.418304
    118 164.8071 43.77739 848.4872 0.09870215 0.94746405 46.4056 0.460514
    120 177.1615 47.24503 909.4106 0.11175278 1.05712567 51.36005 0.606488
    122 190.3028 50.94804 974.0247 0.1263701 1.17817339 56.78515 0.556515
    124 204.2716 54.89955 1042.509 0.14272263 1.31164942 62.71985 0.610904
    126 219.1103 59.11329 1115.049 0.16099483 1.45867799 69.20584 0.669982
    128 234.8627 63.60355 1191.838 0.1813584 1.62047064 76.2877 0.734098
    130 251.5742 68.38522 1273.073 0.20412368 1.7983318 84.01303 0.80362
    132 269.2921 73.47379 1358.96 0.22944104 1.99366466 92.43263 0.878942
    134 288.0653 78.88538 1449.712 0.25760255 2.20797727 101.6007 0.960477
    136 307.9443 84.63675 1545.548 0.28889355 2.44288902 111.5749 1.048667
    138 328.9815 90.74531 1646.594 0.32362446 2.70013731 122.4168 1.143977
    140 351.2313 97.22913 1753.383 0.36213262 2.98158457 134.1917 1.246899
    142 374.7495 104.107 1865.856 0.40478433 3.28922564 146.9691 1.357953
    144 399.5941 111.3985 1984.361 0.45197688 3.62519537 160.8229 1.477689
    146 425.8251 119.1236 2109.153 0.50414083 3.99177661 175.8315 1.606687
    148 453.5041 127.3034 2240.495 0.56174232 4.39140857 192.0779 1.745559
    150 482.6949 135.9595 2378.658 0.62528561 4.82669541 209.6503 1.894949
    152 513.4633 145.1144 2523.92 0.69531563 5.3004153 228.6419 2.055536
    154 545.8771 154.7913 2676.567 0.77242081 5.81552975 249.1517 2.228034
    156 580.0063 165.0142 2836.893 0.85723599 6.37519336 271.284 2.413196
    158 615.9227 175.8079 3005.202 0.95044545 5.98276392 295.1493 2.611811
    160 653.7005 187.1982 3181.803 1.05278619 7.64181289 320.8642 2.82471
    162 693.4161 199.2114 3367.014 1.1650513 8.35613626 348.5519 3.052765
    164 735.1478 211.875 3561.163 1.28809355 9.12976584 378.3422 3.296889
    166 778.9765 225.2172 3764.585 1.42282911 9.96698085 410.3721 3.558042
    168 824.985 239.2671 3977.623 1.57024154 10.8723201 444.7858 3.837229
    170 873.2587 254.0547 4200.631 1.73138585 11.8505943 481.7353 4.135503
    172 923.885 269.611 4433.968 1.90739286 12.9068992 521.3804 4.453966
    174 976.9538 285.9678 4678.004 2.09947371 14.0466286 563.8893 4.793772
    176 1032.557 303.158 4933.118 2.30892459 15.2754884 609.4385 5.156127
    178 1090.79 321.2152 5199.698 2.53713169 16.5995105 658.2137 5.542291
    180 1151.75 340.1743 5478.138 2.78557635 18.0250676 710.4098 6.953581
    182 1215.535 360.0709 5768.843 3.0558405 19.5588884 756.2311 3.391372
    184 1282.248 380.9419 6072.229 3.34961223 21.2080727 825.892 6.857099
    186 1351.994 402.8248 6388.718 3.66869166 22.9801076 889.6171 7.352256
    188 1424.878 425.7586 6718.741 4.01499711 24.882884 957.8419 7.878405
    190 1501.011 449.7829 7062.741 4.39057141 26.9247135 1030.213 8.437171
    192 1580.504 474.9388 7421.167 4.79758851 29.1143452 1107.587 9.030245
    194 1663.473 501.2679 7794.479 5.23836044 31.4609845 1190.035 9.65939
    196 1750.033 528.8135 8183.147 5.71534437 33.9743104 1277.837 10.32644
    198 1840.306 557.8195 8587.647 5.23115014 35.6644946 1371.29 11.0333
    200 1934.412 587.7311 9008.469 6.78854788 39.5422211 1470.699 11.78194
  • Vapor pressure data for additional sources is as follows: for Cu(hfac)[0182] 2, P=1 torr at 50° C. and P=10 torr at 96° C.; for Cu(acac)2, P=0.01 torr at 100° C. and P=1 torr at 164° C.; for Cu(tfa)2, P=0.4 torr at 110° C. and P=1 torr at 140° C.; for Cu(fod)2, P=0.1 torr at 100° C.
  • With the [0183] vaporizer 28 at a temperature of 180° C., a pressure of 2.8 torr is generated in the vaporization chamber 26 without significant decomposition of the TAETO. With this pressure at the inlet to the delivery conduit 40, the process chamber 70 is held at 800 to 900 millitorr. With this pressure differential, about 1.0 sccm of TAETO vapor along with 1.5 sccm N2O are delivered to a wafer heated to about 385° C. Under these conditions, a tantalum oxide film will grow at a rate of approximately 75 to 80 angstroms per minute. The wafer is pre-heated to about the deposition temperature or higher either in a preheat module or, less desirably, in the process chamber 70. Direct thermal coupling between the wafer and the substrate chuck 74 is nominal. Heat is transferred between the wafer and the substrate chuck 74 primarily by way of helium gas flowing between the substrate chuck 74 and the underside of the wafer.
  • In one embodiment, a target film thickness of 100 angstroms is achieved by running the process for 10 seconds at a reduced flow of reactants to seed the wafer with tantalum oxide. The process is then run for 75 seconds at full flow to build the desired film thickness. [0184]
  • The deposition rate can be either reduced or slightly increased. An increase in the deposition rate may require an increase in the temperature of the [0185] vaporizer 28. The temperature of the vaporizer 28, however, should generally be limited to 190° C. for TAETO because there is a risk that the quality of the deposited film will suffer as a result of TAETO degradation.
  • If the temperature of the [0186] vaporizer 28 is reduced to 170° C., the net effect will be a reduction in the rate of TAETO deposition. The maximum pressure available at the inlet to the vapor-flow-control subsystem 14 would be reduced to about 1.73 torr. This reduction will nearly halve the possible flow rate and will result in a process pressure of about 450 millitorr. The reduced process pressure will yield a deposition rate of about 22-25 angstrom per minute.
  • As the TAETO vaporizes, it expands within the [0187] principal cylinder 30 and vapor outlet 32 of the vaporization chamber 26. All components, including valves and pressure sensors, within the vaporization chamber 26 and delivery conduit 40 are maintained at the temperature of the vaporizer 28 to prevent the TAETO from condensing. As the pressure in the vaporization chamber 26 is depleted by the flow of vapor through the delivery conduit 40 and into the process chamber 70, the pressure in the vaporization chamber 26 is reestablished by dispensing more TAETO from the reservoir 20 onto the heated vaporizer 28. While the vaporization subsystem 12 can operate continuously to maintain a pressurized supply of TAETO in the vaporization chamber 26, it will preferably maintain a low vapor pressure within the chamber 26 until a demand is signaled by the processor. When no demand is signaled, the vaporization chamber 26 will be purged of TAETO and evacuated.
  • This cyclic process is established to accommodate the thermal sensitivity of the precursor (in this case, TAETO). The precursor, if held at an elevated temperature for any length of time, will decompose before delivery into the [0188] process chamber 70.
  • Further, with careful selection of precursors, the apparatus and method of this invention allow the sequential deposition of different but complementary materials in the same chamber without moving the wafer. As a result, multiple deposition steps can be performed without wafer movement and the accompanying cycles of pump down, purge, vent up to atmospheric pressure, and wafer heat up. [0189]
  • Complementary processes thus far identified include the following: titanium nitride (TiN) from TiBr[0190] 4 or TDEAT and ammonia, followed by aluminum from DMAH; tantalum nitride (TaN) from TaBr4 and ammonia, followed by copper from CuI(hfac)(tmvs); and titanium nitride (TiN) from TiBr4 or TDEAT, and ammonia, followed by aluminum from DMAH, followed by 0.5 atomic percent copper from CuI(hfac)(tmvs).
  • The [0191] CVD apparatus 10 is also suitable for depositing barium titanate, barium strontium titanate, strontium bismuth tantalate, and other similar depositions.
  • The apparatus and method of this invention, and many of the processes, described above, are particularly relevant to semiconductor processing procedures. More particularly, the apparatus and method of this invention are well suited to the deposition of advanced dielectrics and interconnect metals on a wafer. [0192]
  • A [0193] cluster tool 120 for semiconductor processing is illustrated in FIG. 15. The illustrated cluster tool 120 includes a number of process modules assembled around a transport module 122 and interfaced with a central control system. Alternatively, the cluster tool 120 can have an inline, rather than radial geometry of process modules in relation to the transport module 122. One or more of these process modules include a CVD apparatus 10 of this invention. In addition to the CVD apparatus 10 of this invention, the cluster tool 120 includes an entrance load lock 126, an exit load lock 128, a preheat module 130, a cool module 132, and a transport module 122. In the illustrated embodiment, three CVD apparatus 10, which can operate in parallel to enhance throughput, are provided. These modules can be operated sequentially in series, or in parallel. The cluster tool 120 is designed in accordance with MESC, the standard design architecture adopted by the Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers. Accordingly, a variety of other standardized components, such as process modules for different deposition and etch processes, can be readily integrated into the cluster tool 120, as desired.
  • Each process module in a [0194] cluster tool 120 is generally designed to process a single wafer at a time. Typical production requirements are for the tool 120 to process 60 wafers per hour. This rate is achieved by implementing different process steps in separate process modules clustered around the transport module 122. The tool 120, illustrated in FIG. 15, is designed for a 300 mm tantalum oxide process system, which uses an eight-sided transport module 122 typically connected to three tantalum oxide CVD apparatus 10. Optionally, the tool might also accommodate a rapid thermal anneal (RTA) module. In an alternative embodiment, a plurality of cluster tools 120 are interfaced together so that a wafer can be sequentially passed between tools 120 for a series of processing stages without ever removing the wafer from the vacuum established within the cluster tools 120.
  • The operation of a [0195] cluster tool 120 commences with wafers being loaded into an input cassette 136 in an entrance load lock 126. A robot arm 134 (available from Brooks Automation) in the transport module 122 removes one wafer at a time from the input cassette 136 and moves each wafer to an alignment station 138. At the alignment station 138, a standard notch in each wafer is precisely aligned before further processing, eliminating wafer orientation effects within a process module and aiding in process uniformity. Once aligned, the robot arm 134 moves the wafer to a preheat module 130 where the wafer remains for approximately 30 seconds while being heated to 300-500° C. When a CVD apparatus 10 becomes available, the wafer is moved to the process chamber of that CVD apparatus 10 for tantalum oxide deposition. Deposition occurs over a period of approximately 120 seconds. After deposition, the wafer is moved to the cool module 132, where the wafer resides for 30 seconds and is cooled enough to place it in the output cassette 140 in the exit load lock 128.
  • The process time for tantalum oxide deposition on a wafer is on the order of 120 seconds for a 0.01-micron-thick film on a preheated wafer. Wafer movement from the [0196] input cassette 136, to the alignment station 138, to a CVD apparatus 10 and back to an output cassette 140 will consume approximately another ten seconds. The cluster tool 120, with three tantalum oxide CVD apparatus 10, would have a throughput of one wafer every 45 seconds, excluding ramp-up and ramp-down. The tool 120 in this configuration can process up to 75 wafers per hour.
  • In this context, the deposition process is used to form integrated circuits on the wafer. An integrated circuit is simply a large number of transistors, resistors, and capacitors connected together by metal lines. A general goal is to miniaturize the components to the greatest extent possible. [0197]
  • FIG. 16 illustrates a configuration of the invention in which [0198] multiple cluster tools 120 a and 120 b are arranged to process wafer in conjunction with each other. Wafer handoff mechanism 701 can pass wafers from transport module 122 a in cluster tool controller 120 a to an entire second cluster tool controller 120 b. Wafer handoff mechanism 701 may be, for example, a conveyor-belt apparatus which transports the wafers 88 from the robot arm 134 a to the second robot arm 134 b of transport module 122 b. Alternatively, the wafer handoff mechanism 701 can be accomplished by physically passing individual wafers 88 from robot arm 134 a to robot arm 134 b.
  • The [0199] CVD apparatus 10 a-c in FIG. 16 may be used for a certain processing of the wafers, and when complete, the wafers can be transported, through wafer handoff mechanism 701, to the second configuration of CVD apparatus 10 d-f and secondary transport module 122 b for a second type of processing. During the entire processing of wafers by the configuration in FIG. 16, the wafers may be maintained under a vacuum and may be maintained at a relatively constant temperature. Since the cluster tools 120 a and 120 b are an entirely closed system, wafers experience reduced exposure to contamination and outside atmosphere while being processed.
  • The large scale wafer processing illustrated in FIG. 16 is referred to herein as a factory automation wafer processing system. According to one aspect of factory automation processing in this invention, the entire set of [0200] CVD apparatus 10 a-f, transport modules 122 a and 122 b, and cluster tools 120 a and 120 b may all be controlled by a single factory automation controller 702 which handles all scheduling of wafer processing from beginning to end. Factory automation controller 702 contains a master central processing unit that governs the operation of each cluster tool 120 a and 120 b. Data bus 703 interconnects each CVD apparatus 10 a-f with factory automation controller 702.
  • FIG. 17 illustrates an alternative configuration for a factory automated CVD processing system. In FIG. 17, the individual components (i.e., [0201] CVD apparatus 10, preheat modules 130, cooling modules 132, transport modules 122) of each cluster tool 120 a and 120 b are controlled by separate cluster tool controllers 705 a and 705 b. Factory automation controller 702 controls each cluster tool controller 705 a and 705 b, and can control wafer handoff mechanism 701.
  • In yet another alternative embodiment, one of the cluster tool controllers, for example, [0202] 120 a, can control the wafer handoff mechanism 701 and can signal to the other cluster tool 120 b that it has completed its wafer processing and that wafer are on route via wafer handoff mechanism 701 and should be accepted by robot arm 134 b.
  • Each of these arrangements are shown by way of example only, and the invention is not limited to only two cluster tools in the factory automation configurations shown in FIG. 16 and [0203] 17. Rather, there may be many cluster tools arranged in any number of ways, each having a cluster tool controller which is controlled by one or more master factory automation controllers. By distributing processing as shown in these examples, real-time wafer processing can be accomplished from beginning to end in a more efficient, clean, and timely manner.
  • FIG. 18 illustrates an example of the typical steps involved in controlling a [0204] single cluster tool 120 a via cluster tool controller 705 a, as illustrated in FIG. 17. In step 710, robotic arm 134 a accepts a wafer from the input cassette 136 a, which is attached to the entrance load lock 126 a. The robotic arm 134, in step 711, then aligns the wafer on the armature itself.
  • Wafer alignment on the [0205] robotic arm 134 is performed at the alignment station 138, where a notch in the side of the wafer is mechanically aligned with a reference indicator.
  • Once the wafer is correctly oriented, in [0206] step 712, which is an optional step, the wafer may be pre-heated in pre-heat module 130. Heating the wafer brings the wafer up to a temperature at or near the operating or substrate chuck temperature of the first CVD apparatus 10 that will accept the wafer. Next, the robotic arm 134, in step 713, places the wafer into one of the CVD apparatus 10 a-c of the current cluster tool controller 120 a for CVD processing in step 714, as explained above. While three CVD apparatus 9 a through 10 c are illustrated in FIG. 16, the invention is not limited to three, and there may be one, two, three or many more such system all accessible by a single robotic arm 134. After the wafer has completed CVD processing in step 714 in CVD apparatus 9 a, in step 715, the robotic arm extracts the wafer. Next, the wafer either moves to the next CVD apparatus (i.e., back to step 713), or finishes processing (step 716) by being cooled in cool module 132 and exiting the cluster tool 12 a via output cassette 140, or the wafer is passed to another cluster tool 120 b via wafer handoff mechanism 701 (step 717). Generally, wafer processing repeats until the correct sequence of heating, CVD processing and cooling has been performed, as dictated by the wafer processing program executing in cluster tool controller 705 a controlling the operation of cluster tool 120 a.
  • The fabrication of electronic devices using methods of semiconductor processing are attempting to build these structures with the smallest possible features. Accordingly, it is desirable that the transistors, interconnects, capacitors, and resistors, for example, occupy as little space on the wafer surface as possible, providing more devices per wafer and reducing costs. As the size of features decreases, new materials are often needed to maintain the proper conductivity of the finer lines and the properties of both active and passive components. [0207]
  • The apparatus of this invention is specifically intended for the deposition of thin films of metals, dielectric layers used as insulators for these metals, low-k interlayer dielectric layers, capacitor dielectrics (denoted as high-k), and transistor gate dielectrics required for 0.25 micron or smaller linewidth processes. The processes can be used to form integrated circuits with clock speeds of 400 MHZ or faster and 256 Mbit or more DRAM, for example. [0208]
  • Semiconductor deposition processes that can be performed with a [0209] cluster tool 120 incorporating a CVD apparatus 10 of this invention include the deposition of high-k capacitor dielectrics such as tantalum oxide; the deposition of layers that serve as barriers and adhesions promoters, like titanium nitride, a liner used for aluminum, and tantalum nitride, a copper liner; and the deposition of copper metal for interconnects.
  • Further, the methods and apparatus of this invention are particularly suitable for the deposition of stacked gate dielectrics. This procedure can involve successive deposition of layers of thin films (on the order of 15 angstroms for each film) including two or more different dielectrics to minimize gate capacitance. Stacked dielectric gates can be used in devices with geometries of less than 0.15 microns and in devices with geometries of up to 0.25 microns, where an increase in speed beyond 400 MHZ is needed, for example. Stacked gate dielectrics can be formed of silica along with either silicon nitride or tantalum oxide. Other dielectric materials can also be used, provided that they achieve a desired dielectric transistor gate. [0210]
  • An illustration of a device (specifically, an NMOS transistor) formed by methods of this invention is provided in FIG. 26. The device includes a [0211] silicon substrate 1052; a source 1054 formed by ion implantation or diffusion; a drain 1056 formed by ion implantation or diffusion; a pair of channel stops 1056 also formed by ion implantation; a gate dielectric 1058 (which can comprise, e.g., silica) deposited in accordance with methods of this invention; a gate metallization film 1060, which can be formed of polysilicon or formed of copper or aluminum deposited in accordance with methods of this invention; an insulator dielectric 1062 (typically, silica) formed by thermal oxidation; an insulator dielectric 1064 (typically silica) deposited in accordance with methods of this invention; and a metallization film 1066 (comprising, e.g., copper, aluminum, or copper/aluminum) deposited in accordance with methods of this invention.
  • Further still, the methods and apparatus of this invention offer advantages in the processing of stacked dielectrics, where sequential deposition of two different dielectrics is generally required. The design of a precursor delivery system, in accordance with this invention, allows deposition of both materials in the same process chamber. As a result, the wafer will not be exposed to random oxidation during transport between chambers, which can destroy the gate. Further, because the wafer need not be moved, the system is expected to have an intrinsically higher throughput than existing systems. Background discussion directed to the synthesis of stacked gate dielectrics is provided in P. K. Roy, et al., “Stacked High-∈ Gate Dielectric for Gigascale Integration of Metal-Oxide-Semiconductor Technologies,” 72 Applied Physics Letters 2835 (Jun. 1, 1998), which is incorporated herein by reference in its entirety. [0212]
  • Other materials that can be suitably deposited on semiconductor wafers with an apparatus and method of this invention include aluminum, aluminum/copper (an alloy with reduced liner requirements), barium titanate (a potential high-k dielectric film), and barium strontium titanate (another high-k dielectric film). [0213]
  • An integrated gate [0214] oxide cluster tool 920 designed specifically for semiconductor gate dielectric deposition is illustrated in FIG. 22. The tool 920 includes an etch module 951. The etch module 951, like the other modules, operates at low power. The etch module 951 is used for preheating and soft etching to provide a surface that is free or nearly-free of atomic residue. The tool also includes a pair of process modules 910 d for silica deposition, a pair of process modules 910 e for tantalum oxide deposition and a single process module 910 f for titanium nitride deposition.
  • Processing within the [0215] tool 920 of FIG. 22 proceeds as follows. A gate to the entrance load lock 926 is lifted and the wafer handler robot arm 934 mounted in a transport module 922 retrieves a silicon wafer from the stack of wafers in the entrance load lock 926. The wafer handler robot arm 934 first delivers the wafer to the etch module 951. After the wafer is preheated and soft etched in the etch module 951, the robot arm 934 retrieves the wafer and advances it to one of the silica deposit modules 910 d, where vaporized TEOS is reacted with N2O to form a silica deposit on the wafer. After deposition in the silica deposit module 910 d is completed, the robot arm 934 retrieves the wafer and advances it to a tantalum oxide deposit module 910 e, where N2O is reacted with vaporized TAT-DMAE or with vaporized TAETO to form a tantalum oxide layer on the silica layer. Next, the robot arm 934 retrieves the wafer and advances it to the titanium nitride deposit module 910 f where TiBr4 or TDEAT and ammonia are reacted to form a thin TiNx capping material on top of the tantalum oxide layer. Finally, the robot arm 934 again retrieves the wafer and advances it to the exit load lock 928 for later removal from the tool 920.
  • An integrated aluminum/[0216] copper tool 1020 for depositing aluminum and copper metallization films is illustrated in FIG. 23. The tool 1020 includes a titanium nitride deposit module 1010 g, a copper deposit module 1010 h, two aluminum deposit modules 1010 i, as well as transport module 1022, entrance and exit load locks 1026 and 1028, a preheat/etch module 1051, and a wafer handler robot arm 1034. The tool 1020 is used to deposit a titanium nitride liner/barrier layer on a silicon wafer followed by successive depositions of copper and aluminum.
  • Copper thin films are emerging as important metallization films for integrated circuits, and the level of importance increases as integrated circuit manufacturers introduce products with copper metallization. Further, more are likely to follow this lead as linewidths shrink from 0.25 to 0.18 to 0.15 to 0.12 to 0.1 microns. The “transition” technology for significant implementation of copper metallization is likely to be at 0.13 micron design rules. [0217]
  • Integrated circuit linewidths shrink at approximately a factor of 0.7 per generation. This allows for an approximate doubling of the density of circuit elements (transistors) with each generation. This needs to occur at a rate of one every 12 to 18 months to keep the semiconductor industry moving along the generally accepted Moore's Law curve of doubling performance and halving cost every one to two years. As circuit size shrinks, the need to carry electrical signals remains relatively constant, but as the linewidths shrink, metallization conductivity decreases because the cross-sectional area of the metal lines decreases. This requires some combination of thicker metal or more metallization lines/levels to be implemented on the circuit. An alternative is to use a higher conductivity metal. Currently, Al is the industry-standard metallization material. Alternative materials and their comparison resistivities are: [0218]
    Resistivity (micro-
    Material ohm-cm) Comments
    Aluminum (Al) 2.8 Standard industry practice
    Copper (Cu) 1.7 Implemented
    Silver (Ag) 1.6 Corrosion risks
    Gold (Au) 2.4 Contamination risks
  • Copper offers significant improvement in resistivity and is being implemented with what is known as damascene processing due to the impracticality of dry-etching Cu. Copper is an undesirable contaminant to silicon integrated circuits, but less so than gold. To prevent contamination, Cu metallization films are isolated from the silicon using appropriate line/barrier layers such as TiN[0219] x, TaNx or WNx. Additionally, it is typically required that the copper be deposited in a fashion that will fill high aspect ratio features during integrated circuit fabrication. The liner/barrier layers must also coat these high aspect ratio features prior to the copper deposition.
  • A complete copper deposition process currently consists of three sequential discrete steps. First, a liner/barrier layer (not copper) is deposited. Second, a thin copper layer, called the “seed” layer is deposited on the liner/barrier layer. Third, a thicker copper layer, called the “fill” layer is deposited. [0220]
  • The liner/barrier layer deposition is typically done by physical vapor deposition (PVD, sputtering) but can also be done by CVD. This layer may typically be 10 to 100 nm thick. The seed layer is also typically done by PVD but can also be done by CVD and also is typically between 10 and 100 nm thick. [0221]
  • The advantage of depositing these layers by CVD is better conformability for the coverage of high aspect ratio features relative to PVD. However, CVD is typically more costly than PVD due partially to equipment complexity and throughput, but mostly due to the cost of starting source materials. A copper metal “target” is used as the Cu source in PVD. The most widely accepted source material for Cu deposition by CVD is Schumacher CupraSelect™ copper source, which is Cu(hfac)(TMVS), or C[0222] 10H13CuF6O2Si, in which a Cu atom is attached to a molecule of hexaflouroacetylacetonate (hfac, or CF3COCHCOCF3) and to a molecule of trimethylvinylsilane (TMVS, or C5H12Si). This material is unstable and difficult to work with and is relatively expensive at a cost of up to approximately $20 per gram. The fill layer, typically 100 to 300 nm thick, is currently typically deposited by an electroplating process, although CVD and PVD are alternatives. The PVD process is not a practical alternative, however, due to the need to conformally fill high aspect ratio features, as the PVD process is inherently directional. Electroplating offers conformal deposition, but is done using a wet process which is against industry trends. Consequently, CVD is a preferred process due to conformality, similarity with other industry processes, and comparability with the seed layer deposition process.
  • The methods and apparatus of this invention, particularly with respect to use of the unique precursor delivery system, provide a preferred method and means for depositing copper seed and fill layers, as well as liner/barrier layers. [0223]
  • The methods and apparatus of this invention are unique in that they allow stable ambient storage of the copper source chemical (referred to as the precursor) while small amounts of the pure precursor are introduced to the vaporizer unit. This represents a unique approach to source vaporization for several reasons. First, the source precursor is stored at an ambient temperature at which it is stable so that the source is not subject to premature decomposition. Second, only small amounts of the source are introduced for vaporization and only on demand to generate a sufficient source pressure to execute the transport of sufficient precursor material, via the vapor-flow-control subsystem, to produce the required film deposition. Third, the vaporization of a small quantity of precursor allows the vaporizer to function without risk of “clogging” due to the formation of a “skin” of non-volatile vaporization by-products of a precursor charge. This is a common experience with vaporization methods that place a “large” quantity (or charge) of precursor in a vaporizer. This is particularly true when using precursor sources in solid form, as is likely to be a preferred method for copper CVD. Generally, in the case of a liquid source, non-volatile materials can dissipate in the liquid or be displaced, allowing an appropriate exit path for the generated vapor. However, non-volatile residues that form on the surface of a solid source are not readily displaced and interfere with the free path of vapor. This results in a “clogging” effect (or “poisoning” of the vaporizer). Methods of this invention avoid this problem by introducing small amounts of precursor on-demand via a process control loop, whereby liquid precursors wick along a smooth conical surface in order to enable vaporization and solid precursors are dissipated along a stepped conical surface and vaporized. Residual material left on the stepped conical surface is covered by newly added material as introduced on-demand by the process control loop. This is a method of a non-clogging (non-poisoning) precursor vaporizer. [0224]
  • In the deposition of copper films in accordance with this invention, a precursor, such as CuTMVS (CupraSelect™), Cu(hfac)[0225] 2, Cu(tfa)2, or Cu(fod)2, will be vaporized and delivered to the deposition chamber in a controlled fashion through the vapor-flow-control subsystem. The vapor-flow-control subsystem, the deposition chamber walls, and other fixtures and/or plumbing are maintained at or above the same temperature as the vaporizer to insure that there is no condensation of the generated precursor vapors in the system prior to the vapors impinging on the heated substrate (e.g., a silicon wafer) onto which the copper film is to be deposited. The advantage of the specified precursor chemicals (and other potential copper source precursor chemicals) relative to CupraSelect™ is that they are inherently more stable. CupraSelect™ is an unstable material that will degrade/decompose at ambient room temperature and above. All these materials are intended to be deposited at a substrate (silicon wafer) temperature of generally between 150° C. and 300° C., although the range can extend beyond these temperatures depending on the specific precursor.
  • It is expected that the copper deposition with the specified precursors will be thermally induced and will proceed without a need for other reactants. However, the process may be enhanced by the introduction of hydrogen gas (H[0226] 2) remotely-ionized hydrogen, or water vapor. Means are provided in the apparatus of this invention for introducing such reactants (or process-assisting agents) through a separate gaseous source line.
  • The use of pure precursor vapor, due to the inherent avoidance of a carrier gas in methods of this invention and the intended omission of a reactant gas, will result in a higher deposition efficiency than that of other copper CVD processes that require such gases (and, hence, greatly dilute the precursor flow). This will result in improved utilization (efficiency) of the precursor chemical. The table, below, shows a cost comparison for the deposition of Copper films using known source pricing from commercial vendors. A 10% efficiency is assumed to be representative of the methods of this invention while a 3% efficiency is assumed to be representative of other CVD processes that utilize a carrier gas (or other effective dilutant) in the CVD process. The relative cost comparison for the precursor source is provided in the accompanying chart. [0227]
    acac hfac tfa CupraSelect
    Source Copper “A” Copper “B” Copper “C”
    Molecular 261.76 477.64 369.7 370.83
    weight
    Cumoleoweight 63.54 63.54 63.54 63.54
    Wt % Cu 24.27% 13.30% 17.19% 17.13%
    Source $/g $0.19 $1.10 $1.92 $2.75
    Cu $/g $0.79 $8.27 $11.17 $16.05
    equivalent
    Relative source 1 10.45415 14.12362 20.290981
    cost
    Grams 0.01 0.01 0.01 0.01
    Cu/100 nm dep
    Cu cost per dep $0.01 $0.08 $0.11 $0.16
    Cost at 10% $0.08 $0.83 $1.12 $1.60
    efficiency
    Cost at 3% $0.26 $2.76 $3.72 $5.35
    efficiency
  • The cost ratio for this invention, calculated in terms of the cost of Cu(acac) versus the cost of CuTMVS CVD is 67.6366. A relative cost comparison for the different copper sources is illustrated in FIG. 25. [0228]
  • In the deposition of copper utilizing the methods of this invention, it is anticipated that the deposition will be carried out at a process pressure of between 0.01 and 100 torr, with a nominal process pressure of approximately 1 torr. The vaporizer pressure will be set at slightly above the deposition process pressure. The substrate (silicon wafer) will be heated via the heated wafer chuck (or support). The wafer chuck will be moved to its selected position for deposition and the precursor will be introduced in a controlled fashion through the vapor-flow-control subsystem. The precursor flow will be terminated after a specific period of time or some other condition, and the wafer chuck lowered to the wafer transport position. [0229]
  • Likely vaporizer set points for specific copper sources are set by targeting the 1 to 10 torr precursor vapor pressure range, though other vapor pressure ranges may be equally effective. Representative vaporizer operating temperature set points for generating a range of vapor pressures are provided in FIG. 24, which indicates published vapor pressure data for several selected copper precursors. Based on this data, a vaporizer can be operated at 60° C. to 70° C. for CupraSelect™ (represented by the curve in FIG. 24 and limited in the upper range by the inherent thermal instability of this material), 50° to 100° C. for Cu(hfac)[0230] 2 (represented by diamonds), 140° to 200° C. for Cu(tfa)2 (represented by triangles), and 160° to 200° C. for Cu(acac)2 (represented by the square). More specifically, the vapor pressure curve for CupraSelect™ can be expressed as follows:
  • Log P torr=−3.39 (103 /T K)+10.33.
  • The CVD apparatus and methods of this invention can also be used to deposit organic materials such as photoresist or organic light emitting diode (LED) materials. Further, organometallic arsenic and/or phosphorous sources can be deposited via the apparatus and methods of this invention. Further still trimethyl indium (TMI) or other materials for compound semiconductor epitaxial crystal growth can be delivered with this invention. [0231]
  • Other suitable applications for the CVD apparatus and methods of this invention include processing of flat panel displays and coated drill bits. Further still, the apparatus and methods of this invention can be used to deposit optical dielectric coatings, anti-reflection coatings, and coatings to reduce friction and wear. [0232]
  • While this invention has been particularly shown and described with references to preferred embodiments thereof, those skilled in the art will understand that various changes in form and details may be made therein without departing from the scope of the invention as defined by the appended claims. [0233]

Claims (8)

What is claimed is:
1. A method for forming stacked gate dielectrics comprising depositing silica by reacting TEOS with N2O at temperatures less than 600° C.
2. A method for performing multiple depositions on a wafer, comprising the steps of:
vaporizing a first precursor in a vaporization chamber;
delivering the first vaporized precursor to a process chamber;
depositing the first vaporized precursor on a substrate in the process chamber; then
vaporizing a second precursor;
delivering the second vaporized precursor to the process chamber; and
depositing the second vaporized precursor on the substrate in the process chamber.
3. The method of claim 2 wherein the second precursor is vaporized in a second vaporization chamber.
4. The method of claim 3 wherein the first precursor and the second precursor are vaporized by delivering the first precursor and the second precursor to respective vaporizers in each vaporization chamber.
5. The method of claim 2 wherein each precursor is delivered onto a heated, sloped vaporizer surface across which the precursor spreads, the precursor vaporizing as it spreads.
6. The method of claim 2 wherein the substrate remains stationary throughout the method.
7. The method of claim 2 wherein the first precursor is a copper source and the second precursor is an aluminum source.
8. The method of claim 2 wherein the first precursor is a silicon source and the second precursor is a tantalum source.
US09/850,454 1998-04-14 2001-05-07 Film processing system Abandoned US20020076492A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/850,454 US20020076492A1 (en) 1998-04-14 2001-05-07 Film processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US6000798A 1998-04-14 1998-04-14
US09/291,871 US6663716B2 (en) 1998-04-14 1999-04-14 Film processing system
US09/421,823 US6296711B1 (en) 1998-04-14 1999-10-20 Film processing system
US09/850,454 US20020076492A1 (en) 1998-04-14 2001-05-07 Film processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/421,823 Division US6296711B1 (en) 1998-04-14 1999-10-20 Film processing system

Publications (1)

Publication Number Publication Date
US20020076492A1 true US20020076492A1 (en) 2002-06-20

Family

ID=26739454

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/421,823 Expired - Fee Related US6296711B1 (en) 1998-04-14 1999-10-20 Film processing system
US09/850,454 Abandoned US20020076492A1 (en) 1998-04-14 2001-05-07 Film processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/421,823 Expired - Fee Related US6296711B1 (en) 1998-04-14 1999-10-20 Film processing system

Country Status (1)

Country Link
US (2) US6296711B1 (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576538B2 (en) * 2001-08-30 2003-06-10 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
WO2004027112A2 (en) * 2002-09-20 2004-04-01 Applied Materials, Inc. An apparatus for the deposition of high dielectric constant films
US20040178803A1 (en) * 2003-03-14 2004-09-16 Steris Inc. Method and apparatus for measuring concentration of a chemical component in a gas mixture
US20040178804A1 (en) * 2003-03-14 2004-09-16 Steris Inc. Method and apparatus for detection of contaminants in a fluid
US20040194708A1 (en) * 2001-01-22 2004-10-07 Anelva Corporation Method of cleaning a cvd device
US20040249579A1 (en) * 2003-06-06 2004-12-09 Steris Inc. Method and apparatus for formulating and controlling chemical concentrations in a solution
US20050001630A1 (en) * 2003-03-14 2005-01-06 Steris Inc. Method and apparatus for monitoring the state of a chemical solution for decontamination of chemical and biological warfare agents
US20050001634A1 (en) * 2003-03-14 2005-01-06 Steris Inc. Method and apparatus for monitoring the purity and/or quality of steam
US20050017728A1 (en) * 2003-03-14 2005-01-27 Steris Inc. Method and apparatus for monitoring detergent concentration in a decontamination process
WO2005001413A3 (en) * 2003-06-06 2005-04-28 Steris Inc Method and apparatus for formulating and controlling chemical concentrations in a gas mixture
US6918960B2 (en) 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6933733B2 (en) 2003-03-14 2005-08-23 Steris Inc. Method and apparatus for measuring the concentration of hydrogen peroxide in a fluid
US20050211666A1 (en) * 2004-03-26 2005-09-29 Burgess Stephen R Method of processing a workpiece
US6960921B2 (en) 2003-03-14 2005-11-01 Steris Inc. Method and apparatus for real time monitoring of metallic cation concentrations in a solution
WO2006060692A2 (en) * 2004-12-01 2006-06-08 The Regents Of The University Of California Supported group-4, group-5, and group-6 metal clusters, preparation of the material and use of the material as a catalyst
US20060182886A1 (en) * 2005-02-15 2006-08-17 Guidotti Emmanuel P Method and system for improved delivery of a precursor vapor to a processing zone
US20060190099A1 (en) * 2005-02-23 2006-08-24 Nangoy Roy C Closed loop control on liquid delivery system ECP slim cell
US20070096264A1 (en) * 2005-10-31 2007-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene structure with high moisture-resistant oxide and method for making the same
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus
US20070215048A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle contamination in a deposition system
US20080042078A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080044257A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080206105A1 (en) * 2004-09-24 2008-08-28 Steris Corporation Apparatus for determining the concentration of chemical components in a liquid or gaseous system using multiple sensors
US20080236481A1 (en) * 2007-03-29 2008-10-02 Intevac Corporation Method of and apparatus for monitoring mass flow rate of lubricant vapor forming lubricant coatings of magnetic disks
US20080314437A1 (en) * 2005-11-17 2008-12-25 Amnon Regev Multiple Heliostats Concentrator
US20090047417A1 (en) * 2007-03-30 2009-02-19 Barnes Michael S Method and system for vapor phase application of lubricant in disk media manufacturing process
US20090298267A1 (en) * 2007-03-16 2009-12-03 Fujitsu Microelectronics Limited Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US20100024728A1 (en) * 2008-07-29 2010-02-04 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20100062158A1 (en) * 2007-03-28 2010-03-11 Tokyo Electron Limited Gas supply method and gas supply device
US20100292809A1 (en) * 2007-12-26 2010-11-18 Tokyo Electron Limited Target object processing system and method of controlling the same
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US20150101535A1 (en) * 2013-10-10 2015-04-16 Samsung Display Co., Ltd. Vapor deposition apparatus
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US20160308171A1 (en) * 2015-04-15 2016-10-20 Samsung Display Co., Ltd. Deposition source for organic light-emitting display apparatus
US20160362785A1 (en) * 2015-06-15 2016-12-15 Samsung Electronics Co., Ltd. Apparatus for manufacturing semiconductor device having a gas mixer
US20190221458A1 (en) * 2018-01-15 2019-07-18 Applied Materials, Inc. Advanced temperature monitoring system and methods for semiconductor manufacture productivity
US20200144037A1 (en) * 2018-11-05 2020-05-07 Kokusai Electric Corporation Substrate processing apparatus
WO2020260768A1 (en) * 2019-06-28 2020-12-30 Beneq Oy Precursor source arrangement and atomic layer deposition apparatus
US11340636B2 (en) * 2017-11-29 2022-05-24 Fujikin Incorporated Abnormality diagnosis method of fluid supply line

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000138201A (en) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk Method and system for dry etching of half-tone phase shifted film, half-tone phase shifted photomask and manufacture thereof, and semiconductor circuit and manufacture thereof
JP3479034B2 (en) * 2000-07-26 2003-12-15 宮崎沖電気株式会社 Processing method of plasma etching apparatus
US6631334B2 (en) * 2000-12-26 2003-10-07 Mks Instruments, Inc. Pressure-based mass flow controller system
CN1966762B (en) * 2001-01-18 2015-01-21 株式会社渡边商行 Carburetor, various types of devices using the carburetor, and method of vaporization
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6790475B2 (en) * 2002-04-09 2004-09-14 Wafermasters Inc. Source gas delivery
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6800568B1 (en) * 2002-07-02 2004-10-05 Advanced Micro Devices, Inc. Methods for the deposition of high-K films and high-K films produced thereby
KR100474970B1 (en) * 2002-07-18 2005-03-10 주식회사 아이피에스 Vaporize for thin film deposition apparatus
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
JP2005079141A (en) * 2003-08-28 2005-03-24 Asm Japan Kk Plasma cvd system
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
WO2005080627A1 (en) * 2004-02-17 2005-09-01 Engle George M Formation of photoconductive and photovoltaic films
US7737051B2 (en) * 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4502189B2 (en) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 Thin film forming method and semiconductor device manufacturing method
GB0417936D0 (en) * 2004-08-12 2004-09-15 Rolls Royce Plc Method and apparatus for recycling inert gas
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
KR100636038B1 (en) * 2005-02-01 2006-10-18 삼성전자주식회사 Apparatus for supplying a gas and Apparatus for forming a layer having the same
CN100527362C (en) * 2005-03-16 2009-08-12 学校法人同志社 Film forming apparatus and film forming method
WO2006100953A1 (en) * 2005-03-18 2006-09-28 Horiba, Ltd. Method of film formation and apparatus for film formation
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7989021B2 (en) * 2005-07-27 2011-08-02 Global Oled Technology Llc Vaporizing material at a uniform rate
US7901743B2 (en) * 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP5137366B2 (en) * 2006-01-24 2013-02-06 株式会社日立国際電気 Substrate processing system and liquid material supply apparatus
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP2008007838A (en) * 2006-06-30 2008-01-17 Horiba Ltd Film deposition apparatus, and film deposition method
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8424179B2 (en) * 2007-02-01 2013-04-23 Conex Universal Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US7955569B2 (en) * 2007-03-14 2011-06-07 Hubert Patrovsky Metal halide reactor for CVD and method
US7883745B2 (en) * 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
US8392845B2 (en) 2007-09-04 2013-03-05 Fisher-Rosemount Systems, Inc. Methods and apparatus to control information presented to process plant operators
US8297223B2 (en) * 2007-10-02 2012-10-30 Msp Corporation Method and apparatus for particle filtration and enhancing tool performance in film deposition
US20090090164A1 (en) * 2007-10-08 2009-04-09 Air Liquide Electronics U.S. Lp Method for volumetrically calibrating a liquid flow controller while maintaining the liquid in a closed system
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US8150541B2 (en) 2007-11-13 2012-04-03 Fisher-Rosemount Systems, Inc. Methods and apparatus to modify a recipe process flow associated with a process control system during recipe execution
US8191397B2 (en) * 2007-12-12 2012-06-05 Air Liquide Electronics U.S. Lp Methods for checking and calibrating concentration sensors in a semiconductor processing chamber
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
AT512950B1 (en) * 2012-06-04 2016-06-15 Leica Microsysteme Gmbh Device for preparing, in particular coating, samples
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6107327B2 (en) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, and film forming method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102477941B1 (en) * 2015-09-30 2022-12-16 다이니폰 인사츠 가부시키가이샤 Deposition mask, method of manufacturing deposition mask and metal plate
US10541387B2 (en) 2015-09-30 2020-01-21 Dai Nippon Printing Co., Ltd. Deposition mask, method of manufacturing deposition mask and metal plate
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
JP7182988B2 (en) * 2018-10-12 2022-12-05 東京エレクトロン株式会社 Raw material gas supply apparatus, film forming apparatus, and raw material gas supply method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
JP7281285B2 (en) * 2019-01-28 2023-05-25 株式会社堀場エステック DENSITY CONTROLLER, ZERO POINT ADJUSTMENT METHOD, AND PROGRAM FOR DENSITY CONTROLLER
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1422781A (en) 1972-03-29 1976-01-28 Ici Ltd Vapourinsing process
US4276243A (en) 1978-12-08 1981-06-30 Western Electric Company, Inc. Vapor delivery control system and method
US4234622A (en) 1979-04-11 1980-11-18 The United States Of American As Represented By The Secretary Of The Army Vacuum deposition method
JPS5694751A (en) 1979-12-28 1981-07-31 Fujitsu Ltd Vapor growth method
JPS5772318A (en) 1980-10-24 1982-05-06 Seiko Epson Corp Vapor growth method
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4726961A (en) 1983-05-23 1988-02-23 Thermco Systems, Inc. Process for low pressure chemical vapor deposition of refractory metal
US4619844A (en) 1985-01-22 1986-10-28 Fairchild Camera Instrument Corp. Method and apparatus for low pressure chemical vapor deposition
US4717596A (en) 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
WO1987007310A1 (en) 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus
US4847469A (en) 1987-07-15 1989-07-11 The Boc Group, Inc. Controlled flow vaporizer
EP0311446A3 (en) 1987-10-08 1990-11-22 Mitsubishi Rayon Co., Ltd. Apparatus for producing compound semiconductor
US4844006A (en) 1988-03-07 1989-07-04 Akzo America Inc. Apparatus to provide a vaporized reactant for chemical-vapor deposition
DE69006809T2 (en) 1989-09-12 1994-09-15 Stec Inc Device for the evaporation and provision of organometallic compounds.
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
NL9002164A (en) 1990-10-05 1992-05-06 Philips Nv METHOD FOR PROVIDING A SUBSTRATE OF A SURFACE LAYER FROM A VAPOR AND AN APPARATUS FOR APPLYING SUCH A METHOD
US5203925A (en) 1991-06-20 1993-04-20 Matsushita Electric Industrial Co., Ltd. Apparatus for producing a thin film of tantalum oxide
DE4124018C1 (en) * 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
EP0548990B1 (en) 1991-12-26 1997-03-12 Canon Kabushiki Kaisha Chemical vapor deposition method for forming a deposited film with the use of a liquid raw material and apparatus suitable for practising said method
JP3222518B2 (en) * 1991-12-26 2001-10-29 キヤノン株式会社 Liquid source vaporizer and thin film forming device
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
JP2703694B2 (en) 1992-05-28 1998-01-26 信越半導体株式会社 Gas supply device
JP2797233B2 (en) 1992-07-01 1998-09-17 富士通株式会社 Thin film growth equipment
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
DE4236324C1 (en) 1992-10-28 1993-09-02 Schott Glaswerke, 55122 Mainz, De
EP0598424A3 (en) 1992-11-16 1996-05-15 Novellus Systems Inc Device for removing dissolved gas from a liquid.
DE69312436T2 (en) 1992-12-15 1998-02-05 Applied Materials Inc Evaporation of liquid reactants for CVD
JP2870719B2 (en) 1993-01-29 1999-03-17 東京エレクトロン株式会社 Processing equipment
US5399379A (en) 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5520969A (en) 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5630878A (en) 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5492724A (en) 1994-02-22 1996-02-20 Osram Sylvania Inc. Method for the controlled delivery of vaporized chemical precursor to an LPCVD reactor
US5399200A (en) 1994-03-10 1995-03-21 Stauffer; Craig M. Module in an integrated delivery system for chemical vapors from liquid sources
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
JP3122311B2 (en) 1994-06-29 2001-01-09 東京エレクトロン株式会社 Apparatus for supplying liquid material to film forming chamber and method of using the same
US5531183A (en) 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US5614247A (en) 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
US5776254A (en) 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5653813A (en) 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
US5605865A (en) 1995-04-03 1997-02-25 Motorola Inc. Method for forming self-aligned silicide in a semiconductor device using vapor phase reaction
EP0759481A1 (en) 1995-06-23 1997-02-26 Novellus Systems, Inc. Method of depositing a stable fluorinated TEOS film
US5652431A (en) 1995-10-06 1997-07-29 The United States Of America As Represented By The Secretary Of The Navy In-situ monitoring and feedback control of metalorganic precursor delivery
JP3601153B2 (en) 1995-12-27 2004-12-15 東京エレクトロン株式会社 Cleaning method for processing gas supply device
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US5710079A (en) 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5868159A (en) 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
EP0832992A1 (en) 1996-09-13 1998-04-01 Novellus Systems, Inc. Cyclone evaporator
US5835678A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
TW565626B (en) 1996-11-20 2003-12-11 Ebara Corp Liquid feed vaporization system and gas injection device
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5904170A (en) 1997-05-14 1999-05-18 Applied Materials, Inc. Pressure flow and concentration control of oxygen/ozone gas mixtures
US5882416A (en) 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5981295A (en) 1997-09-12 1999-11-09 Applied Materials, Inc. Ampule with integral filter
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
US6021582A (en) 1998-03-16 2000-02-08 Novellus Systems, Inc. Temperature control of parylene dimer

Cited By (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060196421A1 (en) * 1998-10-27 2006-09-07 Ronsse Bobby M Apparatus for the deposition of high dielectric constant films
US8496780B2 (en) 1998-10-27 2013-07-30 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US8105440B2 (en) 2001-01-22 2012-01-31 Canon Anelva Corporation Method of cleaning a CVD device
US20040194708A1 (en) * 2001-01-22 2004-10-07 Anelva Corporation Method of cleaning a cvd device
US20080276957A1 (en) * 2001-01-22 2008-11-13 Anelva Corporation Method of cleaning a cvd device
US20040147103A1 (en) * 2001-08-30 2004-07-29 Weimin Li Technique for high efficiency metaloganic chemical vapor deposition
US6576538B2 (en) * 2001-08-30 2003-06-10 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
US7214618B2 (en) 2001-08-30 2007-05-08 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
US6676756B1 (en) 2001-08-30 2004-01-13 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
US20050223978A1 (en) * 2001-08-30 2005-10-13 Weimin Li Technique for high efficiency metalorganic chemical vapor deposition
US6921710B2 (en) 2001-08-30 2005-07-26 Micron Technology, Inc. Technique for high efficiency metalorganic chemical vapor deposition
US6918960B2 (en) 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
WO2004027112A2 (en) * 2002-09-20 2004-04-01 Applied Materials, Inc. An apparatus for the deposition of high dielectric constant films
WO2004027112A3 (en) * 2002-09-20 2005-01-13 Applied Materials Inc An apparatus for the deposition of high dielectric constant films
US6946852B2 (en) 2003-03-14 2005-09-20 Steris Inc. Method and apparatus for measuring concentration of a chemical component in a gas mixture
US20040178803A1 (en) * 2003-03-14 2004-09-16 Steris Inc. Method and apparatus for measuring concentration of a chemical component in a gas mixture
US20050001630A1 (en) * 2003-03-14 2005-01-06 Steris Inc. Method and apparatus for monitoring the state of a chemical solution for decontamination of chemical and biological warfare agents
US6897661B2 (en) 2003-03-14 2005-05-24 Steris Inc. Method and apparatus for detection of contaminants in a fluid
US20050017728A1 (en) * 2003-03-14 2005-01-27 Steris Inc. Method and apparatus for monitoring detergent concentration in a decontamination process
US6927582B2 (en) 2003-03-14 2005-08-09 Steris Inc. Method and apparatus for monitoring the state of a chemical solution for decontamination of chemical and biological warfare agents
US6930493B2 (en) 2003-03-14 2005-08-16 Steris Inc. Method and apparatus for monitoring detergent concentration in a decontamination process
US6933733B2 (en) 2003-03-14 2005-08-23 Steris Inc. Method and apparatus for measuring the concentration of hydrogen peroxide in a fluid
US20040178804A1 (en) * 2003-03-14 2004-09-16 Steris Inc. Method and apparatus for detection of contaminants in a fluid
US20050001634A1 (en) * 2003-03-14 2005-01-06 Steris Inc. Method and apparatus for monitoring the purity and/or quality of steam
US6992494B2 (en) 2003-03-14 2006-01-31 Steris Inc. Method and apparatus for monitoring the purity and/or quality of steam
US6960921B2 (en) 2003-03-14 2005-11-01 Steris Inc. Method and apparatus for real time monitoring of metallic cation concentrations in a solution
WO2005001413A3 (en) * 2003-06-06 2005-04-28 Steris Inc Method and apparatus for formulating and controlling chemical concentrations in a gas mixture
US6909972B2 (en) * 2003-06-06 2005-06-21 Steris Inc. Method and apparatus for formulating and controlling chemical concentrations in a solution
US20040249579A1 (en) * 2003-06-06 2004-12-09 Steris Inc. Method and apparatus for formulating and controlling chemical concentrations in a solution
US20050100475A1 (en) * 2003-06-06 2005-05-12 Steris Inc. Method and apparatus for formulating and controlling chemical concentration in a gas mixture
US6917885B2 (en) * 2003-06-06 2005-07-12 Steris Inc. Method and apparatus for formulating and controlling chemical concentration in a gas mixture
US20050211666A1 (en) * 2004-03-26 2005-09-29 Burgess Stephen R Method of processing a workpiece
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
US7431886B2 (en) 2004-09-24 2008-10-07 Steris Corporation Method of monitoring operational status of sensing devices for determining the concentration of chemical components in a fluid
US7955560B2 (en) 2004-09-24 2011-06-07 Steris Corporation Apparatus for determining the concentration of chemical components in a liquid or gaseous system using multiple sensors
US20080206105A1 (en) * 2004-09-24 2008-08-28 Steris Corporation Apparatus for determining the concentration of chemical components in a liquid or gaseous system using multiple sensors
WO2006060692A3 (en) * 2004-12-01 2006-10-05 Univ California Supported group-4, group-5, and group-6 metal clusters, preparation of the material and use of the material as a catalyst
US20060160694A1 (en) * 2004-12-01 2006-07-20 The Regents Of The University Of California Office Of Technology Transfer Supported group-4, group-5, and group-6 metal clusters, preparation of the material and use of the material as a catalyst
WO2006060692A2 (en) * 2004-12-01 2006-06-08 The Regents Of The University Of California Supported group-4, group-5, and group-6 metal clusters, preparation of the material and use of the material as a catalyst
US20060182886A1 (en) * 2005-02-15 2006-08-17 Guidotti Emmanuel P Method and system for improved delivery of a precursor vapor to a processing zone
US7155319B2 (en) * 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
US20060190099A1 (en) * 2005-02-23 2006-08-24 Nangoy Roy C Closed loop control on liquid delivery system ECP slim cell
US20070096264A1 (en) * 2005-10-31 2007-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene structure with high moisture-resistant oxide and method for making the same
US7414315B2 (en) * 2005-10-31 2008-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene structure with high moisture-resistant oxide and method for making the same
WO2007057894A3 (en) * 2005-11-17 2009-04-09 Amnon Regev Multiple heliostats concentrator
US20080314437A1 (en) * 2005-11-17 2008-12-25 Amnon Regev Multiple Heliostats Concentrator
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus
US20070215048A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle contamination in a deposition system
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20080044257A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
WO2008020955A2 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US7655933B2 (en) 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080042078A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
WO2008020955A3 (en) * 2006-08-15 2008-06-19 Varian Semiconductor Equipment Techniques for temperature-controlled ion implantation
US8450193B2 (en) 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20090298267A1 (en) * 2007-03-16 2009-12-03 Fujitsu Microelectronics Limited Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US20100062158A1 (en) * 2007-03-28 2010-03-11 Tokyo Electron Limited Gas supply method and gas supply device
US20080236481A1 (en) * 2007-03-29 2008-10-02 Intevac Corporation Method of and apparatus for monitoring mass flow rate of lubricant vapor forming lubricant coatings of magnetic disks
US20090047417A1 (en) * 2007-03-30 2009-02-19 Barnes Michael S Method and system for vapor phase application of lubricant in disk media manufacturing process
US8612038B2 (en) * 2007-12-26 2013-12-17 Tokyo Electron Limited Target object processing system and method of controlling the same
US20100292809A1 (en) * 2007-12-26 2010-11-18 Tokyo Electron Limited Target object processing system and method of controlling the same
US8398771B2 (en) * 2008-07-29 2013-03-19 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US20100024728A1 (en) * 2008-07-29 2010-02-04 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US20150101535A1 (en) * 2013-10-10 2015-04-16 Samsung Display Co., Ltd. Vapor deposition apparatus
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US20160308171A1 (en) * 2015-04-15 2016-10-20 Samsung Display Co., Ltd. Deposition source for organic light-emitting display apparatus
US20160362785A1 (en) * 2015-06-15 2016-12-15 Samsung Electronics Co., Ltd. Apparatus for manufacturing semiconductor device having a gas mixer
US11340636B2 (en) * 2017-11-29 2022-05-24 Fujikin Incorporated Abnormality diagnosis method of fluid supply line
US20190221458A1 (en) * 2018-01-15 2019-07-18 Applied Materials, Inc. Advanced temperature monitoring system and methods for semiconductor manufacture productivity
US20200144037A1 (en) * 2018-11-05 2020-05-07 Kokusai Electric Corporation Substrate processing apparatus
US10984991B2 (en) * 2018-11-05 2021-04-20 Kokusai Electric Corporation Substrate processing apparatus
WO2020260768A1 (en) * 2019-06-28 2020-12-30 Beneq Oy Precursor source arrangement and atomic layer deposition apparatus

Also Published As

Publication number Publication date
US6296711B1 (en) 2001-10-02

Similar Documents

Publication Publication Date Title
US6296711B1 (en) Film processing system
US6663716B2 (en) Film processing system
US6136725A (en) Method for chemical vapor deposition of a material on a substrate
US10490413B2 (en) Selective growth of silicon nitride
US10242879B2 (en) Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10199212B2 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
TWI605522B (en) Method for depositing tungsten film with low roughness and low resistivity
US5993916A (en) Method for substrate processing with improved throughput and yield
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US20120164832A1 (en) Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20220328317A1 (en) Molybdenum deposition
US11404275B2 (en) Selective deposition using hydrolysis
KR102443978B1 (en) Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
WO2001029282A2 (en) Fluid processing system
KR101217980B1 (en) Method for depositing tungsten layers using sequential flow deposition
US20230290639A1 (en) Low resistance gate oxide metallization liner
TW202407778A (en) Metal silicide contact formation
JP2024514605A (en) Molybdenum Deposition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION