US20020023253A1 - Zero hold time circuit for high speed bus applications - Google Patents

Zero hold time circuit for high speed bus applications Download PDF

Info

Publication number
US20020023253A1
US20020023253A1 US09/164,218 US16421898A US2002023253A1 US 20020023253 A1 US20020023253 A1 US 20020023253A1 US 16421898 A US16421898 A US 16421898A US 2002023253 A1 US2002023253 A1 US 2002023253A1
Authority
US
United States
Prior art keywords
delay
data
circuit
input
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/164,218
Other versions
US6397374B1 (en
Inventor
Ronald Pasqualini
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National Semiconductor Corp
Original Assignee
National Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National Semiconductor Corp filed Critical National Semiconductor Corp
Priority to US09/164,218 priority Critical patent/US6397374B1/en
Assigned to NATIONAL SEMICONDUCTOR CORPORATION reassignment NATIONAL SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PASQUALINI, RONALD
Publication of US20020023253A1 publication Critical patent/US20020023253A1/en
Application granted granted Critical
Publication of US6397374B1 publication Critical patent/US6397374B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/133Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals using a chain of active delay devices

Definitions

  • the present invention relates to CMOS input cells and, more specifically, to the implementation of a zero hold time CMOS input cell which utilizes a programmable delay line.
  • This input cell is suitable for use in many high speed data bus applications.
  • An edge triggered D flip-flop (or D flop) is a well known device which captures the logic state of a data input signal on the rising (or falling) edge of a clock input signal.
  • ICs integrated circuits
  • D flops capture input data from an external bus.
  • FIG. 1 shows a simplified circuit diagram which illustrates a portion of a conventional integrated circuit 100 .
  • circuit 100 includes a D flop 110 which is located in the core of circuit 100 , and an input data cell 112 .
  • Input data cell 112 includes an input data pin 116 , which receives a data input signal DATA from an external data bus 118 .
  • Input data pin 116 supplies the DATA signal to a CMOS/TTL compatible data input buffer 114 , whose output directly drives the D input of flop 110 .
  • circuit 100 also includes an input clock cell 120 .
  • Input clock cell 120 includes a clock input pin 124 , which receives a clock input signal CLK from an external source.
  • Clock input pin 124 supplies the CLK signal to a CMOS/TTL compatible clock input buffer 122 , whose output directly drives the CLK input of flop 110 .
  • the DATA signal from external data bus 118 must be captured (i.e. latched) by flop 110 .
  • the specified minimum setup and hold times for flop 110 must be met. In general, these minimum setup and hold times can be positive, negative or zero. Because setup and hold times are signed numbers, they are, by convention, interpreted as follows. For a rising edge triggered flop, a positive setup time indicates that the data on the flop D pin must change state before the clock rises on the flop CLK pin. Conversely, a negative flop setup time allows the data on the flop D pin to change state after the clock rises on the flop CLK pin.
  • a positive hold time indicates that the data on the flop D pin must change state after the clock rises on the flop CLK pin.
  • a negative flop hold time allows the data on the flop D pin to change state before the clock rises on the flop CLK pin.
  • flop 110 will capture the correct data if it is presented with a setup time of +1 ns, +2 ns or +3 ns. Flop 110 will not capture the correct data, however, if it is presented with a setup time of ⁇ 1 ns, 0 ns or +0.5 ns.
  • flop 110 will capture the correct data if it is presented with a hold time of ⁇ 0.5 ns, 0 ns or +1 ns. Flop 110 will not capture the correct data, however, if it is presented with a hold time of ⁇ 3 ns, ⁇ 2 ns or ⁇ 1 ns.
  • the signal path to the D input of flop 110 goes through data input buffer 114 , which has a relatively low fanout (only one in this PCI bus example). However, the signal path to the CLK input of flop 110 goes through clock input buffer 122 , which has a relatively high fanout (49 in this PCI example). Due to this difference in fanout, the load capacitance on data input buffer 114 will be far less than the load capacitance on clock input buffer 122 . This difference in load capacitance implies that the delay through data input buffer 114 will be far less than the delay through clock input buffer 122 . (Note: In most high speed bus applications it is not possible to speed up the clock input buffer to the point where its delay is less than or equal to the delay through the data input buffer).
  • the delay from data input pin 116 to the D input of flop 110 will usually be less than the delay from clock input pin 124 to the CLK input of flop 110 .
  • the hold time imposed on flop 110 can be highly negative, causing a hold time violation. This hold time violation can cause the wrong bus data to be captured, resulting in a system malfunction.
  • FIG. 2A shows a timing diagram which illustrates the hold time violation described in the preceding paragraph.
  • flop 110 in FIG. 1 has a specified minimum hold time of ⁇ 0.5 ns.
  • the delay through data input buffer 114 in FIG. 1 is 1 ns, and that the delay through clock buffer 122 in FIG. 1 is 3 ns.
  • the hold time presented to flop 110 is equal to ⁇ 2 ns. Since the minimum hold time for flop 110 is ⁇ 0.5 ns, flop 110 has a hold violation of 1.5 ns (absolute value). Thus flop 110 will not capture the correct data ‘D 1 ’; it will instead capture the wrong data ‘D 2 ’.
  • circuit 300 is similar to circuit 100 shown in FIG. 1, and, as a result, uses the same reference numerals to designate structures which are common to both circuits.
  • the circuit shown in FIG. 3 illustrates a portion of a conventional integrated circuit 300 .
  • the hold time violation for flop 110 can be corrected by adding a delay circuit 310 to input data cell 112 .
  • the hold time violation for flop 110 will disappear (i.e. the imposed hold time will increase from ⁇ 2 ns to ⁇ 0.5 ns).
  • t IB represents the propagation delay through data input buffer 114
  • t 310 represents the minimum required propagation delay through delay circuit 310
  • t CLK represents the propagation delay through clock input buffer 122
  • t HOLD represents the minimum hold time required by flop 110 .
  • EQ. 1 can be solved for t 310 , the minimum required propagation delay through delay circuit 310 , as follows:
  • the added data delay t 310 must be greater than or equal to the clock buffer delay t CLK minus the data input buffer delay t IB .
  • conventional integrated circuits can include wide synchronous data busses 118 containing up to 128 data bits. These wide data buses must drive many input data buffers 114 which, in turn, must drive many input data flops 110 . Since the input data flops are usually located in the core, different input data flops can be located at different wire distances from their associated input data buffers. These varying wire distances can cause differences in the load capacitances presented to each input data buffer, resulting in data delay differences to each input data flop. These data delay differences, in turn, can cause some input data flops to have inadequate hold time. In order to prevent this from happening, a data delay and a data latch can be employed, as shown in FIG. 4.
  • FIG. 4 illustrates a portion of a conventional integrated circuit 400 .
  • Circuit 400 is similar to circuit 300 and, as a result, utilizes the same reference numerals to designate the structures which are common to both circuits.
  • circuit 400 differs from circuit 300 in that input data cell 112 of circuit 400 includes a delay latch 410 which is connected between delay circuit 310 and flop 110 .
  • Delay latch 410 differs from flop 110 in that it is level triggered rather than edge triggered.
  • latch 410 passes the logic state on its data input pin D to its data output pin Q, while the clock is low.
  • latch 410 holds (i.e. latches) the logic state on its data input pin D at its data output pin Q, when the clock goes high.
  • latch 410 During normal operation, latch 410 simply retains the ‘old’ data, which was valid before the rising clock edge, before it is destroyed by the ‘new’ data, which is valid after the rising clock edge. Thus the data output Q of latch 410 is retained for an entire clock cycle.
  • the main advantage of including delay latch 410 inside input data cell 112 is that it allows the required data delay 310 to be minimized. This increases the maximum allowable operating frequency.
  • delay circuit 310 only needs to compensate for the difference in clock/data delay through clock buffer 122 and input buffer 114 .
  • Delay circuit 310 does not have to compensate for data delay differences caused by varying wire lengths to different data flops 110 located in the core.
  • delay circuit 310 in most applications the amount of delay provided by delay circuit 310 can be made the same for all data input cells 112 . However, in very high speed bus applications (where the relative clock/data arrival times significantly vary from one data input cell 112 to the next), delay 310 may have to be adjusted on a cell-by-cell basis.
  • Circuits 100 , 300 , and 400 assume that the on-chip clock is being generated by a clock input buffer which is directly driven from the bus clock pin. However, for large complex chips, this ‘flat’ clock buffer approach is often impractical. Thus on-chip clocks are often generated by multi-stage, hierarchical clock trees. A simple example of a multi-stage, hierarchical clock tree 600 is shown in FIG. 5.
  • Hierarchical clock trees usually produce smaller clock skew—ideally zero, allowing a higher maximum operating frequency. However, although the hierarchical clock tree approach minimizes clock skew, it accomplishes this at the expense of increased clock latency (clock delay). As shown in FIG. 5, this additional latency occurs because the clock signal must pass through additional logic levels before it finally reaches the clock input of an internal data flop.
  • FIG. 6 illustrates a conventional delay stage 700 which can be used to implement delay circuit 310 .
  • Delay stage 700 delays the data signal, as required, by utilizing RC (resistor/capacitor) values which slow down the rise/fall times of the data signal.
  • RC resistor/capacitor
  • delay circuit 700 slows down the rise/fall times of the data signal, at least one non-inverting logic buffer is often required in order to ‘square up’ (i.e. speed up) the slow edge rates.
  • a non-inverting delay circuit is implemented by utilizing an RC delay stage followed by buffer inverters 710 and 720 .
  • FIG. 7 shows a circuit diagram which illustrates another conventional delay stage 800 which can be utilized to implement delay circuit 310 .
  • delay stage 800 utilizes a high impedance CMOS inverter stage 810 and a load capacitance C which is connected to stage 810 .
  • Delay stage 800 is somewhat superior to delay stage 700 because the CMOS inverter stage 810 effectively creates timing resistors which are more closely correlated to the process/voltage/temperature (PVT) variations which can occur. Nevertheless, when a moderate to large data delay is required, the rise/fall times produced by CMOS inverter stage 810 will also be slow. As a result, one or more buffers are needed in order to square up these slow edge rates. Thus, as shown in FIG. 7, a single non-inverting delay circuit has been implemented by employing inverters 810 and 811 .
  • the single RC delay stage employed in the prior art produces slow edge rates in order to provide the data delay which is required. Even though these slow edge rates are eventually squared up, the single RC stage nevertheless imposes a serious limitation on the amount of data delay which can be obtained. This limitation is discussed in the following paragraphs.
  • the data delay implementations discussed above suffer from the same critical limitation: the maximum delay which can be obtained is limited to only a small fraction of the minimum data period. (The minimum data period, or maximum data frequency, occurs when the data changes state as often as possible on the data bus).
  • the reason for the above timing limitation is that, at the highest data rate, the RC voltage waveform must have sufficient time to rise from 0V to a value close to VCC. Similarly, at the highest data rate, the RC voltage waveform must also have sufficient time to fall from VCC to a value close to 0V. If these two conditions are not met, the data delay will vary with the data rate. This delay variation will cause the provided setup and hold times to vary, resulting in circuit timing failures which are dependent upon the data rate.
  • FIG. 8 shows two data waveforms, waveform 910 and waveform 920 .
  • Waveform 910 is a delayed data waveform produced by an RC delay circuit similar to those discussed in the preceding paragraphs.
  • Waveform 920 is a delayed (‘squared up’) version of waveform 910 . As shown in FIG. 8, the delayed waveform 920 is retarded in time by an amount equal to t d , where t d is the required data delay time.
  • Waveform 910 and waveform 920 both have the same data period. Thus, in most timing-critical applications, it is sufficient to assume that this data period contains 6 RC time constants: 3 for the rising portion of waveform 910 , and 3 for the falling portion of waveform 910 .
  • the voltage V for the rising portion of the RC waveform 910 is defined by EQ. 1:
  • V VCC (1 ⁇ e ⁇ t/ ⁇ ) EQ. 1
  • VCC represents the power supply voltage
  • t represents time
  • represents the RC time constant
  • EQ. 2 The delay time required for RC waveform 910 to go from zero volts to VCC/2 volts (or from VCC/2 volts to zero volts), is defined by EQ. 2:
  • VCC/ 2 VCC (1 ⁇ e ⁇ td/ ⁇ ) EQ. 2
  • t d represents the delay time
  • T MIN which represents the minimum data period
  • the minimum data delay required to guarantee correct circuit operation is usually determined under fast PVT (process/voltage/temperature) conditions.
  • PVT process/voltage/temperature
  • this additional (unneeded) data delay will be increased by approximately two to three times at slow PVT.
  • This 2 ⁇ -3 ⁇ increase in data delay can make it extremely difficult to provide adequate setup time under slow PVT conditions.
  • RC data delay circuits are conventionally employed. These RC data delay circuits are used to delay the incoming data signals received from an external data bus.
  • the maximum data delay that can be obtained from a conventional RC data delay circuit is severely limited. This limitation exists because the delayed data signal must be allowed to rise to a voltage level close to VCC, and must be allowed to fall to a voltage level close to ground. These ‘complete’ voltage excursions between VCC and ground are required under all conditions, even when the bus data changes state at the highest possible frequency. Failure to make ‘complete’ voltage excursions between VCC and ground will result in data delays which vary with the data rate, causing hold time violations to occur.
  • the present invention solves the aforementioned problem by utilizing a series of data delay stages which provide the equivalent of a programmable data delay line.
  • This programmable delay line provides the total data delay required to operate an IC under a zero hold time constraint at its clock/data pins.
  • each stage in the programmable delay line provides only a fraction of the total data delay required, the rise/fall time of each delay stage can be very fast. This allows the output voltage waveform of each delay stage to make ‘complete’ excursions between ground and VCC, assuring a data delay which is independent of the data rate. Thus, by choosing the appropriate number of delay stages to be employed, any amount of data delay can be obtained at any data rate.
  • An input data cell in accordance with the present invention, contains a data pad and a data input buffer which is connected to the data pad.
  • the data input buffer drives a delay circuit which, in turn, drives an optional delay latch.
  • the delay latch drives logic flip-flops located in the IC core.
  • the delay circuit contains a plurality of delay stages which have a corresponding plurality of outputs.
  • the delay provided by the delay circuit is “programmed” by selecting one of the delay circuit outputs and connecting it to the D input of an optional delay latch.
  • FIG. 1 is a circuit diagram illustrating a portion of a conventional integrated circuit 100 .
  • FIGS. 2A and 2B are timing diagrams illustrating the hold time problem with respect to FIG. 1.
  • FIG. 3 is a circuit diagram illustrating a portion of a conventional integrated circuit 300 .
  • FIG. 4 is a circuit diagram illustrating a portion of an integrated circuit 400 .
  • FIG. 5 is a schematic diagram illustrating a multi-stage, hierarchical clock tree 600 .
  • FIG. 6 is a circuit diagram illustrating a conventional RC delay stage 700 that can be used to implement delay circuit 310 .
  • FIG. 7 is a circuit diagram illustrating another conventional delay stage 800 that can be used to implement delay circuit 310 .
  • FIG. 8 is a waveform diagram illustrating an RC voltage waveform 910 , and a squared up voltage waveform 920 , that is a delayed version of waveform 910 .
  • FIG. 9 is a circuit diagram illustrating a portion of an integrated circuit 1100 in accordance with the present invention.
  • FIGS. 10A and 10B are circuit diagrams illustrating a delay stage DS in accordance with the present invention.
  • FIG. 11 is a top level symbol (view) illustrating an I/O cell 1300 in accordance with the present invention.
  • FIG. 12 is a graph illustrating an example of the rising and falling data delay which can be obtained from a ‘typical’ data delay circuit utilizing the present invention.
  • FIG. 9 shows a circuit diagram which illustrates a portion of an integrated circuit 1100 in accordance with the present invention.
  • the present invention provides an increased data delay by employing a series of delay stages, each of which provides a small data delay with fast rise and fall times, over all PVT conditions.
  • circuit 1100 includes a zero hold time input data cell 1110 which contains a data pin 1112 that is connected to receive a data signal DATA from an external data bus.
  • Data pin 1112 drives the input terminal of an input data buffer 1114 .
  • the output terminal of data buffer 1114 drives the input terminal, A, of a programmable delay circuit 1116 . If optional delay latch 1118 is present, one of the outputs from delay circuit 1116 will drive the D input terminal of optional delay latch 1118 . If optional delay latch 1118 is not present, one of the outputs from programmable delay circuit 1116 will directly drive the D input of a flop located in the core, such as flop 1130 .
  • circuit 1100 also includes a clock cell 1120 which contains a clock pin 1122 that is connected to receive an external clock signal CLK.
  • Clock pin 1122 drives the input terminal of clock circuit 1124 .
  • the output terminal from clock circuit 1124 drives the control input of optional data latch 1118 (if this component is present), the CLK pin of data flop 1130 (located in the core), and the CLK inputs of other data flops located in the core.
  • Clock circuit 1124 may be implemented as a single clock buffer, such as buffer 122 shown FIG. 4, or as a hierarchical clock tree, such as clock tree 600 shown in FIG. 5.
  • programmable delay circuit 1116 in FIG. 9 contains an input A, and a series of serially-connected delay stages DS 1 -DSn. Delay stages DS 1 -DSn, in turn, output a corresponding series of delayed signals DA 1 -DAn, which change logic states at different times after the logic state of input signal A changes state.
  • FIG. 10A is a circuit diagram of a delay stage DS in accordance with the present invention.
  • stage DS which is non-inverting, includes a first inverter 1210 , a small load capacitance, and a second inverter 1230 .
  • the small load capacitance can be implemented by employing a p-channel transistor 1220 as shown in FIG. 10A, or by employing an n-channel transistor 1221 as shown in FIG. 10B.
  • each delay stage DS generates the same small amount of data delay.
  • the cascaded delay stages DS 1 -DSn in FIG. 9 comprise a uniform data delay line which has output taps DA 1 -DAn.
  • the required amount of data delay can be obtained by simply making a connection to the appropriate delay line tap (output) DA 1 -DAn.
  • connection can be made directly to the delay line input A, completely bypassing all stages of the delay line.
  • optional delay latch 1118 is connected to the last delay stage DSn via output Dan.
  • optional delay latch 1118 could be alternately connected to receive the input signal A, or any of the delayed output signals DA 1 -DA 4 (as shown by the dashed lines in FIG. 9).
  • FIG. 11 shows a top level view (symbol) which illustrates an I/O cell 1300 in accordance with the present invention. It is assumed, in FIG. 11, that optional data latch 1118 , shown inside input data cell 1110 in FIG. 9, has been included inside I/O cell 1300 . Thus the LD pin on I/O cell 1300 corresponds to the D pin of data latch 1118 in FIG. 9. Similarly, the DA 1 -DAn pins on I/O cell 1300 correspond to the delay line output pins, DA 1 -DAn, on delay circuit 1116 in FIG. 9. Likewise, pin A on I/O cell 1300 corresponds to the delay line input pin A on delay circuit 1116 in FIG. 9.
  • the desired amount of data delay can be programmed by simply changing how connections are made to the pins LD, A, and DA 1 -DAn. These pins are located on the top (core) side of I/O cell 1300 . Since all of these pins are located on the same metal layer, delay values can be changed quickly and inexpensively, requiring a change to only one mask.
  • delay programming is accomplished by simply connecting one delay output pin, DA, from the plurality of delay output pins, DA 1 -DAn, to the LD input pin on I/O cell 1300 .
  • pin A on I/O cell 1300 would be connected to input pin LD on I/O cell 1300 .
  • an important advantage of the present invention is that the output rise/fall times of each data delay stage DS can be made extremely fast, even under slow PVT conditions. Thus moderate to large data delays can be easily generated, while still maintaining fast edge rates in all data delay stages. As a result, the data delay limitation associated with the prior art is completely eliminated.
  • the fast rise/fall times from delay circuit 1116 in FIG. 9 offer an additional advantage: they tend to speed up the signal path from data input pad 1112 to the D input pin of data flop 1130 . This, in turn, allows higher speed data transfers.
  • delay circuit 1116 provides delay times which closely track PVT variations. Thus, under fast PVT conditions, the added delay will be reduced, which is exactly what is needed. Conversely, under slow PVT conditions, the added delay will be increased, which is exactly what is needed. In all cases the edge rates will remain sharp and crisp.
  • FIG. 12 shows a graph illustrating the rising/falling data delay which can be obtained from a ‘typical’ data delay circuit utilizing the present invention. As shown in FIG. 12, the rising/falling data delay is plotted as a function of the number of data delay stages used. The curves in FIG. 12 assume that input A to delay circuit 1116 in FIG. 9 is being driven from a fast data bus and a fast data input buffer, whose rise/fall times are only 0.1 ns.
  • the minimum data delay for the entire delay circuit was set at approximately 4 ns at fast PVT.
  • the maximum data delay for the entire delay circuit becomes approximately 9 ns at slow PVT.
  • This 2.3 to 1 delay variation is exactly what is required in order to guarantee zero hold time under all PVT conditions.
  • the number of delay stages, and the delay per stage can be easily varied to suit a wide variety of data bus timing constraints.

Abstract

A zero hold time data input cell is realized by employing a programmable data delay line containing a series of delay stages. Since each delay stage contributes only a fraction of the total data delay required, the rise/fall times of each data delay stage can be very fast, under all PVT (process/voltage/temperature) conditions. As a result, any amount of data delay can be provided at any data rate, while still allowing the delayed data waveform to make complete voltage excursions between the ground voltage and the power supply voltage. This capability prevents data dependent hold violations from occurring.

Description

    1.0 FIELD OF THE INVENTION
  • The present invention relates to CMOS input cells and, more specifically, to the implementation of a zero hold time CMOS input cell which utilizes a programmable delay line. This input cell is suitable for use in many high speed data bus applications. [0001]
  • 2.0 DESCRIPTION OF THE RELATED ART
  • An edge triggered D flip-flop (or D flop) is a well known device which captures the logic state of a data input signal on the rising (or falling) edge of a clock input signal. In practice, integrated circuits (ICs) commonly use D flops to capture input data from an external bus. [0002]
  • FIG. 1 shows a simplified circuit diagram which illustrates a portion of a conventional [0003] integrated circuit 100. As shown in FIG. 1, circuit 100 includes a D flop 110 which is located in the core of circuit 100, and an input data cell 112. Input data cell 112 includes an input data pin 116, which receives a data input signal DATA from an external data bus 118. Input data pin 116, in turn, supplies the DATA signal to a CMOS/TTL compatible data input buffer 114, whose output directly drives the D input of flop 110.
  • Similarly, [0004] circuit 100 also includes an input clock cell 120. Input clock cell 120 includes a clock input pin 124, which receives a clock input signal CLK from an external source. Clock input pin 124, in turn, supplies the CLK signal to a CMOS/TTL compatible clock input buffer 122, whose output directly drives the CLK input of flop 110.
  • As shown in FIG. 1, the DATA signal from [0005] external data bus 118 must be captured (i.e. latched) by flop 110. In order for this to occur, the specified minimum setup and hold times for flop 110 must be met. In general, these minimum setup and hold times can be positive, negative or zero. Because setup and hold times are signed numbers, they are, by convention, interpreted as follows. For a rising edge triggered flop, a positive setup time indicates that the data on the flop D pin must change state before the clock rises on the flop CLK pin. Conversely, a negative flop setup time allows the data on the flop D pin to change state after the clock rises on the flop CLK pin.
  • Similarly, for a rising edge triggered flop, a positive hold time indicates that the data on the flop D pin must change state after the clock rises on the flop CLK pin. Conversely, a negative flop hold time allows the data on the flop D pin to change state before the clock rises on the flop CLK pin. [0006]
  • For example, if the specified minimum setup time for [0007] flop 110 is +1 ns, flop 110 will capture the correct data if it is presented with a setup time of +1 ns, +2 ns or +3 ns. Flop 110 will not capture the correct data, however, if it is presented with a setup time of −1 ns, 0 ns or +0.5 ns.
  • Similarly, if the specified minimum hold time for [0008] flop 110 is −0.5 ns, flop 110 will capture the correct data if it is presented with a hold time of −0.5 ns, 0 ns or +1 ns. Flop 110 will not capture the correct data, however, if it is presented with a hold time of −3 ns, −2 ns or −1 ns.
  • From the foregoing examples, it can be seen that the specified minimum setup and hold times for [0009] flop 110 will be met if the following statement is true: the setup and hold times presented to flop 110 must be arithmetically greater than or equal to its specified minimum setup and hold times.
  • 2.1 Zero Hold Time Bus Conditions [0010]
  • One of the problems associated with capturing data from a high speed synchronous data bus, such as the PCI bus, is that data can change state at exactly the same time that the clock rises (assuming a rising edge clock reference). Thus ICs which are connected to high speed synchronous data buses are often required to operate with zero hold time at their data bus input pins, relative to their clock input pin. [0011]
  • Referring to FIG. 1, in order to determine whether or not the minimum setup and hold requirements of [0012] flop 110 are being met, the following parameters must be examined: the relative timing of the input signals DATA and CLK, and the delays imposed by data input buffer 114 and clock input buffer 122. These parameters will be examined in the following paragraphs.
  • As shown in FIG. 1, the signal path to the D input of [0013] flop 110 goes through data input buffer 114, which has a relatively low fanout (only one in this PCI bus example). However, the signal path to the CLK input of flop 110 goes through clock input buffer 122, which has a relatively high fanout (49 in this PCI example). Due to this difference in fanout, the load capacitance on data input buffer 114 will be far less than the load capacitance on clock input buffer 122. This difference in load capacitance implies that the delay through data input buffer 114 will be far less than the delay through clock input buffer 122. (Note: In most high speed bus applications it is not possible to speed up the clock input buffer to the point where its delay is less than or equal to the delay through the data input buffer).
  • From the above discussion it can be seen that the delay from [0014] data input pin 116 to the D input of flop 110 will usually be less than the delay from clock input pin 124 to the CLK input of flop 110. Hence, when the clock and data signals have a zero hold time relationship at the chip input pins (i.e. on the bus), the hold time imposed on flop 110 can be highly negative, causing a hold time violation. This hold time violation can cause the wrong bus data to be captured, resulting in a system malfunction.
  • FIG. 2A shows a timing diagram which illustrates the hold time violation described in the preceding paragraph. In this example it is assumed that [0015] flop 110 in FIG. 1 has a specified minimum hold time of −0.5 ns. In accordance with the foregoing discussion, it is also assumed that the delay through data input buffer 114 in FIG. 1 is 1 ns, and that the delay through clock buffer 122 in FIG. 1 is 3 ns.
  • As shown by waveforms A and B in FIG. 2A, the input signals CLK and DATA both change state at exactly the same time (0 ns). Thus the correct data which must be captured by [0016] flop 110 in FIG. 1 is designated as ‘D1’ in FIG. 2A. However, because the delay through clock buffer 122 in FIG. 1 is 3 ns, the CLK pin of flop 110 will not go high until 3 ns, as shown by waveform C in FIG. 2A. Similarly, because the delay through data buffer 114 in FIG. 1 is only 1 ns, the D pin of flop 110 will change state at ins, as shown by waveform D in FIG. 2A. Thus, when comparing waveforms C and D in FIG. 2A, it can be seen that the hold time presented to flop 110 is equal to −2 ns. Since the minimum hold time for flop 110 is −0.5 ns, flop 110 has a hold violation of 1.5 ns (absolute value). Thus flop 110 will not capture the correct data ‘D1’; it will instead capture the wrong data ‘D2’.
  • The above hold time violation can be corrected by modifying the circuit shown in FIG. 1. Referring to FIG. 3, [0017] circuit 300 is similar to circuit 100 shown in FIG. 1, and, as a result, uses the same reference numerals to designate structures which are common to both circuits.
  • The circuit shown in FIG. 3 illustrates a portion of a conventional [0018] integrated circuit 300. As shown in FIG. 3, the hold time violation for flop 110 can be corrected by adding a delay circuit 310 to input data cell 112. Thus, as shown by the waveforms in FIG. 2B, if the added delay is equal to at least 1.5 ns, the hold time violation for flop 110 will disappear (i.e. the imposed hold time will increase from −2 ns to −0.5 ns).
  • Referring to FIG. 3, if the added [0019] delay 310 is too short, the zero hold time requirement will not be met. Conversely, if the added delay is too long, the flop hold time will be more than sufficient, but the flop setup time may be decreased to the point where a setup violation occurs. (This assumes that the clock period, tCLK, and the maximum logic chain delay, tLOGIC, do not change—i.e. tCLK=tSETUP+tHOLD+tLOGIC, thus tSETUP=tCLK−tLOGIC−tHOLD).
  • Referring to FIG. 3, if [0020] data input pin 116 and clock input pin 124 have a zero hold time relationship, the minimum delay required to prevent a hold time violation at flop 110 must satisfy EQ. 1:
  • t IB +t 310 −t CLK ≧t HOLD  EQ. 1
  • where t[0021] IB represents the propagation delay through data input buffer 114, t310 represents the minimum required propagation delay through delay circuit 310, tCLK represents the propagation delay through clock input buffer 122, and tHOLD represents the minimum hold time required by flop 110.
  • EQ. 1 can be solved for t[0022] 310, the minimum required propagation delay through delay circuit 310, as follows:
  • t 310 ≧t HOLD +t CLK −t IB  EQ. 2
  • As shown in EQ. 2, for the special case where the required flop hold time t[0023] HOLD is equal to zero, the added data delay t310 must be greater than or equal to the clock buffer delay tCLK minus the data input buffer delay tIB.
  • Referring to FIG. 1, conventional integrated circuits, such as [0024] circuit 100, can include wide synchronous data busses 118 containing up to 128 data bits. These wide data buses must drive many input data buffers 114 which, in turn, must drive many input data flops 110. Since the input data flops are usually located in the core, different input data flops can be located at different wire distances from their associated input data buffers. These varying wire distances can cause differences in the load capacitances presented to each input data buffer, resulting in data delay differences to each input data flop. These data delay differences, in turn, can cause some input data flops to have inadequate hold time. In order to prevent this from happening, a data delay and a data latch can be employed, as shown in FIG. 4.
  • FIG. 4 illustrates a portion of a conventional [0025] integrated circuit 400. Circuit 400 is similar to circuit 300 and, as a result, utilizes the same reference numerals to designate the structures which are common to both circuits.
  • As shown in FIG. 4, [0026] circuit 400 differs from circuit 300 in that input data cell 112 of circuit 400 includes a delay latch 410 which is connected between delay circuit 310 and flop 110. Delay latch 410 differs from flop 110 in that it is level triggered rather than edge triggered. Thus latch 410 passes the logic state on its data input pin D to its data output pin Q, while the clock is low. Conversely, latch 410 holds (i.e. latches) the logic state on its data input pin D at its data output pin Q, when the clock goes high.
  • During normal operation, latch [0027] 410 simply retains the ‘old’ data, which was valid before the rising clock edge, before it is destroyed by the ‘new’ data, which is valid after the rising clock edge. Thus the data output Q of latch 410 is retained for an entire clock cycle.
  • Referring to FIG. 4, the main advantage of including [0028] delay latch 410 inside input data cell 112 is that it allows the required data delay 310 to be minimized. This increases the maximum allowable operating frequency. Thus, when CLK and DATA have a zero hold time relationship at the IC clock/data pins, and delay latch 410 is included inside input data cell 112, delay circuit 310 only needs to compensate for the difference in clock/data delay through clock buffer 122 and input buffer 114. Delay circuit 310 does not have to compensate for data delay differences caused by varying wire lengths to different data flops 110 located in the core.
  • Referring to FIG. 4, in most applications the amount of delay provided by [0029] delay circuit 310 can be made the same for all data input cells 112. However, in very high speed bus applications (where the relative clock/data arrival times significantly vary from one data input cell 112 to the next), delay 310 may have to be adjusted on a cell-by-cell basis.
  • 2.2 Factors Affecting the Amount of Data Delay Required [0030]
  • [0031] Circuits 100, 300, and 400 assume that the on-chip clock is being generated by a clock input buffer which is directly driven from the bus clock pin. However, for large complex chips, this ‘flat’ clock buffer approach is often impractical. Thus on-chip clocks are often generated by multi-stage, hierarchical clock trees. A simple example of a multi-stage, hierarchical clock tree 600 is shown in FIG. 5.
  • Hierarchical clock trees usually produce smaller clock skew—ideally zero, allowing a higher maximum operating frequency. However, although the hierarchical clock tree approach minimizes clock skew, it accomplishes this at the expense of increased clock latency (clock delay). As shown in FIG. 5, this additional latency occurs because the clock signal must pass through additional logic levels before it finally reaches the clock input of an internal data flop. [0032]
  • Since the hierarchical clock tree approach increases clock delay, it also requires a corresponding increase in data delay, so that the zero hold time constraint present at the IC clock/data pins can be met. As explained below, a major problem with prior art delay circuits is that they often cannot provide this extra data delay without introducing data errors. [0033]
  • 2.3 Description of Prior Art Delay Circuits [0034]
  • FIG. 6 illustrates a [0035] conventional delay stage 700 which can be used to implement delay circuit 310. Delay stage 700 delays the data signal, as required, by utilizing RC (resistor/capacitor) values which slow down the rise/fall times of the data signal. Thus, when a moderate to large data delay is needed, the rise/fall times produced by the RC stage will be slow.
  • Since [0036] delay circuit 700 slows down the rise/fall times of the data signal, at least one non-inverting logic buffer is often required in order to ‘square up’ (i.e. speed up) the slow edge rates. As shown in FIG. 6, a non-inverting delay circuit is implemented by utilizing an RC delay stage followed by buffer inverters 710 and 720.
  • FIG. 7 shows a circuit diagram which illustrates another [0037] conventional delay stage 800 which can be utilized to implement delay circuit 310. As shown in FIG. 7, delay stage 800 utilizes a high impedance CMOS inverter stage 810 and a load capacitance C which is connected to stage 810.
  • [0038] Delay stage 800 is somewhat superior to delay stage 700 because the CMOS inverter stage 810 effectively creates timing resistors which are more closely correlated to the process/voltage/temperature (PVT) variations which can occur. Nevertheless, when a moderate to large data delay is required, the rise/fall times produced by CMOS inverter stage 810 will also be slow. As a result, one or more buffers are needed in order to square up these slow edge rates. Thus, as shown in FIG. 7, a single non-inverting delay circuit has been implemented by employing inverters 810 and 811.
  • As described above, the single RC delay stage employed in the prior art produces slow edge rates in order to provide the data delay which is required. Even though these slow edge rates are eventually squared up, the single RC stage nevertheless imposes a serious limitation on the amount of data delay which can be obtained. This limitation is discussed in the following paragraphs. [0039]
  • 2.4 Limitations of the Prior Art Delay Circuits [0040]
  • The data delay implementations discussed above suffer from the same critical limitation: the maximum delay which can be obtained is limited to only a small fraction of the minimum data period. (The minimum data period, or maximum data frequency, occurs when the data changes state as often as possible on the data bus). [0041]
  • The reason for the above timing limitation is that, at the highest data rate, the RC voltage waveform must have sufficient time to rise from 0V to a value close to VCC. Similarly, at the highest data rate, the RC voltage waveform must also have sufficient time to fall from VCC to a value close to 0V. If these two conditions are not met, the data delay will vary with the data rate. This delay variation will cause the provided setup and hold times to vary, resulting in circuit timing failures which are dependent upon the data rate. [0042]
  • For example, assuming VCC=5V, when the bus data changes state at a low data rate (i.e. infrequently), the delayed RC voltage waveform will have plenty of time to make rising/falling transitions between 0V and 5V. However, when the bus data changes state as often as possible, the RC voltage waveform may only be able to make transitions between 1.5V and 3.5V. Thus, when bus data transitions occur relatively infrequently, the data delay will be large. Conversely, when bus data transitions occur as often as possible, the data delay will be small. As stated in the preceding paragraphs, these data dependent delay variations can easily cause hold time and/or setup time violations to occur, resulting in circuit timing failures. [0043]
  • In the paragraphs which follow, it will be shown that, using the prior art delay circuits discussed above, the data delay will be limited to only a small fraction of the minimum data period. This limitation is required in order to insure that there is always sufficient time for the delayed data waveform to make full transitions between 0V and VCC. [0044]
  • 2.5 Timing Limitations on the Data Delay Circuit [0045]
  • (Using Prior Art) [0046]
  • FIG. 8 shows two data waveforms, [0047] waveform 910 and waveform 920. Waveform 910 is a delayed data waveform produced by an RC delay circuit similar to those discussed in the preceding paragraphs. Waveform 920 is a delayed (‘squared up’) version of waveform 910. As shown in FIG. 8, the delayed waveform 920 is retarded in time by an amount equal to td, where td is the required data delay time.
  • It is assumed that the delayed [0048] waveform 920 in FIG. 8 has been squared up by logic gates whose ‘trip points’ are centered around VCC/2. Thus the phase relationship between waveform 910 and waveform 920 will be as shown in FIG. 8.
  • Waveform [0049] 910 and waveform 920 both have the same data period. Thus, in most timing-critical applications, it is sufficient to assume that this data period contains 6 RC time constants: 3 for the rising portion of waveform 910, and 3 for the falling portion of waveform 910. The voltage V for the rising portion of the RC waveform 910 is defined by EQ. 1:
  • V=VCC(1−e −t/τ)  EQ. 1
  • where VCC represents the power supply voltage, t represents time, and τ represents the RC time constant. [0050]
  • The delay time required for [0051] RC waveform 910 to go from zero volts to VCC/2 volts (or from VCC/2 volts to zero volts), is defined by EQ. 2:
  • VCC/2=VCC(1−e −td/τ)  EQ. 2
  • where t[0052] d represents the delay time.
  • Solving EQ. 2 for τ yields τ=t[0053] d/0.693. Since TMIN, which represents the minimum data period, is equal to 6τ, TMIN is defined by EQ. 3:
  • T MIN=8.65t d.  EQ. 3
  • EQ. 3 indicates that the minimum data period T[0054] MIN, and the required data delay td, are directly related by the simple equation: TMIN=8.65td. To put it another way, the required data delay td is limited to only 12% ({fraction (1/8.65)}) of the minimum data period TMIN.
  • The above limitation is an extremely serious one for high speed data buses. For example, a 50 Mhz data bus can change state as often as every 20 ns (T[0055] MIN=20 ns). Thus the maximum allowable data delay, td, is equal to only 2.3 ns. If there is a zero hold time constraint at the IC clock/data pins, and a hierarchical clock tree is being used, the maximum clock latency must not exceed 2.3 ns, else a hold time violation will occur. In many IC applications the hierarchical clock tree latency can easily exceed 2.3 ns; thus the prior art circuitry cannot be used to generate an acceptable data delay. Of course, for a very fast 100 Mhz data rate (TMIN=10 ns), the data delay problem gets even worse.
  • 2.6 PVT Limitations on the Delay Circuit [0056]
  • For ICs operating under a zero hold time constraint at their clock/data pins, the minimum data delay required to guarantee correct circuit operation is usually determined under fast PVT (process/voltage/temperature) conditions. Thus, if more than the minimum required data delay is provided at fast PVT, this additional (unneeded) data delay will be increased by approximately two to three times at slow PVT. This 2×-3× increase in data delay can make it extremely difficult to provide adequate setup time under slow PVT conditions. Thus, in most high speed data applications, it is extremely important to provide only the minimum amount of data delay required to barely meet the zero hold time constraint at fast PVT. [0057]
  • 3.0 SUMMARY OF THE INVENTION
  • In order to meet the zero hold time constraint imposed at the clock/data pins of an IC, RC data delay circuits are conventionally employed. These RC data delay circuits are used to delay the incoming data signals received from an external data bus. [0058]
  • As described in the preceding paragraphs, the maximum data delay that can be obtained from a conventional RC data delay circuit is severely limited. This limitation exists because the delayed data signal must be allowed to rise to a voltage level close to VCC, and must be allowed to fall to a voltage level close to ground. These ‘complete’ voltage excursions between VCC and ground are required under all conditions, even when the bus data changes state at the highest possible frequency. Failure to make ‘complete’ voltage excursions between VCC and ground will result in data delays which vary with the data rate, causing hold time violations to occur. [0059]
  • The present invention solves the aforementioned problem by utilizing a series of data delay stages which provide the equivalent of a programmable data delay line. This programmable delay line provides the total data delay required to operate an IC under a zero hold time constraint at its clock/data pins. [0060]
  • Since each stage in the programmable delay line provides only a fraction of the total data delay required, the rise/fall time of each delay stage can be very fast. This allows the output voltage waveform of each delay stage to make ‘complete’ excursions between ground and VCC, assuring a data delay which is independent of the data rate. Thus, by choosing the appropriate number of delay stages to be employed, any amount of data delay can be obtained at any data rate. [0061]
  • An input data cell, in accordance with the present invention, contains a data pad and a data input buffer which is connected to the data pad. The data input buffer drives a delay circuit which, in turn, drives an optional delay latch. The delay latch drives logic flip-flops located in the IC core. [0062]
  • In the present invention, the delay circuit contains a plurality of delay stages which have a corresponding plurality of outputs. In operation, the delay provided by the delay circuit is “programmed” by selecting one of the delay circuit outputs and connecting it to the D input of an optional delay latch. [0063]
  • A better understanding of the features and advantages of the present invention will be obtained by reference to the following detailed description and accompanying drawings which set forth an illustrative embodiment in which the principals of the invention are utilized.[0064]
  • 4.0 BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a circuit diagram illustrating a portion of a conventional [0065] integrated circuit 100.
  • FIGS. 2A and 2B are timing diagrams illustrating the hold time problem with respect to FIG. 1. [0066]
  • FIG. 3 is a circuit diagram illustrating a portion of a conventional [0067] integrated circuit 300.
  • FIG. 4 is a circuit diagram illustrating a portion of an [0068] integrated circuit 400.
  • FIG. 5 is a schematic diagram illustrating a multi-stage, [0069] hierarchical clock tree 600.
  • FIG. 6 is a circuit diagram illustrating a conventional [0070] RC delay stage 700 that can be used to implement delay circuit 310.
  • FIG. 7 is a circuit diagram illustrating another [0071] conventional delay stage 800 that can be used to implement delay circuit 310.
  • FIG. 8 is a waveform diagram illustrating an [0072] RC voltage waveform 910, and a squared up voltage waveform 920, that is a delayed version of waveform 910.
  • FIG. 9 is a circuit diagram illustrating a portion of an [0073] integrated circuit 1100 in accordance with the present invention.
  • FIGS. 10A and 10B are circuit diagrams illustrating a delay stage DS in accordance with the present invention. [0074]
  • FIG. 11 is a top level symbol (view) illustrating an I/[0075] O cell 1300 in accordance with the present invention.
  • FIG. 12 is a graph illustrating an example of the rising and falling data delay which can be obtained from a ‘typical’ data delay circuit utilizing the present invention.[0076]
  • 5.0 DESCRIPTION OF THE PRESENT INVENTION
  • FIG. 9 shows a circuit diagram which illustrates a portion of an [0077] integrated circuit 1100 in accordance with the present invention. As described in greater detail below, the present invention provides an increased data delay by employing a series of delay stages, each of which provides a small data delay with fast rise and fall times, over all PVT conditions.
  • As shown in FIG. 9, [0078] circuit 1100 includes a zero hold time input data cell 1110 which contains a data pin 1112 that is connected to receive a data signal DATA from an external data bus. Data pin 1112, in turn, drives the input terminal of an input data buffer 1114. The output terminal of data buffer 1114 drives the input terminal, A, of a programmable delay circuit 1116. If optional delay latch 1118 is present, one of the outputs from delay circuit 1116 will drive the D input terminal of optional delay latch 1118. If optional delay latch 1118 is not present, one of the outputs from programmable delay circuit 1116 will directly drive the D input of a flop located in the core, such as flop 1130.
  • As shown in FIG. 9, [0079] circuit 1100 also includes a clock cell 1120 which contains a clock pin 1122 that is connected to receive an external clock signal CLK. Clock pin 1122, in turn, drives the input terminal of clock circuit 1124. As further shown in FIG. 9, the output terminal from clock circuit 1124 drives the control input of optional data latch 1118 (if this component is present), the CLK pin of data flop 1130 (located in the core), and the CLK inputs of other data flops located in the core. Clock circuit 1124 may be implemented as a single clock buffer, such as buffer 122 shown FIG. 4, or as a hierarchical clock tree, such as clock tree 600 shown in FIG. 5.
  • In accordance with the present invention, [0080] programmable delay circuit 1116 in FIG. 9 contains an input A, and a series of serially-connected delay stages DS1-DSn. Delay stages DS1-DSn, in turn, output a corresponding series of delayed signals DA1-DAn, which change logic states at different times after the logic state of input signal A changes state.
  • FIG. 10A is a circuit diagram of a delay stage DS in accordance with the present invention. As shown in FIG. 10A, stage DS, which is non-inverting, includes a [0081] first inverter 1210, a small load capacitance, and a second inverter 1230. The small load capacitance can be implemented by employing a p-channel transistor 1220 as shown in FIG. 10A, or by employing an n-channel transistor 1221 as shown in FIG. 10B.
  • During normal operation, each delay stage DS generates the same small amount of data delay. Thus the cascaded delay stages DS[0082] 1-DSn in FIG. 9 comprise a uniform data delay line which has output taps DA1-DAn. As shown in FIG. 9, the required amount of data delay can be obtained by simply making a connection to the appropriate delay line tap (output) DA1-DAn. In the special case where no data delay is required, connection can be made directly to the delay line input A, completely bypassing all stages of the delay line.
  • When additional delay is needed, it can be obtained by simply tapping (i.e. connecting to) the output from a later stage in the delay line. Conversely, if less delay is needed, it can be obtained by simply tapping the output of an earlier stage in the delay line. Thus, as shown in FIG. 9, [0083] optional delay latch 1118 is connected to the last delay stage DSn via output Dan. Of course optional delay latch 1118 could be alternately connected to receive the input signal A, or any of the delayed output signals DA1-DA4 (as shown by the dashed lines in FIG. 9).
  • FIG. 11 shows a top level view (symbol) which illustrates an I/[0084] O cell 1300 in accordance with the present invention. It is assumed, in FIG. 11, that optional data latch 1118, shown inside input data cell 1110 in FIG. 9, has been included inside I/O cell 1300. Thus the LD pin on I/O cell 1300 corresponds to the D pin of data latch 1118 in FIG. 9. Similarly, the DA1-DAn pins on I/O cell 1300 correspond to the delay line output pins, DA1-DAn, on delay circuit 1116 in FIG. 9. Likewise, pin A on I/O cell 1300 corresponds to the delay line input pin A on delay circuit 1116 in FIG. 9.
  • As indicated in FIG. 11, the desired amount of data delay can be programmed by simply changing how connections are made to the pins LD, A, and DA[0085] 1-DAn. These pins are located on the top (core) side of I/O cell 1300. Since all of these pins are located on the same metal layer, delay values can be changed quickly and inexpensively, requiring a change to only one mask.
  • Referring to FIG. 11, delay programming is accomplished by simply connecting one delay output pin, DA, from the plurality of delay output pins, DA[0086] 1-DAn, to the LD input pin on I/O cell 1300. Alternatively, in the special case where no data delay is required, pin A on I/O cell 1300 would be connected to input pin LD on I/O cell 1300.
  • If the data delay programmed on the initial silicon was too low, resulting in inadequate hold time, the delay value can be quickly and inexpensively increased by making simple edits to only a single metal mask layer. This is vastly superior to the prior art, which usually requires the addition of data delay inverters at various locations within the core (requiring an all-layer mask change). Even if these extra data delay inverters were included in the initial silicon, re-wiring their connections could easily prove to be a rather daunting task, requiring mask changes to several metal layers and several contact/via layers. [0087]
  • As described in the preceding paragraphs, an important advantage of the present invention is that the output rise/fall times of each data delay stage DS can be made extremely fast, even under slow PVT conditions. Thus moderate to large data delays can be easily generated, while still maintaining fast edge rates in all data delay stages. As a result, the data delay limitation associated with the prior art is completely eliminated. [0088]
  • The fast rise/fall times from [0089] delay circuit 1116 in FIG. 9 offer an additional advantage: they tend to speed up the signal path from data input pad 1112 to the D input pin of data flop 1130. This, in turn, allows higher speed data transfers.
  • Referring to FIG. 9, another advantage of the present invention is that [0090] delay circuit 1116 provides delay times which closely track PVT variations. Thus, under fast PVT conditions, the added delay will be reduced, which is exactly what is needed. Conversely, under slow PVT conditions, the added delay will be increased, which is exactly what is needed. In all cases the edge rates will remain sharp and crisp.
  • 5.1 Delay Circuit Example [0091]
  • FIG. 12 shows a graph illustrating the rising/falling data delay which can be obtained from a ‘typical’ data delay circuit utilizing the present invention. As shown in FIG. 12, the rising/falling data delay is plotted as a function of the number of data delay stages used. The curves in FIG. 12 assume that input A to delay [0092] circuit 1116 in FIG. 9 is being driven from a fast data bus and a fast data input buffer, whose rise/fall times are only 0.1 ns.
  • Since the curves plotted in FIG. 12 are straight lines, it is obvious that the rising and falling data delay per stage is constant (approximately 0.8 ns per stage at fast PVT, and 1.9 ns per stage at slow PVT). [0093]
  • As shown in FIG. 12, the minimum data delay for the entire delay circuit was set at approximately 4 ns at fast PVT. Thus the maximum data delay for the entire delay circuit becomes approximately 9 ns at slow PVT. This 2.3 to 1 delay variation is exactly what is required in order to guarantee zero hold time under all PVT conditions. Of course the number of delay stages, and the delay per stage, can be easily varied to suit a wide variety of data bus timing constraints. [0094]
  • It should be understood that various alternatives to the embodiment of the invention described herein may be employed in practicing the invention. Thus, it is intended that the following claims define the scope of the invention, and that methods and structures within the scope of these claims and their equivalents be covered thereby. [0095]

Claims (15)

What is claimed is:
1. A zero hold time input data cell comprising:
a pad;
an input buffer circuit connected to the pad;
a delay circuit connected to the input buffer circuit, the delay circuit having a plurality of delay stages, the plurality of delay stages having a plurality of outputs such that each delay stage has an output; and
a delay latch connectable to an output of a delay stage.
2. The cell of claim 1 wherein the input buffer is non-inverting.
3. The cell of claim 1 wherein the input buffer is inverting.
4. The cell of claim 3 and further comprising an inverter connected to the delay circuit.
5. The cell of claim 1 wherein each delay stage includes:
a first inverter;
a second inverter connected to the first inverter; and
a capacitive load connected to the first inverter.
6. The cell of claim 5 wherein the capacitive load includes a transistor.
7. An integrated circuit comprising:
a zero hold time input data cell having:
a data pad;
an input buffer circuit connected to the data pad;
a delay circuit connected to the input buffer circuit, the delay circuit having a plurality of delay stages, the plurality of delay stages having a plurality of outputs such that each delay stage has an output; and
a delay latch connectable to an output of a delay stage;
a clock input cell having:
a clock pad;
an input clock circuit connected to the clock pad and the delay latch; and
a data flip flop connected to the delay latch and the input clock circuit.
8. The circuit of claim 7 wherein the input buffer is non-inverting.
9. The circuit of claim 7 wherein the input buffer is inverting.
10. The circuit of claim 9 and further comprising an inverter connected to the delay circuit.
11. The circuit of claim 7 wherein each delay stage includes:
a first inverter;
a second inverter connected to the first inverter; and
a capacitive load connected to the first inverter.
12. The circuit of claim 11 wherein the capacitive load includes a transistor.
13. The circuit of claim 7 wherein the input clock circuit includes a hierarchical clock tree.
14. A method for programming a delay into a data input cell having:
a pad;
an input buffer circuit connected to the pad;
a delay circuit connected to the input buffer circuit, the delay circuit having a plurality of delay stages, the plurality of delay stages having a corresponding plurality of delayed output pins; and
a delay latch having a data input pin;
the method comprising the steps of:
selecting a delayed output pin from the plurality of delayed output pins to be a selected output pin; and
forming a metal line that connects the selected output pin to the data input pin.
15. A method for forming a zero hold time data input cell, the method comprising the steps of:
forming a pad;
forming an input buffer circuit connected to the pad;
forming a delay circuit connected to the input buffer circuit, the delay circuit having a plurality of delay stages, the plurality of delay stages having a plurality of outputs such that each delay stage has an output; and
forming a delay latch connectable to an output of a delay stage.
US09/164,218 1998-09-30 1998-09-30 Zero hold time circuit for high speed bus applications Expired - Lifetime US6397374B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/164,218 US6397374B1 (en) 1998-09-30 1998-09-30 Zero hold time circuit for high speed bus applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/164,218 US6397374B1 (en) 1998-09-30 1998-09-30 Zero hold time circuit for high speed bus applications

Publications (2)

Publication Number Publication Date
US20020023253A1 true US20020023253A1 (en) 2002-02-21
US6397374B1 US6397374B1 (en) 2002-05-28

Family

ID=22593492

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/164,218 Expired - Lifetime US6397374B1 (en) 1998-09-30 1998-09-30 Zero hold time circuit for high speed bus applications

Country Status (1)

Country Link
US (1) US6397374B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030112910A1 (en) * 2001-12-18 2003-06-19 Gilbert Yoh System and method for matching data and clock signal delays to improve setup and hold times
CN103377628A (en) * 2012-04-30 2013-10-30 乐金显示有限公司 Liquid crystal display and method of driving the same
US20150070050A1 (en) * 2013-09-06 2015-03-12 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
CN106502309A (en) * 2016-11-15 2017-03-15 中国电子科技集团公司第四十研究所 It is zeroed based on DA and keeps time domain intertexture random waveform synthesizer and the method for function

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000231062A (en) * 1999-02-09 2000-08-22 Olympus Optical Co Ltd Endoscopic device
US6625787B1 (en) * 1999-08-13 2003-09-23 Xilinx, Inc. Method and apparatus for timing management in a converted design
US6643791B1 (en) * 2000-03-31 2003-11-04 Alcatel Clock distribution scheme in a signaling server
US7085237B1 (en) 2000-03-31 2006-08-01 Alcatel Method and apparatus for routing alarms in a signaling server
US6442737B1 (en) * 2001-06-06 2002-08-27 Lsi Logic Corporation Method of generating an optimal clock buffer set for minimizing clock skew in balanced clock trees
US7263151B2 (en) * 2002-03-04 2007-08-28 Broadcom Corporation High frequency loss of signal detector
US7209492B2 (en) * 2002-04-15 2007-04-24 Alcatel DSO timing source transient compensation
US7233189B1 (en) * 2004-11-24 2007-06-19 Altera Corporation Signal propagation circuitry for use on integrated circuits
US7328416B1 (en) * 2005-01-24 2008-02-05 Sun Microsystems, Inc. Method and system for timing modeling for custom circuit blocks
US7861200B2 (en) * 2008-03-24 2010-12-28 Freescale Semiconductor, Inc. Setup and hold time characterization device and method
US8300752B2 (en) * 2008-08-15 2012-10-30 International Business Machines Corporation Method, circuit, and design structure for capturing data across a pseudo-synchronous interface
US8189723B2 (en) * 2008-08-15 2012-05-29 International Business Machines Corporation Method, circuit, and design structure for capturing data across a pseudo-synchronous interface
US9083325B2 (en) * 2013-06-14 2015-07-14 Qualcomm Incorporated Low overhead hold-violation fixing solution using metal-programable cells

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4016511A (en) 1975-12-19 1977-04-05 The United States Of America As Represented By The Secretary Of The Air Force Programmable variable length high speed digital delay line
US4845675A (en) * 1988-01-22 1989-07-04 Texas Instruments Incorporated High-speed data latch with zero data hold time
EP0628831B1 (en) * 1988-09-07 1998-03-18 Texas Instruments Incorporated Bidirectional boundary scan test cell
EP0358365B1 (en) * 1988-09-07 1998-10-21 Texas Instruments Incorporated Testing buffer/register
US5212782A (en) * 1989-01-13 1993-05-18 Vlsi Technology, Inc. Automated method of inserting pipeline stages in a data path element to achieve a specified operating frequency
US5133069A (en) * 1989-01-13 1992-07-21 Vlsi Technology, Inc. Technique for placement of pipelining stages in multi-stage datapath elements with an automated circuit design system
US5274568A (en) * 1990-12-05 1993-12-28 Ncr Corporation Method of estimating logic cell delay time
US5495596A (en) * 1992-07-31 1996-02-27 Silicon Graphics, Inc. Method for clocking functional units in one cycle by using a single clock for routing clock inputs to initiate receive operations prior to transmit operations
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5649175A (en) * 1995-08-10 1997-07-15 Cirrus Logic, Inc. Method and apparatus for acquiring bus transaction address and command information with no more than zero-hold-time and with fast device acknowledgement
US5623645A (en) * 1995-08-10 1997-04-22 Cirrus Logic, Inc. Method and apparatus for acquiring bus transaction data with no more than zero-hold-time
US5896299A (en) * 1995-10-13 1999-04-20 Vlsi Technology, Inc. Method and a system for fixing hold time violations in hierarchical designs
US5744991A (en) * 1995-10-16 1998-04-28 Altera Corporation System for distributing clocks using a delay lock loop in a programmable logic circuit
US6133751A (en) * 1998-08-05 2000-10-17 Xilinx, Inc. Programmable delay element
US5841296A (en) * 1997-01-21 1998-11-24 Xilinx, Inc. Programmable delay element
US6058496A (en) * 1997-10-21 2000-05-02 International Business Machines Corporation Self-timed AC CIO wrap method and apparatus
US6034544A (en) * 1997-12-22 2000-03-07 Lattice Semiconductor Corporation Programmable input/output block (IOB) in FPGA integrated circuits
US5999032A (en) * 1998-03-05 1999-12-07 Etron Technology, Inc. Multiple phase synchronous race delay clock distribution circuit with skew compensation

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030112910A1 (en) * 2001-12-18 2003-06-19 Gilbert Yoh System and method for matching data and clock signal delays to improve setup and hold times
US7194053B2 (en) * 2001-12-18 2007-03-20 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for matching data and clock signal delays to improve setup and hold times
CN103377628A (en) * 2012-04-30 2013-10-30 乐金显示有限公司 Liquid crystal display and method of driving the same
US9483131B2 (en) 2012-04-30 2016-11-01 Lg Display Co., Ltd. Liquid crystal display and method of driving the same
US20150070050A1 (en) * 2013-09-06 2015-03-12 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
US8994405B1 (en) * 2013-09-06 2015-03-31 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
CN106502309A (en) * 2016-11-15 2017-03-15 中国电子科技集团公司第四十研究所 It is zeroed based on DA and keeps time domain intertexture random waveform synthesizer and the method for function

Also Published As

Publication number Publication date
US6397374B1 (en) 2002-05-28

Similar Documents

Publication Publication Date Title
US6397374B1 (en) Zero hold time circuit for high speed bus applications
KR970005430B1 (en) Metastable resistant flip-flop
US7249290B2 (en) Deskew circuit and disk array control device using the deskew circuit, and deskew method
KR100397590B1 (en) Sampling clock generation circuit, data transfer control device, and electronic equipment
US6608743B1 (en) Delay locked loop, synchronizing method for the same and semiconductor device equipped with the same
US6732066B2 (en) Method of determining static flip-flop setup and hold times
US6356132B1 (en) Programmable delay cell
US4761572A (en) Semiconductor large scale integrated circuit with noise cut circuit
EP0247769A2 (en) High speed frequency divide-by-5 circuit
US5742190A (en) Method and apparatus for clocking latches in a system having both pulse latches and two-phase latches
US6107852A (en) Method and device for the reduction of latch insertion delay
US6633995B1 (en) System for generating N pipeline control signals by delaying at least one control signal corresponding to a subsequent data path circuit
US20080309392A1 (en) Programmable Digital Delay
US9148155B1 (en) Clock distribution architecture for integrated circuit
US4547684A (en) Clock generator
US6185720B1 (en) Slaveless synchronous system design
US6879186B2 (en) Pseudo-dynamic latch deracer
US8363485B2 (en) Delay line that tracks setup time of a latching element over PVT
Iikbahar et al. Itanium/sup TM/Processor system bus design
US6222393B1 (en) Apparatus and method for generating a pulse signal
US6812750B1 (en) Divided clock generation
US11798635B2 (en) Semiconductor integrated circuit
US6701423B2 (en) High speed address sequencer
KR20010006850A (en) Improved skew pointer generation
US6791906B1 (en) Method and system for fail-safe control of a frequency synthesizer

Legal Events

Date Code Title Description
AS Assignment

Owner name: NATIONAL SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PASQUALINI, RONALD;REEL/FRAME:009496/0717

Effective date: 19980929

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12