US20020011462A1 - Method of processing organic antireflection layers - Google Patents

Method of processing organic antireflection layers Download PDF

Info

Publication number
US20020011462A1
US20020011462A1 US09/917,549 US91754901A US2002011462A1 US 20020011462 A1 US20020011462 A1 US 20020011462A1 US 91754901 A US91754901 A US 91754901A US 2002011462 A1 US2002011462 A1 US 2002011462A1
Authority
US
United States
Prior art keywords
etching
organic antireflection
antireflection layer
layer
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/917,549
Inventor
Harald Richter
Stephan Wege
Maik Stegemann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of US20020011462A1 publication Critical patent/US20020011462A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Definitions

  • the invention lies in the processing technology field and relates, more specifically, to a process for the anisotropic dry etching of an organic antireflection layer.
  • Integrated circuits on semiconductor wafers are produced with the aid of planar technology.
  • the structuring of the semiconductor layers in order to form the individual components is carried out virtually without exception with the aid of lithographic technology.
  • the desired component structures are first of all generated, via a photomask, in a thin, radiation-sensitive film, most often an organic photoresist layer, on the oxidized semiconductor wafer, and are transferred into the layers lying underneath with the aid of specific etching processes.
  • the irradiation of the photoresist layer is carried out with narrow-band light, high-pressure mercury lamps or lasers primarily being used.
  • antireflection layers are applied between the semiconductor substrate and the photoresist layer, absorb the light waves reflected back by the semiconductor substrate into the photoresist layer and/or cancel them out by means of destructive interference.
  • antireflection layers consisting of organic polymers which are distinguished by a high light absorption.
  • Organic antireflection layers are additionally also suitable for planarizing edges and steps in the semiconductor layers. Such a leveling action is often required, in particular before the application of a metal plane, wherein conductor tracks for wiring the components of the semiconductor chip are formed.
  • One variant of the photoresist technique with an antireflection layer is what is referred to as the trilevel resist technique.
  • a so-called bottom resist layer is sputtered onto the semiconductor substrate.
  • the bottom resist layer is a positive resist or its reswherein can be made highly light-absorbing by the addition of an absorber or by high baking out.
  • a spin-on-glass intermediate layer and then a so-called top resist layer are applied.
  • This topmost top resist layer is the actually photochemically active layer.
  • the bottom resist layer ensures that virtually no light is reflected back from the semiconductor substrate into this top resist layer.
  • the bottom resist layer is also made sufficiently thick to level any steep steps which are present on the semiconductor substrate, so that the top resist layer can be sputtered on with a uniform thickness which is not influenced by these surface steps.
  • the top resist layer is then exposed and developed via a mask which contains the desired semiconductor component structure. As a result of the development, the top resist layer is dissolved at the exposed points while the non-irradiated areas remain masked.
  • the spin-on-glass intermediate layer is then etched at the exposed points. The latter is then used as an etching mask during the anisotropic etching of the bottom resist layer which is finally in turn used as a masking layer for etching the semiconductor layer, for example silicon dioxide, which lies underneath and is to be structured.
  • a bilevel resist technique can also be used. There, the spin-on-glass intermediate layer is omitted. This is possible when the top resist structure is resistant to the bottom resist etching.
  • Opening the organic antireflection layer is conventionally carried out with the aid of dry etching techniques, the following requirements being placed on the etching process: the anisotropy factor of the etching should be as close as possible to 1, in order to achieve high profile accuracy, that is to say steep resist edges.
  • the mask structure is to be transferred as accurately as possible to the semi-conductor layer lying underneath the organic antireflection layer, that is to say the etching is to be CD (critical dimension) accurate.
  • high selectivity with respect to the mask layer and with respect to the semiconductor layer lying underneath the layer to be etched is required of the etching.
  • the dry etching process normally uses gaseous media, which are excited by a gas discharge in the high-frequency alternating field. The discharge process takes place in the vacuum area, so that a long free path length for the ions between collisions is achieved.
  • the chemical/physical dry etching process is primarily used, wherein, in addition to a purely physical removal of material by atoms or molecules being thrown out of the layer to be etched, chemical removal of material is carried out by means of a reactive gas.
  • the most important etching process in the chemical/physical dry etching is reactive ion etching, oxygen primarily being used as the reaction gas for anisotropic etching of an organic antireflection layer, since oxygen forms volatile reaction products with the polymer constituents.
  • Etching the organic antireflection layer with chlorine as a reactive constituent is, moreover, generally not compatible with the subsequent chemistry for the semiconductor etching, in particular when a silicon dioxide layer is to be etched. This is because the reaction products of the oxygen with the polymer constituents of the organic antireflection layer can be removed from the etching chamber only with great difficulty and then combined with the etching chemistry of the subsequent semiconductor etching process which changes the etching parameters and therefore the etching structure in an undesired way.
  • Jpn. J. Appl. Phys. Part 1, Vol 32 (1993), pages 747-52 discloses a process for removing photoresist (stripping), wherein the etching gas consists of O 2 -CF 4 and contains an addition of N2-H2. The process is carried out in an MRIE apparatus.
  • the etching of organic ARC layers with O 2 or O 2 -H 2 -Ar is disclosed by Japanese document JP 11-150 115 A and U.S. Pat. No. 5,910,453.
  • the object of the present invention is to provide a method of processing organic antireflection layers which overcomes the above-noted deficiencies and disadvantages of the prior art devices and methods of this general kind, and which provides a process for the anisotropic dry etching of an organic antireflection layer which is distinguished by high selectivity, improved structure accuracy, and good compatibility with subsequent etching processes.
  • a process for anisotropically dry etching of an organic antireflection layer which comprises etching the organic antireflection layer with an etching gas composition primarily containing hydrogen and nitrogen.
  • the organic antireflection layer is etched with an etching gas composition consisting essentially of hydrogen and nitrogen.
  • the etching gases used are substantially hydrogen and nitrogen.
  • the etching chemistry which, as the reactive etching gas according to a preferred embodiment, contains at least 80% nitrogen and hydrogen, a selectivity of more than 1:50 of the organic antireflection layer etching in relation to etching the semiconductor layer lying underneath can be achieved.
  • the reaction gas composition of nitrogen and hydrogen ensures accurate transfer of the structure of the etching mask and high profile accuracy of the resist edges.
  • the reaction gas is composed of equal proportions of hydrogen and nitrogen.
  • This reaction mixture permits highly accurate anisotropic etching of the organic antireflection layer even in the case of very high layer thicknesses, such as are provided in particular if the organic antireflection layer is additionally used to planarize steps and edges in the semiconductor layer underneath.
  • the organic antireflection layer can be opened in a structurally accurate manner with such a reaction gas composition even in the case of severe overetching, without the semiconductor base being attacked. In this case, even structures below 0.2 ⁇ m, in particular, can be achieved reliably.
  • the etching gas composition contains at least 80% hydrogen and nitrogen as reactive etching gases.
  • the etching gas composition contains, as reactive etching gases, only hydrogen and nitrogen.
  • the etching gas composition contains additives for improving etching gas properties in the respective dry etching process that is utilized.
  • a photoresist layer is used as an etching mask for the organic antireflection layer, and the etching gas composition is adjusted such that a vertical removal of the photoresist corresponds at most to an etching rate of the organic antireflection layer.
  • the etching gas composition with hydrogen and nitrogen is set such that the vertical removal corresponds at most to the etching rate of the organic antireflection layer.
  • the physical/chemical dry etching with a reaction gas mixture of hydrogen and nitrogen is carried out using reactive ion etching technique in a pressure range of 2.67 to 26.67 Pa (20 to 200 mTorr) and with a gas flow of 0.17 to 1.67 10 ⁇ 6 m 3 sec ⁇ 1 (10 to 100 sccm).
  • the etching behavior can be controlled particularly well with regard to homogeneity, etching rate, etching profile and selectivity and, in addition, high reproducibility can be achieved.
  • the layer is exposed to a magnetic field strength from 0 to 120 Gauss and processed with magnetic field-assisted reactive ion etching.
  • the organic antireflection layer is etched with a plasma from an electron cyclone resonance plasma source, with an inductively coupled plasma, or a Helicon source.
  • FIGS. 1A, 1B, 1 C, and 1 D are schematic side view illustrations of the process steps in lithography with an organic antireflection layer
  • FIG. 2 is a diagrammatic side view showing the construction of a parallel plate reactor for magnetic field-assisted reactive ion etching
  • FIGS. 3A and 3B are micrographs showing comparative recordings from etching an organic antireflection layer with a chlorine/oxygen chemistry and the hydrogen/nitrogen chemistry according to the invention
  • FIGS. 4A and 4B are micrographs showing comparative recordings of the etching of the first metal plane following the opening of the organic antireflection layer with a chlorine/oxygen chemistry and the hydrogen/nitrogen chemistry according to the invention.
  • FIGS. 5A, 5B, 5 C, and 5 D are respective micrographs showing recordings from the etching of an organic antireflection layer with the hydrogen/nitrogen chemistry according to the invention after various etching times.
  • the local processing of the semiconductor circuits is carried out with the aid of lithographic processes.
  • the desired structures are firstly generated via a photomask in a thin radiation-sensitive film on the oxidized semiconductor wafer and are then transferred into the layers lying underneath with the aid of specific etching processes.
  • the photoresist layer is intended to meet a very wide range of requirements. For example, a high photoresist contrast and a high sensitivity, that is to say short exposure time, are to be provided.
  • the photoresist layer is to exhibit only low light absorption and, moreover, interference effects between incident and emergent light waves are to be avoided. Additionally, high dimensional stability of the photoresist structures during the reactive ion etching, and also good removability of the photoresist mask are desired.
  • photoresist systems which are composed of a number of layers.
  • an antireflection layer is introduced between the semiconductor substrate and the light-sensitive photoresist layer, in order to attenuate the light wave reflected back into the photoresist from the substrate and therefore largely to eliminate the interference effects.
  • FIGS. 1A to 1 D there are seen schematic cross sections of the sequential process steps in a bilevel photoresist technique with an antireflection layer.
  • An organic antireflection layer 3 is sputtered onto an uppermost (topmost) layer 2 of a semiconductor substrate 1 , which is generally a silicon dioxide layer, as illustrated in FIG. 1A.
  • the organic antireflection layer 3 used here is a material which is substantially similar to the photoresists and is made highly absorbent by adding an absorber or by baking out at 200°. Such an organic antireflection layer 3 then ensures that virtually no more light is reflected back from the semiconductor surface.
  • the antireflection layer 3 is preferably made so thick that the steep steps present on the semiconductor surface can be leveled.
  • the organic antireflection layer 3 is therefore also used for planarization as is required, in particular, before the formation of the metalization planes.
  • the actual photoresist layer 4 is sputtered onto the organic antireflection layer 3 .
  • This photoresist layer 4 is subsequently irradiated with a laser or a high-pressure mercury vapor lamp, the photoresist layer 4 being exposed only in the desired areas by means of the interposition of a mask.
  • the irradiated areas of the photoresist layer 4 are then removed; this is shown in FIG. 1C.
  • the photoresist layer 4 then serves as an etching mask for the organic antireflection layer 3 lying underneath it.
  • the etching of the organic antireflection layer 3 is carried out in particular with the aid of chemical/physical dry etching since thereby very fine structures may be produced, such as are needed in particular to form component widths of less than 0.2 ⁇ m.
  • a chemical/physical etching reaction is triggered. If the particle bombardment is carried out vertically, then the mask structure can be transferred into the layer lying underneath while maintaining its dimensions. This is shown in FIG. 1D.
  • FIG. 2 shows an etching reactor for an anisotropic etching process in the case of an organic antireflection layer.
  • the reactor in essence comprises a housing forming a vacuum chamber 10 with an inlet 70 for the etching gas, a connection 30 for the vacuum pump, and two mutually parallel electrodes 20 , 50 .
  • the semiconductor wafer to be etched is located on one of the two electrodes. In the illustration, the substrate is placed on the lower electrode 50 .
  • the lower electrode 50 is also capacitively coupled to a high voltage 80 .
  • the upper electrode 20 is connected to the vacuum chamber 10 and grounded.
  • the etching gas suitable for the material to be etched is fed via the inlet 70 to the previously evacuated vacuum chamber 10 .
  • an etching gas mixture substantially consisting of nitrogen and hydrogen is used.
  • the etching gas mixture has, as the reactive etching gases, preferably at least 80% hydrogen and nitrogen.
  • the ratio of hydrogen and nitrogen is preferably 1:1.
  • the etching gas mixture can also contain additives which improve the etching gas properties in relation to the conditions of the dry etching process respectively used.
  • an etching gas mixture is used which, in addition to unavoidable contaminants, consists only of hydrogen and nitrogen, which are each present in equal proportions.
  • the pressure and the gas flow rate are kept substantially constant.
  • the pressure range for etching the organic antireflection layer 3 is preferably in the range from 2.67 to 26.67 Pa (20 to 200 mTorr).
  • the gas flow rate is preferably set to a value within the range from 0.17 to 1.67 10 ⁇ 6 m 3 sec ⁇ 1 (10 to 100 sccm).
  • the ions from the plasma are therefore given sufficient kinetic energy to trigger a chemical reaction with the organic antireflection layer 3 . They pass vertically to the surface to be etched and in this way transfer the mask predefined by the photoresist layer 4 into the organic antireflection layer while maintaining the dimensions.
  • the etching is preferably assisted, as shown in FIG. 2, by a magnetic field which is generated by magnets 90 in the vacuum chamber 10 . The magnetic field compresses the plasma over the layer to be etched. As a result, the number of ions available for etching is increased significantly. A magnetic field of up to 120 Gauss can be used.
  • Using a hydrogen/nitrogen chemistry according to the invention makes it possible to achieve high selectivity in etching the organic antireflection layer 3 . Since, in general, the density of the antireflection layers fluctuates sharply because of the topology lying underneath, in some areas of the antireflection layer, high overetching must be carried out.
  • the use of a nitrogen/hydrogen chemistry for etching the organic antireflection layer ensures that, even in the case of extremely different thicknesses of the antireflection layer, the semiconductor layer lying underneath does not begin to be etched. In this way, with an organic antireflection layer and an oxide layer lying underneath, a selectivity of 50:1 may be achieved with a hydrogen/nitrogen chemistry.
  • the etching of the organic antireflection layer with an etching gas mixture comprising hydrogen and nitrogen also ensures highly accurate transfer of the mask predefined by the photoresist to the semiconductor layer lying underneath.
  • the etching is absolutely true to the profile, with steep resist edges, so that an anisotropy factor of substantially 1 is achieved.
  • losses from the organic antireflection layer during the transfer of the photoresist mask to the semiconductor layer lying underneath the organic antireflection layer are reliably prevented, that is to say high CD accuracy is achieved.
  • the use of the hydrogen/nitrogen chemistry permits the etching of the semiconductor layer lying under the organic antireflection layer, wherein etching process the organic antireflection layer serves as a masking layer in one and the same etching reactor.
  • the etching of the silicon dioxide layer, generally lying underneath the organic antireflection layer is preferably likewise carried out by means of magnetic field-assisted reactive ion etching, a typical oxide etching process being carried out with the following process conditions.
  • the pressure in the vacuum chamber is about 20 Pa (150 mTorr).
  • the etching gases used are CHF 3 and CF 4 the gas flow being 0.58 10 ⁇ 6 m 3 sec ⁇ 1 (35 sccm) or 0.42 10 ⁇ 6 m 3 sec ⁇ 1 (25 sccm).
  • argon is fed in at a flow rate of 2.5 10 ⁇ 6 m 3 sec ⁇ 1 (about 150 sccm).
  • the magnetic field for assisting the etching is preferably 15 Gauss.
  • the subsequent etching of an oxide layer lying underneath was not possible in the same etching chamber, since the chemistry for etching the organic antireflection layer was incompatible with the chemistry of the following oxide etching.
  • the use according to the invention of the hydrogen/nitrogen chemistry which permits the etching chamber used for this purpose to be used for the subsequent oxide etching, achieves a significant time-saving and cost-saving effect.
  • FIGS. 3 and 4 show electron microscope recordings of lithography for structuring the first metalization plane in an integrated semiconductor circuit, using the conventional chlorine/oxygen chemistry and using the hydrogen/nitrogen chemistry according to the invention.
  • FIG. 3A shows a cross section through a bilevel photoresist system on a silicon dioxide layer after the antireflection layer has been opened with the aid of a conventional chlorine/oxygen chemistry.
  • FIG. 3B represents the same structure after being opened with the hydrogen/nitrogen chemistry according to the invention.
  • slight incipient etching of the silicon oxide layer occurs when the organic antireflection layer is being opened with the aid of the chlorine/oxygen chemistry.
  • the hydrogen/nitrogen chemistry is absolutely selective for the silicon oxide layer.
  • the width of the etching profile additionally depending on the height.
  • faceting only occurs in the area of the upper photoresist layer.
  • the organic antireflection layer lying underneath has steep flanks, on the other hand, the width of the etched trench remaining stable, so that an anisotropy factor of virtually 1 is achieved.
  • FIGS. 4A and 4B each show the etching, carried out on the opening of the antireflection layer, for structuring the first metalization plane, the organic antireflection layer used as the mask layer already having been removed.
  • the hydrogen/nitrogen chemistry according to the invention as shown in FIG. 4B, is used to open the organic antireflection layer, the subsequent etching for structuring the metal layer clearly results in an improved profile of the metal tracks.
  • FIG. 5 shows electron microscope recordings relating to opening the organic antireflection layer; to be specific, in FIG. 5A before the etching, in FIG. 5B after an etching time of 40 sec, in FIG. 5C after an etching time of 80 sec and in FIG. 5D after an etching time of 120 sec. It can be seen clearly that although the vertical erosion of the photoresist layer at the top increases with the etching time, the etching width remains constant, irrespective of the etching time, and therefore complete, CD-accurate etching is achieved. In addition, the flank shapes of the resist layer and of the organic antireflection layer are largely maintained, although the height of the vertical side wall decreases over time.

Abstract

In a process for the anisotropic dry etching of an organic antireflection layer, the etching gases used are substantially hydrogen and nitrogen.

Description

    BACKGROUND OF THE INVENTION
  • Field of the Invention [0001]
  • The invention lies in the processing technology field and relates, more specifically, to a process for the anisotropic dry etching of an organic antireflection layer. [0002]
  • Integrated circuits on semiconductor wafers, primarily made of silicon wafers, are produced with the aid of planar technology. The structuring of the semiconductor layers in order to form the individual components is carried out virtually without exception with the aid of lithographic technology. The desired component structures are first of all generated, via a photomask, in a thin, radiation-sensitive film, most often an organic photoresist layer, on the oxidized semiconductor wafer, and are transferred into the layers lying underneath with the aid of specific etching processes. The irradiation of the photoresist layer is carried out with narrow-band light, high-pressure mercury lamps or lasers primarily being used. During the exposure, pronounced interference effects often occur between the incident light waves and those reflected at the semiconductor wafers, which lead to line width fluctuations in the light on the photoresist layer. These line width fluctuations in turn undesirably reduce or enlarge the structure transferred to the photoresist by using the mask. [0003]
  • In order to reduce the interference effects, antireflection layers are applied between the semiconductor substrate and the photoresist layer, absorb the light waves reflected back by the semiconductor substrate into the photoresist layer and/or cancel them out by means of destructive interference. In this case, use is made in particular of antireflection layers consisting of organic polymers which are distinguished by a high light absorption. Organic antireflection layers are additionally also suitable for planarizing edges and steps in the semiconductor layers. Such a leveling action is often required, in particular before the application of a metal plane, wherein conductor tracks for wiring the components of the semiconductor chip are formed. Because of the limited conformity of the metal sputtering coating which is conventionally used, this is because the thickness of the metalization on steep edges on the semiconductor surface can be so low that the conductor track cross sections turn out to be considerably lower than on planar surfaces. In such areas, undesirably high current intensities then occur. The various possibilities of the photoresist technique with an antireflection layer are described, inter alia, by Widmann, Mader, and Friedrich in Technologie hochintegrierter Schaltungen [Technology of Highly Integrated Circuits], 1996, Springer. [0004]
  • One variant of the photoresist technique with an antireflection layer is what is referred to as the trilevel resist technique. Here, a so-called bottom resist layer is sputtered onto the semiconductor substrate. The bottom resist layer is a positive resist or its reswherein can be made highly light-absorbing by the addition of an absorber or by high baking out. Onto this so-called bottom resist layer, a spin-on-glass intermediate layer and then a so-called top resist layer are applied. This topmost top resist layer is the actually photochemically active layer. The bottom resist layer, on the other hand, ensures that virtually no light is reflected back from the semiconductor substrate into this top resist layer. In addition, the bottom resist layer is also made sufficiently thick to level any steep steps which are present on the semiconductor substrate, so that the top resist layer can be sputtered on with a uniform thickness which is not influenced by these surface steps. The top resist layer is then exposed and developed via a mask which contains the desired semiconductor component structure. As a result of the development, the top resist layer is dissolved at the exposed points while the non-irradiated areas remain masked. The spin-on-glass intermediate layer is then etched at the exposed points. The latter is then used as an etching mask during the anisotropic etching of the bottom resist layer which is finally in turn used as a masking layer for etching the semiconductor layer, for example silicon dioxide, which lies underneath and is to be structured. [0005]
  • As an alternative to the trilevel resist construction, a bilevel resist technique can also be used. There, the spin-on-glass intermediate layer is omitted. This is possible when the top resist structure is resistant to the bottom resist etching. [0006]
  • Opening the organic antireflection layer is conventionally carried out with the aid of dry etching techniques, the following requirements being placed on the etching process: the anisotropy factor of the etching should be as close as possible to 1, in order to achieve high profile accuracy, that is to say steep resist edges. In addition, the mask structure is to be transferred as accurately as possible to the semi-conductor layer lying underneath the organic antireflection layer, that is to say the etching is to be CD (critical dimension) accurate. Finally, high selectivity with respect to the mask layer and with respect to the semiconductor layer lying underneath the layer to be etched is required of the etching. [0007]
  • The dry etching process normally uses gaseous media, which are excited by a gas discharge in the high-frequency alternating field. The discharge process takes place in the vacuum area, so that a long free path length for the ions between collisions is achieved. In order to achieve highly fine structures, the chemical/physical dry etching process is primarily used, wherein, in addition to a purely physical removal of material by atoms or molecules being thrown out of the layer to be etched, chemical removal of material is carried out by means of a reactive gas. The most important etching process in the chemical/physical dry etching is reactive ion etching, oxygen primarily being used as the reaction gas for anisotropic etching of an organic antireflection layer, since oxygen forms volatile reaction products with the polymer constituents. [0008]
  • However, since the oxygen exhibits a very isotropic etching behavior and poor selectivity, further gases are generally mixed with the hydrogen in order to improve the etching behavior. In this case, use is primarily made of chlorine or nitrogen although not all the requirements on the etching process can continue to be met in the case of etching gas chemistry of this type. [0009]
  • Although physical/chemical dry etching with an oxygen/chlorine chemistry is distinguished by an accurate transfer of the mask structure in the etching process to the semiconductor structure lying underneath the organic antireflection layer, the profile accuracy is low, on the other hand, and in addition undesired concomitant etching of the semiconductor layer occurs. When an oxygen/nitrogen chemistry is used for the chemical/physical dry etching of an organic antireflection layer, although an improved selectivity with respect to the semiconductor base under the antireflection layer can be achieved, the CD accuracy, on the other hand, is low. [0010]
  • Etching the organic antireflection layer with chlorine as a reactive constituent is, moreover, generally not compatible with the subsequent chemistry for the semiconductor etching, in particular when a silicon dioxide layer is to be etched. This is because the reaction products of the oxygen with the polymer constituents of the organic antireflection layer can be removed from the etching chamber only with great difficulty and then combined with the etching chemistry of the subsequent semiconductor etching process which changes the etching parameters and therefore the etching structure in an undesired way. [0011]
  • Jpn. J. Appl. Phys. [0012] Part 1, Vol 32 (1993), pages 747-52 discloses a process for removing photoresist (stripping), wherein the etching gas consists of O2-CF4 and contains an addition of N2-H2. The process is carried out in an MRIE apparatus. In addition, the etching of organic ARC layers with O2 or O2-H2-Ar is disclosed by Japanese document JP 11-150 115 A and U.S. Pat. No. 5,910,453.
  • SUMMARY OF THE INVENTION
  • The object of the present invention is to provide a method of processing organic antireflection layers which overcomes the above-noted deficiencies and disadvantages of the prior art devices and methods of this general kind, and which provides a process for the anisotropic dry etching of an organic antireflection layer which is distinguished by high selectivity, improved structure accuracy, and good compatibility with subsequent etching processes. [0013]
  • With the above and other objects in view there is provided, in accordance with the invention, a process for anisotropically dry etching of an organic antireflection layer, which comprises etching the organic antireflection layer with an etching gas composition primarily containing hydrogen and nitrogen. [0014]
  • In a preferred mode of the invention, the organic antireflection layer is etched with an etching gas composition consisting essentially of hydrogen and nitrogen. [0015]
  • In the process according to the invention for the anisotropic dry etching of the organic antireflection layer, the etching gases used are substantially hydrogen and nitrogen. Using this etching chemistry, physical/chemical dry etching is possible wherein incipient etching of the semiconductor layer lying underneath the organic antireflection layer is largely prevented. In this way, using the etching chemistry which, as the reactive etching gas according to a preferred embodiment, contains at least 80% nitrogen and hydrogen, a selectivity of more than 1:50 of the organic antireflection layer etching in relation to etching the semiconductor layer lying underneath can be achieved. In addition, the reaction gas composition of nitrogen and hydrogen ensures accurate transfer of the structure of the etching mask and high profile accuracy of the resist edges. Finally, using a hydrogen/nitrogen mixture as reaction gases for etching the organic antireflection layer, good compatibility with the subsequent semiconductor etching, in particular the silicon dioxide etching, is achieved, so that the etching operations can be carried out in the same reaction chamber. [0016]
  • In accordance with an added feature of the invention, hydrogen and nitrogen are adjusted to a ratio of 1:1, that is, the reaction gas is composed of equal proportions of hydrogen and nitrogen. This reaction mixture permits highly accurate anisotropic etching of the organic antireflection layer even in the case of very high layer thicknesses, such as are provided in particular if the organic antireflection layer is additionally used to planarize steps and edges in the semiconductor layer underneath. The organic antireflection layer can be opened in a structurally accurate manner with such a reaction gas composition even in the case of severe overetching, without the semiconductor base being attacked. In this case, even structures below 0.2 μm, in particular, can be achieved reliably. [0017]
  • In accordance with an additional feature of the invention, the etching gas composition contains at least 80% hydrogen and nitrogen as reactive etching gases. Preferably, the etching gas composition contains, as reactive etching gases, only hydrogen and nitrogen. [0018]
  • In accordance with another feature of the invention, the etching gas composition contains additives for improving etching gas properties in the respective dry etching process that is utilized. [0019]
  • In accordance with a further feature of the invention, a photoresist layer is used as an etching mask for the organic antireflection layer, and the etching gas composition is adjusted such that a vertical removal of the photoresist corresponds at most to an etching rate of the organic antireflection layer. In this embodiment, when a photoresist layer is used as the etching mask for the organic antireflection layer, the etching gas composition with hydrogen and nitrogen is set such that the vertical removal corresponds at most to the etching rate of the organic antireflection layer. This achieves the situation where only slight faceting of the photoresist layer used as the etching mask occurs during the etching process, and the organic antireflection layer lying underneath continues to have steep edges after the etching process. [0020]
  • In accordance with again a further feature of the invention, the physical/chemical dry etching with a reaction gas mixture of hydrogen and nitrogen is carried out using reactive ion etching technique in a pressure range of 2.67 to 26.67 Pa (20 to 200 mTorr) and with a gas flow of 0.17 to 1.67 10[0021] −6m3sec−1 (10 to 100 sccm). During such an etching process, the etching behavior can be controlled particularly well with regard to homogeneity, etching rate, etching profile and selectivity and, in addition, high reproducibility can be achieved. It is also preferred to carry out the reactive ion etching with the assistance of a magnetic field, in a magnet field of up to 120 Gauss.
  • In accordance with a preferred mode of the invention, therefore, the layer is exposed to a magnetic field strength from 0 to 120 Gauss and processed with magnetic field-assisted reactive ion etching. [0022]
  • In accordance with a concomitant feature of the invention, the organic antireflection layer is etched with a plasma from an electron cyclone resonance plasma source, with an inductively coupled plasma, or a Helicon source. [0023]
  • Other features which are considered as characteristic for the invention are set forth in the appended claims. [0024]
  • Although the invention is illustrated and described herein as embodied in a process for organic antireflection layers, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. [0025]
  • The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings. [0026]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A, 1B, [0027] 1C, and 1D are schematic side view illustrations of the process steps in lithography with an organic antireflection layer;
  • FIG. 2 is a diagrammatic side view showing the construction of a parallel plate reactor for magnetic field-assisted reactive ion etching; [0028]
  • FIGS. 3A and 3B are micrographs showing comparative recordings from etching an organic antireflection layer with a chlorine/oxygen chemistry and the hydrogen/nitrogen chemistry according to the invention; [0029]
  • FIGS. 4A and 4B are micrographs showing comparative recordings of the etching of the first metal plane following the opening of the organic antireflection layer with a chlorine/oxygen chemistry and the hydrogen/nitrogen chemistry according to the invention; and [0030]
  • FIGS. 5A, 5B, [0031] 5C, and 5D are respective micrographs showing recordings from the etching of an organic antireflection layer with the hydrogen/nitrogen chemistry according to the invention after various etching times.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the planar technology for producing integrated semiconductor circuits, the local processing of the semiconductor circuits is carried out with the aid of lithographic processes. The desired structures are firstly generated via a photomask in a thin radiation-sensitive film on the oxidized semiconductor wafer and are then transferred into the layers lying underneath with the aid of specific etching processes. In this case, the photoresist layer is intended to meet a very wide range of requirements. For example, a high photoresist contrast and a high sensitivity, that is to say short exposure time, are to be provided. In addition, the photoresist layer is to exhibit only low light absorption and, moreover, interference effects between incident and emergent light waves are to be avoided. Additionally, high dimensional stability of the photoresist structures during the reactive ion etching, and also good removability of the photoresist mask are desired. [0032]
  • In order to be able to meet the various requirements on the photoresist, photoresist systems are used which are composed of a number of layers. In particular, an antireflection layer is introduced between the semiconductor substrate and the light-sensitive photoresist layer, in order to attenuate the light wave reflected back into the photoresist from the substrate and therefore largely to eliminate the interference effects. [0033]
  • Referring now to the figures of the drawing in detail and first, particularly, to FIGS. 1A to [0034] 1D thereof, there are seen schematic cross sections of the sequential process steps in a bilevel photoresist technique with an antireflection layer. An organic antireflection layer 3 is sputtered onto an uppermost (topmost) layer 2 of a semiconductor substrate 1, which is generally a silicon dioxide layer, as illustrated in FIG. 1A. The organic antireflection layer 3 used here is a material which is substantially similar to the photoresists and is made highly absorbent by adding an absorber or by baking out at 200°. Such an organic antireflection layer 3 then ensures that virtually no more light is reflected back from the semiconductor surface. In addition, the antireflection layer 3 is preferably made so thick that the steep steps present on the semiconductor surface can be leveled. The organic antireflection layer 3 is therefore also used for planarization as is required, in particular, before the formation of the metalization planes. Then, as shown in FIG. 1B, the actual photoresist layer 4 is sputtered onto the organic antireflection layer 3. This photoresist layer 4 is subsequently irradiated with a laser or a high-pressure mercury vapor lamp, the photoresist layer 4 being exposed only in the desired areas by means of the interposition of a mask. The irradiated areas of the photoresist layer 4 are then removed; this is shown in FIG. 1C.
  • The [0035] photoresist layer 4 then serves as an etching mask for the organic antireflection layer 3 lying underneath it. The etching of the organic antireflection layer 3 is carried out in particular with the aid of chemical/physical dry etching since thereby very fine structures may be produced, such as are needed in particular to form component widths of less than 0.2 μm. In this process, by means of bombardment with ions in particular on the layer surface to be etched, a chemical/physical etching reaction is triggered. If the particle bombardment is carried out vertically, then the mask structure can be transferred into the layer lying underneath while maintaining its dimensions. This is shown in FIG. 1D.
  • FIG. 2 shows an etching reactor for an anisotropic etching process in the case of an organic antireflection layer. The reactor in essence comprises a housing forming a [0036] vacuum chamber 10 with an inlet 70 for the etching gas, a connection 30 for the vacuum pump, and two mutually parallel electrodes 20, 50. The semiconductor wafer to be etched is located on one of the two electrodes. In the illustration, the substrate is placed on the lower electrode 50. The lower electrode 50 is also capacitively coupled to a high voltage 80. The upper electrode 20 is connected to the vacuum chamber 10 and grounded. The etching gas suitable for the material to be etched is fed via the inlet 70 to the previously evacuated vacuum chamber 10. According to the invention, in order to etch the organic antireflection layer 3, an etching gas mixture substantially consisting of nitrogen and hydrogen is used. In this case, the etching gas mixture has, as the reactive etching gases, preferably at least 80% hydrogen and nitrogen. The ratio of hydrogen and nitrogen is preferably 1:1. The etching gas mixture can also contain additives which improve the etching gas properties in relation to the conditions of the dry etching process respectively used. In the chemical/physical dry etching process illustrated in the drawings in the form of a reactive ion etching, an etching gas mixture is used which, in addition to unavoidable contaminants, consists only of hydrogen and nitrogen, which are each present in equal proportions.
  • Using control electronics on the reactor, the pressure and the gas flow rate are kept substantially constant. The pressure range for etching the [0037] organic antireflection layer 3 is preferably in the range from 2.67 to 26.67 Pa (20 to 200 mTorr). The gas flow rate is preferably set to a value within the range from 0.17 to 1.67 10−6 m3sec−1 (10 to 100 sccm). By means of an applied high voltage, the etching gas mixture between the electrodes 20, 50 is caused to form a glow discharge. A low-pressure low-temperature plasma with ions and electrons is formed. Since the upper electrode 20 is connected to the vacuum chamber 10 and grounded, the upper electrode 20 has a greater surface than the lower electrode 50. This results in the lower electrode 50 being charged up more negatively than the upper electrode 20. On the way to the semiconductor wafer to be etched, the ions from the plasma are therefore given sufficient kinetic energy to trigger a chemical reaction with the organic antireflection layer 3. They pass vertically to the surface to be etched and in this way transfer the mask predefined by the photoresist layer 4 into the organic antireflection layer while maintaining the dimensions. The etching is preferably assisted, as shown in FIG. 2, by a magnetic field which is generated by magnets 90 in the vacuum chamber 10. The magnetic field compresses the plasma over the layer to be etched. As a result, the number of ions available for etching is increased significantly. A magnetic field of up to 120 Gauss can be used.
  • Using a hydrogen/nitrogen chemistry according to the invention makes it possible to achieve high selectivity in etching the [0038] organic antireflection layer 3. Since, in general, the density of the antireflection layers fluctuates sharply because of the topology lying underneath, in some areas of the antireflection layer, high overetching must be carried out. The use of a nitrogen/hydrogen chemistry for etching the organic antireflection layer ensures that, even in the case of extremely different thicknesses of the antireflection layer, the semiconductor layer lying underneath does not begin to be etched. In this way, with an organic antireflection layer and an oxide layer lying underneath, a selectivity of 50:1 may be achieved with a hydrogen/nitrogen chemistry. The etching of the organic antireflection layer with an etching gas mixture comprising hydrogen and nitrogen also ensures highly accurate transfer of the mask predefined by the photoresist to the semiconductor layer lying underneath. The etching is absolutely true to the profile, with steep resist edges, so that an anisotropy factor of substantially 1 is achieved. In addition, losses from the organic antireflection layer during the transfer of the photoresist mask to the semiconductor layer lying underneath the organic antireflection layer are reliably prevented, that is to say high CD accuracy is achieved.
  • Furthermore, the use of the hydrogen/nitrogen chemistry permits the etching of the semiconductor layer lying under the organic antireflection layer, wherein etching process the organic antireflection layer serves as a masking layer in one and the same etching reactor. The etching of the silicon dioxide layer, generally lying underneath the organic antireflection layer, is preferably likewise carried out by means of magnetic field-assisted reactive ion etching, a typical oxide etching process being carried out with the following process conditions. The pressure in the vacuum chamber is about 20 Pa (150 mTorr). The etching gases used are CHF[0039] 3 and CF4 the gas flow being 0.58 10−6 m3 sec−1 (35 sccm) or 0.42 10−6 m3 sec−1 (25 sccm). In addition, to improve the etching behavior, argon is fed in at a flow rate of 2.5 10−6 m3 sec−1 (about 150 sccm). The magnetic field for assisting the etching is preferably 15 Gauss. Using the chemistry previously used as standard for etching the organic antireflection layer, the subsequent etching of an oxide layer lying underneath was not possible in the same etching chamber, since the chemistry for etching the organic antireflection layer was incompatible with the chemistry of the following oxide etching. The use according to the invention of the hydrogen/nitrogen chemistry, which permits the etching chamber used for this purpose to be used for the subsequent oxide etching, achieves a significant time-saving and cost-saving effect.
  • FIGS. 3 and 4 show electron microscope recordings of lithography for structuring the first metalization plane in an integrated semiconductor circuit, using the conventional chlorine/oxygen chemistry and using the hydrogen/nitrogen chemistry according to the invention. FIG. 3A shows a cross section through a bilevel photoresist system on a silicon dioxide layer after the antireflection layer has been opened with the aid of a conventional chlorine/oxygen chemistry. FIG. 3B represents the same structure after being opened with the hydrogen/nitrogen chemistry according to the invention. As a comparison of the figures shows, slight incipient etching of the silicon oxide layer occurs when the organic antireflection layer is being opened with the aid of the chlorine/oxygen chemistry. By contrast, the hydrogen/nitrogen chemistry is absolutely selective for the silicon oxide layer. Furthermore, in the case of the chlorine/oxygen etching, a high degree of faceting both of the flanks of the photoresist and also of the organic antireflection layer can be detected, the width of the etching profile additionally depending on the height. When the hydrogen/nitrogen chemistry is used, on the other hand, faceting only occurs in the area of the upper photoresist layer. The organic antireflection layer lying underneath has steep flanks, on the other hand, the width of the etched trench remaining stable, so that an anisotropy factor of virtually 1 is achieved. [0040]
  • FIGS. 4A and 4B each show the etching, carried out on the opening of the antireflection layer, for structuring the first metalization plane, the organic antireflection layer used as the mask layer already having been removed. When the hydrogen/nitrogen chemistry according to the invention, as shown in FIG. 4B, is used to open the organic antireflection layer, the subsequent etching for structuring the metal layer clearly results in an improved profile of the metal tracks. [0041]
  • FIG. 5 shows electron microscope recordings relating to opening the organic antireflection layer; to be specific, in FIG. 5A before the etching, in FIG. 5B after an etching time of 40 sec, in FIG. 5C after an etching time of 80 sec and in FIG. 5D after an etching time of 120 sec. It can be seen clearly that although the vertical erosion of the photoresist layer at the top increases with the etching time, the etching width remains constant, irrespective of the etching time, and therefore complete, CD-accurate etching is achieved. In addition, the flank shapes of the resist layer and of the organic antireflection layer are largely maintained, although the height of the vertical side wall decreases over time. [0042]
  • With the use of the hydrogen/nitrogen chemistry according to the invention for etching organic antireflection layers, it is therefore possible for significantly improved anisotropic etching of these layers to be performed, as compared with conventional chemistry. Instead of the magnetic field-assisted reactive ion etching illustrated, there is also the possibility of using other known chemical/physical dry etching processes for etching the organic antireflection layer, hydrogen and nitrogen being used as the etching gas mixture. In particular, there is the possibility of etching the organic antireflection layer with a hydrogen/nitrogen gas mixture by using the process, developed in recent years, for enhanced excitation of the reactive gas in the plasma, with which higher etching rates and improved selectivity of the etching processes can be achieved. These processes include, in particular, electron cyclone resonance (ECR plasma sources), inductively coupled plasma (ICP plasma sources) and the Helicon sources. [0043]

Claims (10)

We claim:
1. A process for anisotropically dry etching of an organic antireflection layer, which comprises etching the organic antireflection layer with an etching gas composition primarily containing hydrogen and nitrogen.
2. The process according to claim 1, which comprises etching the organic antireflection layer with an etching gas composition consisting essentially of hydrogen and nitrogen.
3. The process according to claim 1, which comprises using hydrogen and nitrogen in a ratio of 1:1.
4. The process according to claim 1, which comprises etching with an etching gas composition containing at least 80% hydrogen and nitrogen as reactive etching gases.
5. The process according to claim 4, wherein the etching gas composition contains, as reactive etching gases, only hydrogen and nitrogen.
6. The process according to claim 1, which comprises etching with an etching gas composition containing additives for improving etching gas properties in the dry etching process.
7. The process according to claim 1, which comprises using a photoresist layer as an etching mask for the organic antireflection layer, and setting the etching gas composition such that a vertical removal of the photoresist corresponds at most to an etching rate of the organic antireflection layer.
8. The process according to claim 1, which comprises setting the following process parameters for the reactive ion etching of the organic antireflection layer:
pressure of the etching gases in a range between 2.67 and 26.67 Pa; and
flow of the etching gases in a range between 0.17 and 1.67 10−6 m3 sec−1.
9. The process according to claim 8, which comprises exposing an etching object to a magnetic field strength from 0 to 120 Gauss and processing the object with magnetic field-assisted reactive ion etching.
10. The process according to claim 1, which comprises etching the organic antireflection layer with a plasma from a source selected from the group consisting of an electron cyclone resonance plasma source, an inductively coupled plasma, or a Helicon source.
US09/917,549 2000-07-27 2001-07-27 Method of processing organic antireflection layers Abandoned US20020011462A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10037957A DE10037957C1 (en) 2000-07-27 2000-07-27 Process for the anisotropic dry etching of organic anti-reflection layers
DE10037957.5 2000-07-27

Publications (1)

Publication Number Publication Date
US20020011462A1 true US20020011462A1 (en) 2002-01-31

Family

ID=7651255

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/917,549 Abandoned US20020011462A1 (en) 2000-07-27 2001-07-27 Method of processing organic antireflection layers

Country Status (4)

Country Link
US (1) US20020011462A1 (en)
EP (1) EP1176634A3 (en)
DE (1) DE10037957C1 (en)
TW (1) TW555885B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004027853A1 (en) * 2002-09-11 2004-04-01 Infineon Technologies Ag Method for structuring layers on semiconductor components and semiconductor memory
US20040185380A1 (en) * 2002-12-23 2004-09-23 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US20040219797A1 (en) * 2001-12-05 2004-11-04 Masanobu Honda Plasma etching method and plasma etching unit
US7113717B2 (en) 2004-03-30 2006-09-26 Xerox Corporation Closed loop control of nip pressure in a fuser system
US20080317974A1 (en) * 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
US20090238997A1 (en) * 2006-05-30 2009-09-24 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
US20100147794A1 (en) * 2007-02-13 2010-06-17 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US20100263720A1 (en) * 2008-12-15 2010-10-21 Detje Martin Photovoltaic device
US20110042347A1 (en) * 2008-02-01 2011-02-24 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529860A (en) * 1982-08-02 1985-07-16 Motorola, Inc. Plasma etching of organic materials
JPH08153704A (en) * 1994-11-28 1996-06-11 Mitsubishi Electric Corp Manufacturing method for semiconductor device
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219797A1 (en) * 2001-12-05 2004-11-04 Masanobu Honda Plasma etching method and plasma etching unit
US8840753B2 (en) 2001-12-05 2014-09-23 Tokyo Electron Limited Plasma etching unit
US7625494B2 (en) * 2001-12-05 2009-12-01 Tokyo Electron Limited Plasma etching method and plasma etching unit
WO2004027853A1 (en) * 2002-09-11 2004-04-01 Infineon Technologies Ag Method for structuring layers on semiconductor components and semiconductor memory
US20040185380A1 (en) * 2002-12-23 2004-09-23 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7465673B2 (en) * 2002-12-23 2008-12-16 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7113717B2 (en) 2004-03-30 2006-09-26 Xerox Corporation Closed loop control of nip pressure in a fuser system
US20080317974A1 (en) * 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
US8323753B2 (en) 2006-05-30 2012-12-04 Fujifilm Manufacturing Europe B.V. Method for deposition using pulsed atmospheric pressure glow discharge
US20090238997A1 (en) * 2006-05-30 2009-09-24 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US20100147794A1 (en) * 2007-02-13 2010-06-17 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US8338307B2 (en) * 2007-02-13 2012-12-25 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US20110042347A1 (en) * 2008-02-01 2011-02-24 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
US8702999B2 (en) 2008-02-01 2014-04-22 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
US20100263720A1 (en) * 2008-12-15 2010-10-21 Detje Martin Photovoltaic device
US8633053B2 (en) * 2008-12-15 2014-01-21 Qimonda Ag Photovoltaic device

Also Published As

Publication number Publication date
TW555885B (en) 2003-10-01
EP1176634A3 (en) 2006-01-04
EP1176634A2 (en) 2002-01-30
DE10037957C1 (en) 2002-02-28

Similar Documents

Publication Publication Date Title
US4253907A (en) Anisotropic plasma etching
US6326307B1 (en) Plasma pretreatment of photoresist in an oxide etch process
US5707487A (en) Method of manufacturing semiconductor device
US7473377B2 (en) Plasma processing method
US5302240A (en) Method of manufacturing semiconductor device
US5411631A (en) Dry etching method
US4529860A (en) Plasma etching of organic materials
US4444618A (en) Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
JP3155513B2 (en) Anisotropic selective nitride etching method for high aspect ratio features in high density plasma
US5240554A (en) Method of manufacturing semiconductor device
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
WO2004003988A1 (en) Plasma processing method
WO1997045866A1 (en) Mechanism for uniform etching by minimizing effects of etch rate loading
WO1998028785A1 (en) Methods for improving photoresist selectivity and reducing etch rate loading
US6303477B1 (en) Removal of organic anti-reflection coatings in integrated circuits
US20020011462A1 (en) Method of processing organic antireflection layers
US5342481A (en) Dry etching method
JP2000091318A (en) Manufacture of semiconductor device
US6900139B1 (en) Method for photoresist trim endpoint detection
US5674409A (en) Nanolithographic method of forming fine lines
JP4548618B2 (en) Method and etching chamber for reducing photoresist distortion while etching in a plasma processing system
JPH03174724A (en) Method of forming pattern
US4826754A (en) Method for anisotropically hardening a protective coating for integrated circuit manufacture
US6162586A (en) Method for substantially preventing footings in chemically amplified deep ultra violet photoresist layers
JPH0363209B2 (en)

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION