US20010047760A1 - Apparatus and method for multi-zone high-density inductively-coupled plasma generation - Google Patents

Apparatus and method for multi-zone high-density inductively-coupled plasma generation Download PDF

Info

Publication number
US20010047760A1
US20010047760A1 US09/506,279 US50627900A US2001047760A1 US 20010047760 A1 US20010047760 A1 US 20010047760A1 US 50627900 A US50627900 A US 50627900A US 2001047760 A1 US2001047760 A1 US 2001047760A1
Authority
US
United States
Prior art keywords
inductively
coupled
antenna
plasma source
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/506,279
Inventor
Mehrdad Moslehi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/506,279 priority Critical patent/US20010047760A1/en
Publication of US20010047760A1 publication Critical patent/US20010047760A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • This invention relates generally to plasma processing methods and systems, and more particularly to an apparatus and method for high-density inductively-coupled plasma generation for plasma-assisted fabrication processes used for manufacturing of semiconductor, data storage, flat-panel display, photovoltaic, and multi-chip module devices.
  • Plasma processes are used for numerous fabrication steps in various device manufacturing applications such as semiconductor integrated circuit, data storage device (heads and media), and flat-panel display manufacturing.
  • plasma processes also known as plasma-enhanced or plasma-assisted processes
  • PVD physical-vapor deposition
  • PECVD plasma-enhanced chemical-vapor deposition
  • dry etching wafer cleaning (or surface preparation)
  • wafer cleaning or surface preparation
  • plasma-immersion ion implantation also known as plasma doping
  • Conventional or prior art methods of plasma generation employ one or a combination of several techniques.
  • Various plasma generation techniques include parallel-plate capacitive discharge, microwave discharge (including electron cyclotron resonance or ECR plasma), hollow cathode discharge, and inductively-coupled plasma (ICP) sources.
  • ICP inductively-coupled plasma
  • ICP sources have recently received a significant amount of attention due to their superior process performance, throughput rate, and control capabilities.
  • ICP sources can provide high-density (n p values ranging from 1 ⁇ 10 11 cm ⁇ 3 to over 5 ⁇ 10 12 cm ⁇ 3 ) plasmas using fairly simple inductive radio frequency (RF) excitation.
  • RF radio frequency
  • Advanced ICP source designs are capable of producing fairly high plasma densities (corresponding to the plasma electron density or n p ) even larger than 1 ⁇ 10 13 cm ⁇ 3 .
  • the RF source frequency is typically in the range of 1 to 30 MHZ (with a preference for 13.56 MHZ).
  • RF frequencies in the low end of this range result in reduced induced RF voltages across the ICP antenna. This reduces the risk of capacitive coupling as well as sputtering of the inner process chamber and ICP source walls near the ICP antenna. Lower ICP source frequencies, however, result in reduced plasma densities and larger RF matching network components. On the other hand, higher RF frequencies can provide superior plasma densities and can be effectively coupled to the plasma load using more compact RF matching network components. However, precautions must be taken to ensure that no chamber wall sputtering occurs due to the relatively high induced RF voltages that arise across the antenna. Higher induced RF voltages across the ICP source antenna can increase the risk of capacitive coupling and raising the plasma potential.
  • the substrate may be a semiconductor wafer (e.g., silicon), a data storage substrate (AlSiMag or AlTiC), a photovoltaic substrate (e.g., polysilicon or silicon), or a flat-panel display substrate (e.g., glass).
  • a semiconductor wafer e.g., silicon
  • AlSiMag or AlTiC data storage substrate
  • a photovoltaic substrate e.g., polysilicon or silicon
  • a flat-panel display substrate e.g., glass
  • ICP source designs have been proposed in prior art. These include spiral coil antenna designs, helicon wall source designs, and cylindrical coil antenna source designs. However, all the prior art ICP designs share a common constraint or limitation which makes them unable to control or adjust the plasma uniformity profile in real time.
  • the prior art ICP sources are primarily based on single-zone designs and employ single-coil antenna structures with a single RF plasma excitation source.
  • the basic prior art designs mostly employ either a cylindrical or cone-shaped coil around a quartz chamber (such as a quartz bell jar) to generate a large-volume plasma or a planar spiral coil above a dielectric plate (outside the vacuum chamber) to generate a so-called planar plasma.
  • the spiral coil ICP design often uses a flat spiral coil, but provides the options to contour the surface topography of the ICP antenna dielectric housing and/or the antenna coil itself for improved plasma uniformity.
  • the spiral coil design possesses certain technical advantages, but also has serious limitations.
  • the spiral coil design allows placement of the antenna above a vacuum dielectric plate on the atmospheric side or within the vacuum chamber using an epoxy encapsulation.
  • the ICP sources with cylindrical coils around the electrically insulating plasma source or process chamber require an electrically insulating process chamber or plasma source wall material such as quartz tube or aluminum oxide tube used in some source designs such as the helicon plasma sources.
  • These bulk ICP sources can suffer from plasma non-uniformity problems and usually require a multipolar magnetic bucket inserted between the plasma source chamber and the process environment to generate an expanded uniform plasma. This, however, results in reduced processing throughput due to reduced plasma density and ion flux density at the substrate. Moreover, these sources may generate contaminants and particulates due to sputtering of the plasma source chamber wall material near the excitation RF antenna.
  • the ICP coil is usually driven by a 13.56 MHZ RF source.
  • the RF current also induces an RF voltage across the antenna coil.
  • the amount of induced RF voltage must be minimized. This condition places a limit on the maximum allowable excitation coil inductance or the number of coil turns.
  • Plasma uniformity requirements in high-density plasma sources are dictated by both process uniformity requirements and device damage considerations.
  • the plasma nonuniformity must be less than 5% (3-sigma value) to ensure damage-free uniform processing.
  • Many conventional ICP source designs fail to meet these stringent process uniformity requirements for various plasma processing applications.
  • ICP processing method and system of this invention is provided that substantially eliminates or reduces disadvantages and problems associated with previously developed ICP processing methods and systems.
  • the ICP processing method and system of this invention is applicable to manufacturing of semiconductor, data storage, flat-panel display, and photovoltaic devices.
  • a multi-zone high-density inductively-coupled plasma source structure and method of use that includes a first individually controlled inductive coil for producing a first plasma zone from a process gas.
  • a second individually controlled coil is included in the ICP source for producing a second plasma zone from a process gas.
  • more than two individually controlled inductive coils may be used.
  • a separate RF power supply may be used for each ICP coil zone.
  • Each ICP coil zone may be made of either a single coil segment or a set of coil segments interconnected using at least one series capacitor.
  • Another aspect of the present invention is a hermetically-sealed ICP source structure and fabrication method that are applicable to both the multi-zone ICP structure of the present invention as well as the in-situ monitoring sensors; (7) improved manufacturing equipment cleanliness for enhanced device manufacturing yield; (8) increased plasma process throughput; and (9) improved plasma equipment reliability and process repeatability/performance due to improved ICP source integrity.
  • the multi-zone ICP source design is applicable to manufacturing of semiconductor devices, data storage devices, photovoltaic devices, and flat-panel displays. Selection of the number of ICP zones depends on several parameters and considerations including ICP source diameter, substrate size, source design type, maximum total RF power, and plasma process throughput requirements. For most of the practical applications semiconductor processing, the number of the ICP source zones may be two to four, however, greater number of zones may be desirable for different applications such as those for very large-area substrate processing. For instance, a two-zone ICP source (antenna) design may be used to adjust the relative edge-to-center plasma process uniformity in a plasma equipment used for processing of 150-mm or 200-mm semiconductor wafers. An ICP source design with two to five excitation zones may be used for processing of 200-mm and 300-mm silicon wafers.
  • a technical advantage of the present invention therefore, is that it provides multi-zone high-density plasma source structure using at least two individually controlled coil segments for uniform plasma processing.
  • the multi-zone ICP source structure of this invention may be constructed either using the more conventional ICP source fabrication and assembly methods (i.e., at least two cooled or temperature-controlled coils placed either outside the process chamber adjacent to a dielectric vacuum plate or encapsulated in an electrically insulating housing inside the ICP vacuum process chamber), or using a hermetically-sealed antenna structure placed within the vacuum environment.
  • Another technical advantage of the present invention is that is provides a hermetically-sealed ICP source fabrication structure and method that are applicable to both the conventional single-zone ICP structures as well as the multi-zone ICP source structure of this invention.
  • This novel method and structure eliminates the need for elastomer O-ring seals and separate ICP antenna epoxy encapsulation by providing a high-integrity ICP source structure compatible with the ultrahigh vacuum (UHV) base pressure requirement of 5 ⁇ 10 ⁇ 9 Torr or less.
  • UHV ultrahigh vacuum
  • Yet a further technical advantage of the present embodiment is an in-situ sensor view port design which can be used for implementation of some useful plasma state and wafer state sensors such as full-wafer interferometry sensor and a spatially resolved optical emission sensor.
  • the full-wafer-view optical port uses a hermetically sealed optical (e.g., sapphire or quartz) window or plug located at the center of the ICP source. This port enables real-time (or run-by-run) plasma process uniformity control using the multi-zone ICP source design of this invention in conjunction with a process uniformity monitoring sensor (such as a full-wafer interferometry sensor).
  • FIG. 1 shows a cross-sectional view of a first embodiment of the multi-zone ICP source of present invention configured as a two-zone ICP source;
  • FIG. 2 provides a view of the two-zone inductively-coupled plasma antenna portion embedded in the middle ceramic ICP or dielectric plate for the FIG. 1 embodiment (first embodiment);
  • FIG. 3 shows a cross-sectional view of the top ceramic or dielectric plate of the FIG. 1 embodiment (first embodiment);
  • FIG. 4 illustrates the bottom view of the middle dielectric plate indicating the gas dispersion cavities and their associated gas injection inlets for the multi-zone ICP embodiment of FIG. 1 (the first embodiment);
  • FIG. 5 depicts a first cross-sectional view of an alternative or second embodiment of multi-zone ICP structure of the present invention
  • FIG. 6 shows a second cross-sectional view of the FIG. 5 embodiment (second embodiment) of the invention.
  • FIG. 7 provides a cross-sectional view of the middle dielectric plate of the FIG. 5 embodiment (second embodiment);
  • FIG. 8 illustrates the hermetically-sealed coil structure of the FIG. 5 embodiment of the invention or the top view of the middle dielectric plate of the second embodiment
  • FIG. 9 depicts a top facial view of the top vacuum plate of the FIG. 5 embodiment, indicating the feedthroughs for electrical RF, gas injection, and cooling water;
  • FIG. 10 shows a cross-sectional view of a modified version of the second multi-zone ICP embodiment where the distance between the vacuum plate and the ICP housing has been increased in order to enable smaller minimum ICP source to substrate spacing for enhanced ICP process throughput rate;
  • FIG. 11 shows a second cross-sectional view of the modified version of the second ICP embodiment of FIG. 10 mounted on a vacuum process chamber for high-throughput plasma-assisted processing applications;
  • FIG. 12 shows a first cross-sectional view of another (third) embodiment of the invention.
  • FIG. 13 includes a second cross-sectional view of the FIG. 12 embodiment (third embodiment);
  • FIG. 14 provides a cross-sectional view of the middle dielectric plate of the FIG. 12 embodiment (third embodiment);
  • FIG. 15 is a first cross-sectional view of yet another embodiment of the present invention (fourth embodiment).
  • FIG. 16 provides a second cross-sectional view of the FIG. 15 embodiment of the invention (fourth embodiment);
  • FIG. 17 illustrates a top view of the vacuum plate of the FIG. 15 embodiment (fourth embodiment), indicating various feedthroughs for process gas inlets, coolant flow, and electrical connections;
  • FIG. 18 shows a bottom view of the vacuum plate appearing in FIG. 17, indicating the radial low-reluctance magnetic rod positions
  • FIG. 19 depicts a cross-sectional view of the bottom dielectric plate for the FIG. 15 embodiment of the invention (fourth embodiment);
  • FIG. 20 is a top view of the bottom dielectric plate of FIG. 19;
  • FIG. 21 provides a bottom facial view of the top dielectric plate of the FIG. 15 embodiment of the present invention (fourth embodiment);
  • FIG. 22 shows the overall schematic view of a vacuum process chamber using the multi-zone ICP source structures of this invention (example shows a three-zone ICP configuration);
  • FIG. 23 illustrates the schematic diagram of a two-manifold gas distribution arrangement for the ICP source of FIG. 17 where the input gases are partitioned into two groups;
  • FIG. 24A shows a schematic electrical wiring diagram of a three-zone ICP configuration for the multi-zone ICP source of FIG. 17;
  • FIG. 24B shows a schematic electrical wiring diagram of a two-zone ICP configuration for the multi-zone ICP source of FIG. 17;
  • FIG. 25A shows a schematic electrical wiring diagram of a three-zone ICP configuration for a single RF power supply based on an adjustable capacitor array
  • FIG. 25B shows a schematic electrical wiring diagram of a three-zone ICP configuration for a single RF power supply based on an adjustable air-core transformer.
  • FIGS. 1 through 4 describe is a hermetically-sealed multi-zone (HMZ) ICP source for various high-density plasma applications, including plasma etch, PECVD, surface cleaning, plasma hydrogenation, and other plasma-assisted, fabrication processes.
  • HMZ hermetically-sealed multi-zone
  • the concepts of the present invention apply not only to a two-zone ICP source, but also to a multi-zone source with any number of ICP excitation zones (2, 3, 4, and more).
  • the preferred embodiment of this invention is for implementation of the HMZ ICP source inside the ICP vacuum process chamber in order to eliminate the need for a dielectric vacuum window and to enable implementation of an all-metal-seal ICP source and process chamber for improved vacuum integrity by reducing the chamber vacuum base pressure. It is, however, understood that the HMZ ICP source of this invention can also be implemented outside the vacuum chamber using a dielectric vacuum window for separating the source from the process chamber.
  • the HMZ ICP source of FIGS. 1 through 4 provides a design in conjunction with a six-zone showerhead gas injector.
  • the HMZ ICP source is also compatible with the use of either a single-zone showerhead or a multi-zone showerhead with any number of independently controlled showerhead zones (e.g., from one to ten zones). Some applications such as surface cleaning may require only a single-zone showerhead while other applications such as PECVD may benefit from using multiple showerhead zones for improved process uniformity control via multi-zone gas flow adjustment.
  • the multi-zone showerhead feature allows radial control of the process gas mass transport profile which is particularly useful for uniform PECVD applications.
  • the source can be used for injection of multiple gases without premixing the gases.
  • mixing of multiple gases occurs in the plasma process environment and not inside the delivery gas lines or inside the ICP source.
  • Separation of multiple process gases can eliminate the possibility of gas phase nucleation and particulate generation inside the showerhead.
  • the process gas system may comprise SiH 4 and N 2 O.
  • these gases can be separated and injected as a binary system into alternating adjacent showerhead zones.
  • FIG. 1 shows HMZ ICP source 100 that includes water-cooled or temperature-controlled metallic top vacuum plate 102 , which may be formed of stainless steel or aluminum, that adjoins top dielectric plate 104 .
  • Top dielectric plate 104 is hermetically sealed or bonded to middle dielectric plate 106 which itself hermetically bonds to showerhead injector plate 108 .
  • Optical plug (or window) 110 passes through metallic top plate 102 , top dielectric plate 104 , middle dielectric plate 106 , and showerhead injector plate 108 , and provides an optical viewport to the entire wafer surface throughout the fabrication process.
  • the optical viewport can also be used for monitoring of the plasma process parameters using a suitable optical sensor, such as spatially resolved plasma emission sensor.
  • Metallic top plate 102 includes numerous feedthroughs or penetrations, some of which are for coolant (e.g., water) flow purposes, some are for ICP gas injections, and the remaining ones are for electrical RF feedthroughs.
  • the first set of showerhead gas inlets 112 , 114 , and 116 pass through metallic top plate 102 , are bonded to the holes within the top dielectric plate 104 , and feed into the holes within the middle dielectric plate 106 in order to inject the process gases into respective showerhead zone dispersion cavities 118 , 120 , and 122 .
  • showerhead zone cavities 118 , 120 , and 122 direct process gases to the rings of injection holes 124 , 126 , and 128 of showerhead injector plate 108 .
  • showerhead inlets 130 , 132 , and 134 also pass through metallic top plate 102 , are bonded to holes within the top dielectric plate 104 , and feed into the holes within the middle dielectric plate 106 in order to inject the process gases into respective showerhead zone dispersion cavities 136 , 138 , and 140 .
  • plasma process gases pass through and out of several rings of gas injection holes 142 , 144 , and 146 of showerhead injector plate 108 .
  • RF feedthrough 150 for example, connects through RF feedthrough connection 154 , passes through channel 156 of metallic top plate 102 , continues through top dielectric plate 104 , and continues into cooling water channel 160 of middle dielectric plate 106 until it contacts ICP antenna RF coil segment 173 (which is part of a two-zone HMZ ICP source 100 arrangement).
  • Hermetically-sealed ICP source 100 includes two zones of cooling (such as water cooling) to remove heat generated by the ohmic power losses due to RF power delivery and ICP plasma generation process.
  • the first zone includes inlet channel 162 that passes through metallic top plate 102 and is bonded to the top dielectric plate 104 for providing cooling water to cooling water channel 164 of middle dielectric plate 106 .
  • Middle dielectric plate 106 provides internally a cooling path by which water may enter, for example, cooling channel 164 , pass through to cooling channels 160 and ultimately enter cooling channel 166 . From cooling channel 166 , cooling water exits from the ICP source through outlet 168 .
  • Outlet 168 receives the cooling water from the zone 1 cooling channels and permits continuous flow of cooling water from cooling water inlet 162 through cooling channels 164 , 160 , and 166 with a continuous heat removal action.
  • zone- 1 RF contact 170 provides a path by which an RF current may flow through each of plated (or molded or inserted) coil segments 172 , 173 , and 174 of zone- 1 .
  • cooling channel feedthroughs for receiving cooling water including cooling channel 176 connected to zone- 1 inlet channel 162 (via an in-line insulating ceramic tube insert), and cooling channel 178 connected to zone- 1 outlet channel 168 (via an in-line insulating ceramic tube insert for electrical isolation).
  • Cooling water inlet 180 connects to in-line insulating ceramic tube insert 182 for providing cooling water to cooling channel 184 of zone- 2 of hermetically-sealed ICP source 100 and its surrounding region.
  • coolant passes to cooling water channel 188 for cooling coil segment 190 and its surrounding region.
  • cooling water flows in middle dielectric plate 106 to cooling channel 192 for cooling coil segment 194 of zone- 2 of the hermetically-sealed ICP source and its surrounding region.
  • the cooling water subsequently flows out of the zone- 2 coils via coolant outline 197 .
  • cooling water channels 164 , 160 , 166 , 184 , 188 , and 192 have been shown with progressively greater depths.
  • This configuration enables vertical contouring of respective coil segments 174 , 173 , 172 , 186 , 190 , and 194 .
  • This ICP antenna contouring (convex or concave contouring) provide an additional design parameter to optimize the ICP uniformity performance.
  • showerhead 108 is made of an electrically insulating and thermally conductive material, preferably formed of a ceramic material such as aluminum nitride (AlN), aluminum oxide (Al 2 O 3 ), or boron nitride (BN).
  • the top and middle dielectric plates 104 and 106 should be made of a suitable electrically insulating and thermally conducting material such as AlN, Al 2 O 3 , or BN.
  • the contacting interfaces between the plates of hermetically-sealed ICP source 100 are hermetically bonded or fused (preferably using a thermal bonding process) or otherwise connected (such as with a high-temperature cured UHV-compatible epoxy) in order to establish a sealed ICP antenna encapsulation housing for ultraclean plasma processing.
  • metallic top vacuum plate 102 and top dielectric plate 104 are fused or hermetically bonded together using a thermal bonding (e.g. with indium) or epoxy bonding process.
  • Top dielectric plate 104 and middle dielectric plate 106 are also bonded together at their contact interface junctions.
  • middle dielectric plate 106 and showerhead injector plate 108 are also bonded together at their contact junctions. All four plates (metallic plate 102 and ceramic plates 104 , 106 , and 108 ) can be bonded together using a single thermal bonding process using indium or another suitable bonding or brazing material.
  • Optical plug 110 provides a viewpoint for probing or viewing the plasma process environment.
  • view port 198 On top of optical plug 110 is view port 198 which is connected or sealed (using a metal or wire seal) to metallic top plate 102 through hermetically sealed flange 200 .
  • the hermetically-sealed ICP source employs a water-cooled (or gas-cooled) metallic (stainless steel) top vacuum plate 102 to support the ICP source inside the plasma process chamber and to enable establishing a UHV base pressure of less than 1 ⁇ 10 ⁇ 8 Torr.
  • Metallic top plate 102 also provides all the necessary feedthroughs (RF, gas inlets, and cooling water inlets/outlets for the ICP coil channels), and provides a vacuum seal (e.g., using a metal seal) to the ICP process chamber.
  • the metallic top vacuum plate 102 cooling may be performed either indirectly using the ICP source coolant (water) flowing through the coil channels in the ceramic housing, or directly using separate embedded cooling channels inside the metallic vacuum top plate 102 itself.
  • Hermetically-sealed ICP source 100 of the FIG. 1 embodiment therefore, consists of two RF power sources (for a 2-zone ICP arrangement), 12 electrical RF feedthroughs for 6 coil segments and six pairs of electrical contacts, four coolant feedthroughs (including two inlets and two outlets), and six process gas inlets (assuming six injection zones).
  • the number of external coolant feedthroughs can be reduced to two by connecting the zone- 1 and zone- 2 water channels in series within the ICP ceramic housing middle dielectric plate.
  • FIG. 2 shows a top view of the middle dielectric or ceramic insulator plate comprising the two-zone antenna configuration for ICP antenna 202 of the present embodiment.
  • Multi-zone ICP antenna 202 is fabricated within middle dielectric plate 106 of hermetically-sealed ICP source 100 .
  • Middle dielectric plate 106 is made of a thermally conductive and electrically insulating material such as AlN, Al 2 O 3 , or BN.
  • Formed in ICP source middle dielectric plate 106 are two RF antenna zones for ICP generation that are conceptually differentiated by dash line 206 .
  • Zone- 1 or outer zone antenna 208 begins with outer zone- 1 RF contact 211 that connects to plated (or a combination of sputtered and plated, or inserted broken ring) coil segment 172 that was originally introduced in FIG. 1.
  • the coil segment 172 is formed in a circular path as a broken ring and continues to RF terminal contact 212 .
  • the coolant groove 164 over outer zone coil segment 172 is connected in series to the adjacent outer zone coils segment 173 coolant channel 160 via jumper water channel 214 of middle dielectric plate 106 .
  • RF terminal contact 150 Connecting to unplated (electrically insulating) jumper water channel 214 is RF terminal contact 150 (FIG. 1) which also connects to ICP coil segment 173 .
  • ICP coil segment 173 is also formed as a broken ring and takes a circular path around middle dielectric plate 106 to connect to RF terminal contact 216 .
  • the coolant groove 160 of the outer zone segment 173 is connected in series to the coolant groove channel 166 of the outer zone segment 174 via unplated or conductor-free coolant channel 218 between the RF contacts 216 and 220 .
  • the RF terminal contact 220 connects to outer zone plated (or conductor covered) coil segment 174 that takes a broken ring circular path to outer zone RF contact 170 .
  • the inner zone or zone- 2 antenna 210 begins at RF contact 222 which connects to inner zone coil segment 186 .
  • Coil segment 186 runs in a circular pattern as a broken ring and connects to RF terminal contacts 222 and 224 .
  • the coolant groove channel 184 of the inner zone coil segment 186 connects to the inner zone adjacent coil segment coolant groove channel 188 via unplated (conductor free or electrically insulating) coolant channel jumper 226 .
  • the inner zone coil segment 190 runs as a broken ring (using plated or inserted conductor) underneath coolant groove channel 188 between RF contacts 227 and 228 .
  • the coolant groove channel 188 of the inner zone coil segment 190 connects to the inner zone coolant groove channel 192 of the coil segment 194 via unplated (conductor free or electrically insulating) coolant channel 230 .
  • the RF contacts 224 and 227 are externally connected together via an RF capacitor.
  • the RF contacts 228 and 232 are linked together via another external RF capacitor.
  • These external capacitor connections (on the atmospheric side of the ICP source) create a 3-turn inner zone coil with two series capacitors for reduced induced voltage.
  • the inner zone RF power supply contacts are the RF contacts 222 and 234 .
  • the RF contact 234 connects to coil segment 194 which surrounds optical viewport plug 110 as a broken ring and connects to inner zone RF contact 232 .
  • zone- 2 antenna portion 208 may operate independently of zone- 1 antenna 210 using two separate RF power supplies.
  • the same design may be arranged with a different external wiring configuration in order to establish, for instance, a three-zone ICP source with two coil turns in each zone.
  • a three-zone ICP configuration or another design with more zones is well within the scope of the present invention, the remainder of this description focuses on a two-zone ICP source design configuration.
  • FIGS. 1 through 4 is electrically configurable externally without any hardware design modifications within the ICP source structure.
  • FIG. 2 shows, six pairs of electrical RF contacts are made to the six coil turns using ultrahigh vacuum (UHV) compatible electrical RF feedthroughs in metallic top plate 102 and spring-loaded (or soldered) electrical wires attached to the coil segments.
  • UHV ultrahigh vacuum
  • These 12 electrical contacts connect to the multi-zone segment terminals 211 (Z 11 ), 212 (Z 12 ), 150 (Z 13 ), 216 (Z 14 ), 220 (Z 15 ), 170 (Z 16 ), 222 (Z 21 ), 224 (Z 22 ), 227 , (Z 23 ), 228 (Z 24 ), 232 (Z 25 ), and 234 (Z 26 ).
  • UHV ultrahigh vacuum
  • the electrical RF terminal contacts 211 (Z 11 ) and 170 (Z 16 ) connect to the first zone RF (e.g., 13.56 MHZ) power supply.
  • External capacitor terminal contacts 212 (Z 12 ) and 150 (Z 13 ) connect via an external RF capacitor.
  • External capacitor terminal contacts 216 (Z 14 ) and 170 (Z 15 ) connect via a second RF capacitor.
  • ICP zone- 2 antenna 210 is configured by connecting the electrical RF terminal contacts 222 (Z 21 ) and 234 (Z 26 ) to the second RF power supply.
  • One external RF capacitor is used to connect RF terminal contacts 224 (Z 22 ) and 227 (Z 23 ) while another RF capacitor links RF terminal contacts 228 (Z 24 ) and 232 (Z 25 ) together.
  • a phase shifter/controller may be used between the two ICP RF power supplies in order to control the phase angle between the two power sources.
  • another phase shifter may be used to control the phase angle between any of the ICP RF sources and the substrate bias RF power supply.
  • FIG. 3 describes in more detail the construction of top dielectric plate 104 including the bonded ICP feedthroughs.
  • Top dielectric plate 104 may be formed of a thermally conductive and electrically insulating ceramic material such as aluminum nitride (AlN), boron nitride, or even aluminum oxide.
  • Top dielectric plate 104 has, in the present embodiment, several bonded feedthroughs for two antenna zones and six showerhead zones.
  • ICP antenna zone- 1 electrical feedthrough 150 connects to one of the RF contacts in zone- 1 RF antenna 208 of FIG. 2. There are six electrical RF feedthroughs for the ICP zone- 1 segments and another six electrical RF feedthroughs for the ICP zone- 2 segments.
  • ICP antenna zone- 2 electrical feedthrough 152 connects to one of the RF contacts in zone- 2 RF antenna 210 of FIG. 2.
  • Top dielectric plate 104 also includes the necessary feedthrough inlets 112 , 114 , 116 , 130 , 132 , and 134 for gas flow connections to the showerhead injector dispersion cavities (six showerhead zones are shown). Similarly, top dielectric plate 104 permits flow of cooling water through bonded tubes for zone- 1 coolant inlet 162 and zone- 1 coolant outlet 168 .
  • Top dielectric plate 104 also includes two bonded tubes for zone- 2 coolant inlet 180 and zone- 2 coolant outlet 197 .
  • all the bonded feedthroughs are flushed against the bottom surface of the top dielectric plate 104 (or they can be bonded to the dielectric holes by partial feeding the tubes into a fraction of the dielectric plate thickness).
  • FIG. 3 therefore, illustrates top dielectric plate 104 with all the bonded tubings (for gas injection and water cooling) and electrical RF connectors.
  • multiple gas injection tubes are used whereas for an ICP source with a single-zone showerhead, a single gas injection tube can be used.
  • the FIG. 3 design also shows four water cooling tubes 162 , 168 , 180 , and 197 . If desired, the number of water cooling feedthrough tubes can be reduced to two by interconnecting the ICP antenna cooling channels in series internally by added coolant groove segments (w/o metallization or conductor jumpers/links) between the broken rings in the middle dielectric plate containing the ICP antenna grooves containing the conductor grooves.
  • FIG. 4 illustrates a facial bottom surface view of the middle dielectric plate 106 of the present embodiment.
  • This dielectric plate surrounds optical plug 110 and may be formed of a thermally conductive and electrically insulating ceramic material such as aluminum nitride (AlN), boron nitride (BN), or even aluminum oxide (Al 2 O 3 ).
  • ceramic showerhead 108 includes six gas injection zones, each corresponding to one of the gas dispersion cavities embedded in the middle dielectric plate 108 and shown in FIG. 4. These gas dispersion cavities are shallow circular grooves formed in the middle dielectric plate 106 and connected to the gas injection inlets.
  • inner gas dispersion cavity ring 140 receives process gas via gas injection inlet 134 and uniformly directs the process gas to the inner zone of showerhead 108 injection holes 146 .
  • Second gas dispersion cavity 138 receives process gas via gas injection inlet 132 and uniformly distributes the process gas to the second zone of showerhead injection holes 144 .
  • Third gas dispersion cavity 136 receives process gas from gas injection inlet 130 and distributes the process gas to the third zone of showerhead injection holes 142 .
  • Fourth gas dispersion cavity 122 receives process gas through inlet 116 and guides the process gas to the fourth zone of showerhead injection holes 128 .
  • Fifth gas dispersion groove 120 receives process gas from inlet 114 and distributes the process gas to the fifth zone of showerhead injection holes 126 .
  • Sixth gas dispersion cavity 118 receives process gas from inlet 112 and directs the process gas to the outer zone of showerhead injection holes 124 .
  • the vacuum, water, and process gas seals for hermetically-sealed ICP source 100 may be established either using bonded junctions that are formed using a thermal bonding process or, alternatively, elastomer O-ring seals.
  • Thermal bonding using a suitable low melting point metal or metal alloy is a preferred method for establishing hermetic seals in ICP source 100 since a bonded structure eliminates the possibilities of process contamination, base pressure degradation, and outgassing problems associated with elastomer O-ring seals.
  • Indium, indium alloys, or other suitable bonding materials may be used for formation of the bonded ICP structure.
  • An alternative to metal-based thermal bonding is hermetic bonding using a thermally cured epoxy material.
  • ICP source 100 housing comprises a stack of three electrically insulating disks.
  • these disks may be made of a ceramic material, preferably a thermally conductive ceramic material such as aluminum nitride (AlN), boron nitride (BN), alumina (Al 2 O 3 ), or even a plasma-resistant polymer based material, such as Vespel.
  • AlN aluminum nitride
  • BN boron nitride
  • Al 2 O 3 alumina
  • Vespel plasma-resistant polymer based material
  • ceramic materials work well for the ICP source 100 structure when assembled using a thermal bonding process. This is due to the fact that the thermal bonding processes using various bonding materials may require bonding temperatures in the range of 200° C. to 600° C.
  • a bonding temperature of ⁇ 300° C. can be used using tin (Sn) or indium (In) or their alloys as the metallic bonding material.
  • the epoxy bonding processes usually employ thermal cure temperatures ⁇
  • optical viewport 198 of ICP source 100 is optional and this viewpoint may be positioned at the center of hermetically-sealed ICP source 100 .
  • Optical plug or light pipe 110 may be made of a suitable optically transmissive light pipe material such as quartz or sapphire for implementation of a real-time in situ sensor.
  • Viewport 198 provides a suitable wafer view for integration of a full-wafer interferometry sensor for real-time rate and process uniformity control. This viewport can also be used for integration of other types of plasma sensors such as a single-wavelength or spectral plasma emission sensor.
  • the ICP source design concepts of this invention are applicable to both planar and contoured ICP coil designs.
  • metallic top plate 102 may have a diameter of 14′′ to 20′′.
  • the vacuum plate diameter could be as much as 20′′ as is the case of the Universal Plasma Module (UPM) manufactured by CVC Products of Rochester, New York, which has an internal chamber diameter of 18′′.
  • Metallic top plate 102 may have thickness in the range of 1 ⁇ 4′′ to 1′′ to provide sufficient mechanical strength for use on a vacuum process chamber.
  • Top dielectric plate 104 , middle dielectric plate 106 , and showerhead 108 form a stack of three bonded ceramic (AlN, Al 2 O 3 or BN) or polymer-based (e.g., Vespel) disks as the main ICP antenna housing of the ICP source 100 .
  • the showerhead plate 108 is a relatively thin plate (e.g., a thickness of 1 ⁇ 8′′ to 1 ⁇ 4′′) with an array of rings of circular holes (0.5 mm to 1 mm diameter holes) forming a six-zone showerhead configuration.
  • the central opening 109 of showerhead 108 preferably has a diameter in the range of 0.50′′ to 1.5′′ to receive viewport optical plug 110 .
  • showerhead 108 is thermally bonded (or connected using an elastomer O-ring seal) to the middle dielectric plate 106 which contains the ICP RF antenna coil segments and cooling water channels.
  • the ICP source embodiment depicted in FIGS. 1 through 4 shows that only the bottom surface of the ICP antenna grooves or the coolant channels contain conductor channels to form the ICP coil segments.
  • a metallization process e.g., electrochemical plating or a combination of sputtering and electroplating depositions
  • the conductor channels may be prefabricated from a suitable material such as aluminum or copper and subsequently inserted in the designated antenna channels.
  • FIG. 5 shows a first cross-sectioned view of an alternative or second embodiment of the present invention as ICP source 300 .
  • This alternative embodiment demonstrated in FIGS. 5 through 9 shows a planar hermetically-sealed multi-zone (HMZ) ICP source design without any contouring of the ICP antenna or the dielectric housing.
  • HMZ ICP source 300 includes metallic (e.g., stainless steel) vacuum plate 302 that attaches to top dielectric plate 304 and provides vacuum seal to plasma process chamber (not shown).
  • Top dielectric plate 304 contacts vacuum plate 302 either using hermetic bonded junctions or elastomer O-ring seals ( 301 and 303 ).
  • Top dielectric plate 304 attaches to middle dielectric plate 306 via junctions 305 and 307 which are formed either using hermetic bonding or O-ring seals. Moreover, middle dielectric plate 304 is sealed against showerhead plate 308 using bonded junctions 309 or a single outer O-ring seal.
  • Optical viewport 310 includes optical plug 110 or optical window 198 which is hermetically sealed to flange 200 which is secured to vacuum plate 302 using a metal or wire seal.
  • vacuum plate 302 includes numerous embedded coolant channels to prevent heating of the plate 302 by the ICP RF antenna.
  • Multi-zone process gas injection inlets 314 pass through feedthrough holes 312 in vacuum plate 302 , pass through top dielectric plate 304 , and continue to middle dielectric plate 306 to provide process gas to showerhead zone dispersion cavities 316 .
  • showerhead zone dispersion cavities 316 receive process gases from the showerhead inlets 314 and provide uniform gas distribution to showerhead injection hole, 318 .
  • the lower dielectric plate 306 also includes the ICP source antenna segments 328 (eight separate segments shown).
  • the ICP source antenna segments 328 are formed by filling the grooves formed on the top surface of the middle dielectric plat using a plating, evaporation, sputtering, or a casting/molding process.
  • An alternative method is to prefabricate the ICP source antenna segments from a suitable material such as aluminum or copper and subsequently insert them in the middle plate antenna cavities.
  • the ICP antenna segments 328 may also be made of other metallic materials such as refractory metals.
  • the top dielectric plate 304 contains coolant grooves 320 formed over the ICP antenna coil segments.
  • the ICP structure of FIG. 5 consists of a stack of four plates (one metal and three dielectric plates) which are connected together either using an O-ring-free hermetic bonding process (using indium or another material as a bonding agent or using thermal epoxy bonding) or using elastomer O-ring seals.
  • the dielectric plates may be made of a suitable ceramic (AlN, BN, or Al 2 O 3 ) or polymer (e.g., Vespel) material.
  • the gas injection inlets 314 (made of stainless steel tubes) are externally connected to one or more gas manifolds for single-zone or multi-zone showerhead operation.
  • FIG. 6 shows a different cross-sectional view of multi-zone ICP source 300 of FIG. 5 along a cross-sectional plane which is perpendicular to that of FIG. 5.
  • FIG. 6 shows additionally, however, cooling water (or any coolant) inlet 321 for directing cooling water to the cooling water channels 320 which are connected in series by coolant jumpers within top dielectric plate 304 to cooling water outlet 322 .
  • the present embodiment uses several bonded junctions 324 using indium or another suitable bonding material.
  • FIG. 6 also shows spring-loaded or soldered electrical feedthrough connectors 313 for various ICP antenna coil segments. For instance, sixteen electrical connectors are used for the ICP source structure with eight (antenna) coil segments.
  • FIG. 7 shows one embodiment of middle dielectric plate 306 that appears in the FIG. 5 embodiment.
  • middle dielectric plate 306 is made of a suitable thermally conductive and electrically insulating substrate material which includes passageway 326 for receiving optical plug 110 of optical viewport 198 (or for providing an optical view of the plasma chamber and/or wafer surface) for sensor-based process monitoring and control applications.
  • numerous aluminum-filled or copper-filled (or in general electrical conductor filled) grooves or trenches appear for receiving radio frequency electrical power and serving as multi-zone ICP antenna portions 328 .
  • multiple showerhead zone dispersion cavities 316 are also within middle dielectric plate 306 .
  • Middle dielectric plate 306 may be made of a thermally-conducting, electrically-insulating ceramic disk such as a 12′′ diameter disk of aluminum nitride, aluminum oxide, or boron nitride.
  • conductor-filled (such as aluminum-filled or copper-filled) trenches 328 take the form of eight planarized coil turns in the shape of broken rings.
  • the hermetically-sealed multi-zone ICP antenna segments become an integral part of the middle dielectric plate 306 .
  • These antenna segments may be separately fabricated and subsequently inserted in the middle dielectric plate trenches 328 prior to hermetic sealing or bonding of the multi-zone ICP structure.
  • the showerhead zone dispersion cavities 316 form continuous concentric rings around optical plug hole 326 on the bottom surface of the middle dielectric plate 306 .
  • Plate bonding junctions 324 can be formed within hermetic sealing trenches and form continuous concentric rings between the adjacent gas dispersion cavities on the bottom surface and multi-zone ICP antenna segments on the top surface.
  • the bonding material may be, for example, aluminum, tin, aluminum silicon, indium, or other compatible materials such as brazing materials or thermally conductive epoxy materials.
  • FIG. 8 shows a facial top surface view of middle ceramic plate 306 to illustrate the hermetically sealed antenna structure of the embodiment of FIG. 5.
  • top planarized surface 330 includes, in the FIG. 8 embodiment, nine concentric and continuous rings of hermetic bonding joints 324 for forming a hermetic bond between middle dielectric plate 306 and top dielectric plate 304 .
  • Each hermetic bonding joint 324 preferably includes a circular shallow groove or trench filled with a suitable bonding material such as indium.
  • eight (or any other desired number of) inductive coil segments 328 are employed which are in the form of concentric broken rings separated from one another by hermetic sealing trenches 324 .
  • Multi-zone coil segments 328 fill the designated substrate grooves and may be formed of aluminum or another electrically conductive material such as copper.
  • each segment cross sectional area may be approximately 0.25 inches to 0.5 inches wide with a thickness of 0.001 inches to 0.120 inches.
  • Each concentric broken ring 328 includes two electrical contact terminals 332 and 336 for connecting to an external radio frequency power source or for external interconnection of the antenna coil segments to each other via RF capacitors.
  • middle ceramic plate 306 has a 12-inch diameter with a 0.25 inch thickness for eight-inch wafer processing.
  • the plate may be made of aluminum nitride (AlN), boron nitride (BN), aluminum oxide (Al 2 O 3 ), or another suitable material.
  • FIG. 9 illustrates a top facial view of metallic vacuum plate 302 for the FIG. 5 embodiment.
  • Top surface 340 of metallic top plate 302 shows feedthrough channels 312 that permit passage of multi-zone showerhead process gas inlets 314 .
  • the designations of showerhead inlets appearing in FIG. 9 are as follows.
  • SH- 81 and SH- 82 are two half-zone showerhead gas inlets for the outermost injection zone holes 318 for showerhead injector plate 308 of FIG. 5.
  • Each successive designation SH- 71 and SH- 72 , SH- 61 and SH- 62 , SH- 51 and SH- 52 , etc., down to SH- 11 and SH- 12 indicate half-zone pairs of showerhead inlets for the associated showerhead injection zone grooves 318 down to the innermost showerhead injection zone holes 318 closest to the opening for optical plug 110 .
  • the gas injection inlets (SH- 11 /SH- 12 to SH- 81 /SH- 82 ) can be externally configured using gas manifolds such that the multi-zone ICP source showerhead may operate as an n-zone showerhead with “n” selectable between 1 and 8.
  • ICP source coolant inlet 322 provides coolant (e.g., cooling water) flow to coolant channels 320 of top dielectric plate 304 .
  • Coolant inlet 346 and coolant outlet 348 provide a coolant flow for cooling channels embedded within metallic vacuum plate 302 .
  • metallic top plate 302 also includes on top surface 340 external RF capacitor components associated with partitioned ICP source zones 350 , 352 and 354 (example shown for a three-zone ICP source arrangement). These external RF capacitors include RF capacitors 356 through 364 .
  • three radio frequency circuits including RF power supply RF 1 , RF power supply RF 2 , and RF power supply RF 3 provide RF electrical power signals to the multi-zone ICP source coils via the external capacitor circuitry.
  • RF power supply RF 1 connects to the outer ICP zone via external RF capacitor circuit 350 .
  • RF power supply RF 2 connects to the middle ICP zone via external power RF capacitor circuit 352 .
  • external RF capacitor circuit 354 delivers power from RF power supply RF 3 to the inner ICP zone.
  • FIGS. 5 through 9 demonstrate an alternative embodiment for hermetically-sealed multi-zone ICP source 300 that has many features similar to the design described earlier.
  • the alternative design of FIGS. 5 through 9, however, is a completely planar hermetically-sealed multi-zone ICP source without any contouring of the ICP coil segments or the dielectric housing.
  • middle dielectric plate 306 which may be made of one of several dielectric materials such as alumina or aluminum nitride, contains fully metallized grooves, forming the bonded multi-zone coil structure shown in FIG. 8.
  • the FIG. 8 embodiment shows eight coil segments, although any number of segments (e.g., two to ten) may be used in the design.
  • the middle dielectric plate 306 also includes bottom gas grooves 316 for gas injection. Bottom gas grooves 316 can be formed as continuous rings which connect to the bonded gas injection tubes coming from the metallic top plate 302 feedthroughs.
  • hermetically-sealed multi-zone ICP source 300 with its eight coil segments employs an eight-zone showerhead 308 with sixteen gas inlets 314 .
  • Each showerhead 308 zone has two gas injection inlets 314 (e.g., SH- 11 and SH- 12 inlets connected to the showerhead zone 1 ) for enhanced process uniformity.
  • Each showerhead 308 zone may, however, use only one gas inlet 314 as long as the showerhead 308 gas grooves or gas dispersion cavities 316 provide sufficient gas flow conductance for axisymmetric gas injection through the injector plate holes 318 .
  • a single-zone showerhead e.g., when ICP is used for low-pressure surface preparation/cleaning is applications, only a single gas inlet is required.
  • a multi-zone gas inlet configuration provides the flexibility to configure the gas injection as single-zone or multi-zone injection by appropriate external plumbing and gas manifolding.
  • top dielectric plate 304 also contains all the ICP antenna electrical feedthroughs as well as the bonded gas injection inlets and water cooling inlet/outlet tubes. If the entire multi-zone ICP source 300 structure is hermetically bonded to the stainless steel vacuum plate and if the ICP dielectric housing plates (three plates) are made of a relatively high thermal conductivity material such as aluminum nitride (AlN) or boron nitride (BN), the cooling channels and the associated cooling feedthroughs may be eliminated from the top dielectric plate. This is possible because the ICP housing can be effectively cooled using the water-cooled stainless steel vacuum plate.
  • AlN aluminum nitride
  • BN boron nitride
  • the configuration shown in FIG. 9 indicates a three-zone ICP arrangement with three individually controlled RF power supplies.
  • the external RF capacitors 356 through 364 reduce the induced reactive voltage, resulting in negligible capacitive coupling and sputtering of the chamber and ICP source materials.
  • the eight-segment ICP source has been externally partitioned and configured as a three-zone plasma source.
  • the outer three coil segments in conjunction with two external RF capacitors 356 and 358 form the outer ICP zone 350 (using zone- 1 power supply RF 1 ).
  • the middle three coil segments have been grouped together in conjunction with another pair of external RF capacitors 360 and 362 to form the middle or second ICP source zone 352 and are powered by RF power supply RF 2 .
  • the inner two coil segments are connected in series via external RF capacitor 364 and form the inner or third ICP zone 354 .
  • the inner zone is powered by the third RF power supply (RF 3 ).
  • RF 3 third RF power supply
  • These three RF (e.g., 13.56 MHZ) power supplies may also use phase shifters/controllers for enhanced and repeatable process control.
  • Other external wiring arrangements and multi-zone partitioning(two-zone, four-zone, etc.) are also possible using the same ICP source design.
  • FIG. 10 shows a cross-sectional view of a modified version of the second multi-zone ICP embodiment (shown in FIGS. 5 through 9) where the multi-zone ICP source housing has been lowered with respect to the process chamber vacuum lid or flange by increasing the spacing between the vacuum plate and the ICP housing (by inserting a welded cylindrical metallic extension part between the ICP housing and the vacuum chamber lid).
  • This modified configuration provides a smaller minimum ICP source to substrate spacing for enhanced ICP process throughput rate, particularly in plasma process camber designs where the up/down travel range for the substrate chuck is restricted.
  • FIG. 11 shows a second cross-sectional view of the modified version of the second multi-zone ICP source embodiment of FIG. 10 mounted on a vacuum process chamber for high-throughput plasma-assisted processing applications. As shown in FIG. 11, the recessed ICP housing allows reduced ICP source to substrate spacing for enhanced process throughput.
  • FIGS. 12 through 14 show yet another alternative hermetically sealed multi-zone ICP source 400 embodiment of the present invention.
  • This preferred design is essentially similar to the previous design shown of FIGS. 5 through 9 except for two main differences.
  • FIG. 12 shows alternative hermetically-sealed multi-zone ICP source 400 embodiment in cross sectional view 400 including metallic top plate 402 that attaches to top dielectric plate 404 , preferably using a thermal bonding or brazing process.
  • Top dielectric plate 404 attaches to middle dielectric plate 406 .
  • Middle dielectric plate 406 bonds to gas injector plate 408 .
  • Top vacuum plate 402 includes multiple channels 412 for receiving gas injection inlets 414 .
  • Gas injection inlets 414 pass through top dielectric plate 404 , are bonded to the top dielectric plate 404 , and enter middle dielectric plate 406 where they join showerhead zone cavities 416 .
  • showerhead zone cavities 416 provide process gases to showerhead injection holes 418 .
  • Passing through alternative ICP source 400 is optical plug 110 (or an optical viewport) that hermetic metal seal flange 420 connects to top vacuum plate 402 .
  • Middle ceramic plate 406 includes distributed cooling water channels 422 that support coil segments 424 on their sidewalls. These coil segments may be formed by plating of the coolant channels.
  • FIG. 13 shows an alternative cross section of ICP source 400 of FIG. 12.
  • coolant inlet and outlet 426 allow coolant flow through the ICP source housing 400 via the coolant channels 422 formed in the middle dielectric plate 406 .
  • electrical contact leads 428 allow electrical connections of the multi-zone ICP source cool segments 424 to the external capacitors and RF power supply.
  • FIG. 14 illustrates in more detail the structure of middle dielectric plate 406 of the present embodiment.
  • RF coil segments 424 line cooling water channels 422 . This eliminates the need for formation of deeper coolant channels for cooling RF coil segments.
  • gas dispersion cavities 416 are formed in the middle dielectric plate 406 for uniform distribution and injection of the process gases via the bottom dielectric plate 408 . Opening 426 of middle dielectric plate 406 is of sufficient size to permit passage of optical plug 110 for in-situ plasma process monitoring and control applications.
  • multi-zone ICP source 400 eliminates the need for fabricating cooling grooves in top dielectric plate 404 .
  • the trenches 422 include metallized sidewall and bottom surfaces to form coil segments 424 and can also allow coolant flow for ICP source cooling. This results in a simplified ICP source structure and manufacturing process.
  • the ICP coil metallization process for multi-zone ICP source 400 may be performed using one or a combination of sputtering and electroplating deposition processes.
  • the ICP coil segments are formed as thin (e.g., 10 ⁇ m to 1000 ⁇ m) layers of metal (Al or Cu) covering the shallow trench 422 sidewalls and bottom surface. These metallized regions are formed in the shape of broken rings in middle dielectric plate 406 top surface.
  • An alternative embodiment can form the ICP antenna metallized broken rings on the bottom surface of top dielectric plate 304 .
  • the vacuum plate or flange 402 has embedded coolant channels in order to perform additional cooling in the ICP source structure.
  • multi-zone ICP source 400 it is also possible to place the ICP coil segments 424 between the showerhead 408 and middle dielectric plate 406 by using metallized shallow grooves either on top surface of showerhead plate 408 or on bottom surface of middle dielectric plate 406 .
  • the ICP source cooling can be performed by the top metallic plate 402 and the dielectric coolant grooves 422 can be eliminated. This eliminates the need for top dielectric plate 404 and reduces the overall ICP housing stack to two dielectric plates plus the metallic vacuum lid 402 .
  • ICP coil segments 424 may be placed between the gas dispersion trenches 422 on the gas showerhead plate 408 . Moreover, they can be used as part of the bonding structure for hermetic sealing of the showerhead plate 408 to its adjacent dielectric plate 406 which itself is hermetically sealed/bonded to the metallic top plate 402 .
  • This simplified multi-zone ICP structure is the fourth embodiment illustrated in FIGS. 15 through 21.
  • a series of radial strips of a permeable soft magnetic material or a ferromagnetic material may be placed between the metallic top plate 402 and the multi-zone ICP source 400 housing prior to structural bonding. This may be done by depositing the high-permeability magnetic material on metallic top plate 402 using electroplating techniques.
  • shallow radial grooves may be formed in the metallic top plate 402 on its vacuum side and thin rods of high-permeability material (iron or nickel or another ferromagnetic material) may be inserted within these grooves prior to the hermetic bonding process to seal the multi-zone ICP source 400 housing to metallic top plate 402 .
  • high-permeability magnetic material segments provide radial paths to close the magnetic field lines over ICP coil segments 424 , resulting in improved RF coupling efficiency to the internal plasma environment.
  • FIGS. 15 through 21 therefore, show a hermetically sealed ICP source 500 with six coil segments and six showerhead injection zones.
  • the design shown here is applicable to any number of coil segments 518 and any number of showerhead injection zones 516 .
  • Various designs with equal or different numbers of coil segments and injection zones are possible.
  • FIGS. 15 through 21 show yet another alternative embodiment of the present invention including vacuum plate 502 that adjoins top dielectric plate 504 .
  • Dielectric plate 504 adjoins modified showerhead plate 506 .
  • Optical plug or viewport 110 fits within ICP source 500 and is sealed by hermetic metal seals using viewport metallic flange 508 . This permits an optical view access through optical plug 110 to the substrate within the plasma process chamber.
  • Vacuum plate or flange 502 includes numerous channels 510 for receiving multi-zone gas inlet tubes 512 .
  • Gas inlet tubes 512 go to process gas dispersion channels 514 within top dielectric plate 504 .
  • Process gas injection holes 516 connect to process gas dispersion channels 514 for directing process gases through modified showerhead plate 506 .
  • RF coil segments 518 are formed integral to modified showerhead plate or bottom dielectric plate 506 . In this configuration, there is no need for additional cooling of RF coil segments 518 , since effective cooling of the bonded ICP structure is provided by the embedded coolant channels in the vacuum plate or flange 502 .
  • FIG. 15 shows a stack consisting of three bonded plates.
  • the top plate is a water-cooled stainless steel vacuum plate or flange (typically 14′′ to 20′′ in diameter for 200-mm wafer processing (20′′ diameter for CVC's Universal Plasma Module).
  • Gas inlets 512 in the FIG. 15 embodiment are bonded to the top dielectric plate and flushed/butted against the multi-zone gas dispersion cavities 514 .
  • Six cavities 514 are shown along with twelve gas inlet tubes 512 .
  • FIG. 16 illustrates six of the twelve electrical RF rods 520 connected to the multi-zone ICP coil segments 518 on the lower dielectric plate or showerhead plate S 06 . These RF connector rods are thermally bonded, and/or shrink-fit assembled, inside the upper dielectric plate.
  • Electrical rods 520 are flushed against the bottom surface of the upper dielectric plate 504 and are subsequently fused or bonded to the electrical terminals on the antenna coil segments that appear on FIG. 20.
  • the bottom and top dielectric plates are bonded together on a continuous ring around the edge region as well as on circular bonded junctions (continuous rings) formed between any pair of adjacent coil segments. This will also ensure excellent thermal contact between the top dielectric plate 504 and bottom dielectric plate 506 .
  • the coil segments can provide additional bonding surface area for improved thermal contact.
  • FIG. 17 shows a top view of vacuum plate 502 of multi-zone ICP source 500 .
  • vacuum plate 502 top view includes vacuum plate coolant inlets 522 and 524 that receive cooling water (or any coolant) for ICP source 500 .
  • Cooling water outlets 526 and 528 permit the cooling water to exit multi-zone ICP source 500 for effective heat removal.
  • Gas inlet tubes 512 are shown within channels 514 .
  • the SH- 61 and SH- 62 gas inlets correspond to the outermost gas rings of showerhead 506 .
  • the decreasing number “SH” associated with the gas inlets 512 correspond to the more inward injection rings of showerhead plate 506 .
  • Vacuum plate 502 top surface also includes electrical feedthroughs 530 for connecting to ICP antenna RF coil segments 518 and showerhead plate 506 .
  • electrical feedthroughs 530 include twelve terminals for six antenna coil segments that connect to the six RF coil segments formed on showerhead plate 506 .
  • the water-cooled vacuum plate 502 provides effective cooling of the entire multi-zone ICP source 500 and its associated dielectric housing. This effective cooling is possible since the entire ICP housing bonds together to the metallic vacuum plate. The structural bonding minimizes the thermal resistances between the adjacent dielectric plates 504 , 506 as well as between the top dielectric plate 504 and water-cooled vacuum plate 502 .
  • the multi-zone ICP dielectric housing material can be made of aluminum nitride (AlN) which has a relatively high thermal conductivity on the order of 170 W/m-K.
  • AlN aluminum nitride
  • the metallic (stainless steel) vacuum plate or flange provides a number of through holes for various multi-zone ICP source feedthroughs.
  • FIG. 18 shows a bottom view of vacuum plate 502 of the FIG. 13 embodiment.
  • the bottom view of vacuum plate 502 shows gas inlets 512 emanating from through channels 514 as well as electrical feedthroughs 530 that pass through vacuum plate 502 .
  • the bottom view of vacuum plate 502 shows embedded radial ferromagnetic rods 532 that are made of a high permeability magnetic material.
  • embedded radial rods 532 may be 8′′ long by 0.5′′ wide by 0.25′′ thick rods of iron or nickel or a more suitable ferromagnetic material with low eddy-current losses that are inserted into same size grooves at the bottom surface of vacuum plate 502 .
  • Radial rods 532 that FIG. 18 shows are embedded within grooves in vacuum plate 502 and may be made of a magnetic material such as high permeability iron or nickel and provide radial paths to close the magnetic field lines below the vacuum plate. This will result in a reduction of eddy current losses into the metallic vacuum plate and improves the overall multi-zone ICP source 500 RF power coupling efficiency into the plasma environment. If necessary, radial rods 532 may be replaced with a blanket plated layer of iron or nickel on vacuum plate 502 (in this case the rods and grooves will not be needed).
  • the vacuum plate has 12 holes for showerhead gas inlet tubes (SH- 11 , SH- 12 , SH- 21 , SH- 22 , SH- 31 , SH- 32 , . . . ), and another set of 12 holes for multi-zone ICP electrical connections. Moreover, there is a center hole (0.5′′ to 1.5′′ in diameter) which is used for insertion of the optical viewpoint.
  • the metallic vacuum plate (14′′ to 20′′ in diameter) has a thickness of 0.25′′ to 0.50′′ for providing vacuum mechanical strength.
  • FIG. 19 shows modified showerhead plate 506 of the FIG. 15 embodiment.
  • Modified showerhead assembly 506 is formed of a dielectric material such as aluminum nitride, aluminum oxide, boron nitrites or another suitable material and includes injector holes 516 and ICP coil segments 518 .
  • ICP coil segments 518 are bonded to the top portion of ICP showerhead plate 506 as six monolithic coil segments.
  • showerhead injector holes 516 include six rings of holes, each hole with a diameter in the range of 0.02 inches to 0.06 inches, with a total number of holes for each injector zone 1 through 6 ranging from 50 to 500 (outer rings to have more holes).
  • Center hole 534 has a diameter of between 0.25 inches and 1.0 inches for passage of optical plug 110 .
  • ICP coil segments 518 fit within grooves 536 of modified showerhead 506 which have a depth of 0.001 to 0.1 inches and a width of 0.25 to 0.5 inches.
  • FIG. 20 shows the top surface view of modified showerhead plate 506 .
  • Modified showerhead 506 includes, in the FIG. 20 embodiment, six monolithic coil segments 518 that fill substrate grooves 536 (see FIG. 19) and include terminals 538 and 540 for external RF connections to external RF capacitor circuits.
  • the showerhead 506 embodiment that FIG. 20 shows includes injector holes 516 for injecting processed gas into the process chamber for plasma processing of various substrates.
  • Bottom dielectric plate 506 serves two purposes. One is to provide multi-zone process gas injection, the other is to house coil segments 518 (formed by preformed metal segments to be sandwiched between the two dielectric plates or formed by sputtering and/or plating on dielectric plate 506 ) which are made of a suitable material such as aluminum or copper. Coil segments 518 fill shallow grooves (0.001′′ to 0.100′′) shaped as broken rings. The surface of dielectric plate 506 may be planarized using a mechanical polishing process, for example after filling the shallow trenches with metal.
  • FIG. 21 shows a bottom surface view of top dielectric plate 504 of the FIG. 15 embodiment. Attaching to top dielectric plate 504 are stainless steel tubes 512 for providing plasma process gas to gas dispersion cavities 514 . Stainless steel tubes 512 are bonded stainless steel tubes for multi-zone gas injection through gas dispersion cavities 516 , with two tubes positioned 180° apart for each gas dispersion cavity ring. Bonded aluminum rods 520 form six pairs for electrically connecting coil segments 518 to external RF capacitors and RF power supplies. FIG. 21 also shows opening 534 for passage of optical plug 110 . Compared to the previous multi-zone ICP source embodiments of this invention, this last design offers some advantages due to its simplified structure and reduced fabrication cost.
  • dielectric plate 504 and modified showerhead 506 Materials that work well to form dielectric plate 504 and modified showerhead 506 are numerous. However, certain materials are particularly preferred for the present embodiment. These materials include boron nitride, aluminum nitride and aluminum oxide. The reasons why these materials (e.g., AlN and BN) are preferable are discussed briefly to explain their advantages.
  • BN Boron nitride
  • Graphite-like (g-BN), wurzite (w-BN) and zinc blend (z-BN) are known polymorphs of BN corresponding to the graphite (hexagonal) and diamond (cubic) structures. Transformation of g-BN to w-BN occurs at pressures above 12 GPa at relatively low temperature (230° C.). Transformation of w-BN to z-BN occurs above 1300° C. and pressures above 5.5 GPa. Zinc blend (z-BN) is stable above 5.5 GPa and from 1100° to 1500° C.
  • All forms of BN are good electrical insulators, possessing band gaps of several electron volts (eV); electrical resistance of the hexagonal from varies from 1.7 ⁇ 10 13 ohm-cm at 25° C. to 3 ⁇ 10 4 ohm-cm at 1000° C. and is little affected by frequency.
  • the dielectric constant of hexagonal BN is 3 with the electric vector parallel to the oasal plane and 5 perpendicular to the plane. Consistent with the short interatomic distances and light atomic weights, all forms of BN are very good thermal conductors.
  • Boron nitride is chemically inert in most environments, resisting attack by mineral acids or wetting by glasses, slags and molten oxides, cryolite and fused salts, and most molten metals including aluminum. Its rate of oxidation in air is negligible below 1100° C.
  • Hexagonal boron nitride is commonly synthesized as a fine powder. Powders will vary in crystal size, agglomerate size, purity (including percent residual B 2 O 3 ) and density. BN powders can be used as mold release agents, high temperature lubricants, and additives in oils, rubbers and epoxies to improve thermal conductance of dielectric compounds. Powders also are used in metal-and ceramic-matrix composites to improve thermal shock and modify wetting characteristics.
  • Hexagonal boron nitride may be hot pressed into soft (Mohs 2 ) and easily machinable, white or ivory billets having densities 90-95% of theoretical value(2.25 g/cm 3 ). Thermal conductivities of 17-58 W/m-K and CTEs of 0.4-5 ⁇ 10 ⁇ 6 /° C. are obtained, depending on density, orientation with respect to pressing direction and amount of boric oxide binder phase. Because of its porosity and relatively low elastic modules (50-75 GPa), hot pressed boron nitride has outstanding thermal shock resistance and fair toughness. Pyrolytic boron nitride, produced by chemical vapor deposition on heated substrates, also is hexagonal; the process is used to produce coatings and shapes having thin cross sections.
  • Cubic boron nitride is second in hardness only to diamond. It is used for high-performance tool bits and in special grinding applications. Cubic BN tooling typically outlasts alumina and carbide tooling and is preferred in applications where diamond is not appropriate, such as grinding of ferrous metals.
  • Aluminum Nitride (AlN) has a molecular weight of 40.99, density of 3.26 g/cm 3 , CTE of 4.6 ⁇ 10 ⁇ 6 /° C., m.p. of 2200° C. under 4 atm of N 2 , and sublimes at 1 atm. AlN has a white, hexagonal crystal structure and its powder hydrolyses on contact with water or water vapor.
  • AlN Water-resistant powders that allow aqueous processing are commercially available.
  • AlN is stable against acids and only slightly reacts with bases. It is made by reacting aluminum metal with nitrogen, by reduction of aluminum oxide with carbon in the presence of nitrogen or ammonia, or by decomposition of the product of reaction between aluminum trichloride and ammonia.
  • AlN powder may be sintered to full density above 1800° C. in 1 atm of N 2 with the addition of sintering aids such as Y 2 O 3 or CaO. Thermal conductivity in excess of 200 W/mK can be achieved in sintered parts, which is five times that of aluminum oxide.
  • the dielectric strength of AlN is 1.5 times that of aluminum oxide, and electrical resistivity and mechanical strength are comparable to that of aluminum oxide.
  • dielectric constant is about half that of aluminum oxide.
  • Major applications include thermally conductive substrates and heat sinks for semiconductors, automotive and transit power modules, mobile communications and multichip modules.
  • Other properties of AlN appear in the following table. Properties of Aluminum Nitride Units AlN Volume ohm-cm ⁇ 10 14 resistivity Dielectric RT-1 MHz 8.6 constant Dielectric RT-1 MHz 0.0005 loss Thermal W/mK 170 conductivity Temperature 10 ⁇ 6 /° C. 4.7 coeff. of expansion RT-400° C.
  • FIG. 22 demonstrates the schematic diagram of a plasma equipment process chamber 600 comprising one of the multi-zone ICP structures of this invention.
  • the particular example shown in FIG. 22 shows a three-zone configuration in conjunction with three RF power supplies for the ICP source structure.
  • the multi-zone ICP source structure 601 employs a hermetically bonded structure of dielectric plates (e.g., made of a ceramic material with relatively high thermal conductivity) containing the ICP antenna coils and gas showerhead dispersion cavities (not shown).
  • the ICP housing 601 is also hermetically bonded and sealed to the metallic vacuum plate or flange 603 .
  • the vacuum plate or flange 603 is placed onto the plasma equipment process chamber 605 and established chamber vacuum using vacuum seal 622 .
  • the ICP source 601 provides a vacuum-sealed optical (e.g., sapphire or quartz) plug or viewport 604 for real-time in-situ process monitoring and control purposes by monitoring the plasma process side 620 and/or substrate 607 state parameters.
  • FIG. 22 shows a full wafer interferometry sensor 617 mounted on top of the optical plug 604 for real-time in-situ monitoring and control of the plasma process uniformity on the substrate 607 .
  • Other in-situ sensors such as plasma emission sensors and spatially resolved plasma emission sensors may also be used for process monitoring and control purposes.
  • the multi-zone ICP source 601 is positioned over a chuck 606 supporting the substrate 607 to be processed.
  • chuck 606 controls the substrate 607 temperature by controlled heating and/or cooling during the plasma process.
  • the substrate 607 is preferably clamped to the temperature-controlled chuck 606 either by electrostatic or mechanical means.
  • the chuck 606 preferably has a capability for up/down movement and height adjustment with respect to the ICP source housing 601 . This will provide a very useful capability for adjusting the ICP source to substrate spacing in order to optimize the plasma process parameters such as process uniformity while maintaining sufficient process throughput. For instance, reducing the ICP source to substrate spacing results in increased plasma density and ion current density at the wafer, causing enhanced plasma process rate. If the ICP source to substrate spacing becomes too small, the process uniformity may degrade and there may also be additional problems associated with plasma-induced device damage and excessive eddy-current heating of the substrate 607 .
  • the plasma process chamber provides a vacuum chamber access valve for automated loading and unloading of the substrate 607 into and out of the vacuum process chamber 605 .
  • the plasma process chamber 605 connects to a vacuum pump (e.g., turbo pump and/or mechanical pump) via pump port 629 .
  • a vacuum pump e.g., turbo pump and/or mechanical pump
  • the chuck 606 preferably provides an option for electrical biasing (e.g., 13.56 MHZ RF or 100 kHz-400 KHz AC power supply) of the substrate 607 via power supply 614 and coupling capacitor 615 .
  • This electrical bias provides a good control over the plasma ion energy impacting the substrate 607 .
  • FIG. 22 shows a three-zone ICP configuration with the outer zone, middle zone, and inner zone powered by the RF power supplies RF 1 ( 608 ), RF 2 ( 609 ), and RF 3 ( 610 ), respectively.
  • the RF power supplies are connected to the ICP antenna zones, preferably via series blocking capacitors 630 , 631 , and 632 .
  • external inter-segment series capacitors C 1 ( 611 ), C 2 ( 612 ), and C 3 ( 613 ) connect the antenna segments in series within each zone (outer zone, middle zone, and inner zone, respectively).
  • the multi-zone ICP 601 RF power supplies may employ source frequencies over a wide range (e.g., 1 MHZ to over 30 MHZ), and preferably a fixed 13.56 MHZ frequency.
  • these multi-zone power supplies may use external RF matching networks (not shown in FIG. 22) placed between the RF power supplies and the ICP antenna zones for improved load matching, improved RF power coupling, and improved plasma process repeatability.
  • the RF power supplies may also employ phase shifters 616 in order to control the phase angles among various RF power supplies for improved process uniformity and repeatability.
  • phase shifters 616 become non-essential when the power supplies use different frequencies. For instance, if a 100 kHz power supply is used for the substrate bias and three 13.56 MHZ RF power supplies are employed for powering the multi-zone ICP antenna, there is no need for a phase shifter between the substrate power supply and the multi-zone ICP power supplies.
  • the ICP RF power supplies may employ phase shifters/controllers to control the phase angles for RF 1 ( 608 ), RF 2 ( 609 ), and RF 3 ( 610 ).
  • FIG. 22 also shows the coolant inlet 633 and coolant outlet 634 lines for flowing the coolant (e.g., cooling water) through the metallic vacuum lid.
  • the cooled metallic vacuum plate/lid Due to the hermetically sealed bonded structure of the ICP source with the thermally conductive bonded/sealed interfaces 640 (between the top dielectric plate and the metallic vacuum lid) and 641 (between the lower and upper dielectric or ceramic plates), the cooled metallic vacuum plate/lid also serves as an effective heat removal or heat sink medium for the ICP housing. This will ensure that the ICP housing temperature with maximum RF power levels running through the antenna segments will remain well below 100° C.
  • the ICP process gases 635 are fed to the ICP showerhead plate 602 via the gas manifolds 618 and 619 .
  • the external manifolding of the ICP gas lines can be designed to meet the specific plasma process uniformity and defect density requirements.
  • the gas injection system can be set up for either single-zone or multi-zone gas injection (a two-zone injection using two gas manifolds is shown in FIG. 22).
  • FIG. 23 shows an example of a two-manifold gas injection configuration 700 used with the multi-zone ICP source structures of this invention.
  • FIG. 23 shows six pairs of gas inlet lines 703 for the multi-zone ICP source.
  • the schematic diagram of FIG. 23 also shows up to six different process gases 704 and 705 coming from the ICP equipment gas box.
  • the multi-zone ICP source dispersion cavities employ one pair of inlets for each gas dispersion cavity corresponding to each gas injection ring of holes in the showerhead. For instance, SH- 61 and SH- 62 both connect to the sixth (or outermost) gas dispersion cavity in the multi-zone ICP source structure while the inlet lines SH- 11 and SH- 12 both connect to the first (or innermost) gas dispersion cavity.
  • process gases A, B and C are connected together via the first gas manifold 701 and subsequently inject into the showerhead injection rings 2 , 4 , and 6 .
  • process gases D, E, and F are connected together using the second gas manifold 702 and subsequently inject into the plasma process chamber via the showerhead injection rings 1 , 3 and 5 (SH- 11 /SH- 12 , SH- 31 /SH- 32 , and SH- 51 /SH- 52 inlets).
  • This arrangement effectively configures the multi-zone ICP injector as a two-zone showerhead where premixing of the first group of process gases 705 and the second group of process gases 704 is prevented. It should be understood that other gas connection configurations and zone partitioning arrangements are possible for the multi-zone ICP structures of this invention.
  • FIGS. 24A and 24B illustrate a three-zone and a two-zone ICP mixing arrangement, respectively, for one embodiment of this invention with six antenna segments.
  • an RF power supply 801 is used to provide substrate bias via series or blocking capacitor 814 .
  • the ICP antenna segments (six segments in this example) are externally partitioned and wired to form three ICP zones (edge zone 811 , middle zone 812 , and center zone 813 ).
  • edge zone 811 the outer two antenna segments (segments 1 and 2 with connector nodes C 11 /C 12 and C 21 /C 22 ) employ a series capacitor 808 to bridge the two segments.
  • a first RF 1 power supply 803 connects to C 11 and C 22 via series blocking capacitor 815 .
  • the middle zone 812 is formed by bridging the third and fourth antenna segments using a series capacitor 809 placed between nodes C 32 and C 41 .
  • a second RF 2 power supply 805 powers the middle zone 812 via series blocking capacitor 816 .
  • Inner zone 813 is configured by combining the fifth and sixth antenna segments using a series capacitor 810 placed between nodes C 52 and C 61 .
  • a third RF 3 power supply 807 powers the inner zone via series blocking capacitor 817 .
  • Three phase shifters/controllers 802 , 804 , 806 may be used in order to control the relative phase angles of various RF power supplies for process uniformity and repeatability.
  • each antenna zone has received two adjacent antenna segments.
  • the optimum partitioning configuration should provide the best amount of control over plasma process uniformity.
  • FIG. 24B illustrates a two-zone ICP arrangement wherein the outer zone is formed by grouping the first and second antenna segments while the inner zone is configured by grouping the third through sixth antenna segments.
  • the outer zone employs a series capacitor between nodes C 12 and C 21 in conjunction with a first RF 1 power supply 905 connected to nodes C 11 and C 22 via a series blocking capacitor 913 .
  • the inner zone utilizes series capacitors 908 (between nodes C 32 and C 41 ), 909 (between nodes C 42 and C 51 ) and 910 (between nodes C 52 and C 61 ).
  • a second RF 2 power supply 906 connects to inner zone nodes C 31 and C 62 via series blocking capacitor 914 .
  • An RF power supply 901 connects to the plasma equipment chuck via series blocking capacitor 902 in order to produce substrate RF bias.
  • Phase shifters/controllers 903 and 904 may be used in order to control the relative phase angles of various RF power supplies.
  • multi-zone ICP structures and methods of this invention provides a significant amount of flexibility for optimizing the multi-zone ICP source zoning and partitioning in order to establish the widest possible plasma process window and the best process uniformity.
  • the multi-zone ICP source structures and methods of this invention are scalable to allow uniform processing of larger substrates such as 300-mm silicon wafers and large-area flat-panel display substrates.
  • the hermetic sealing fabrication method preferably used for fabrication of the multi-zone ICP source structures of this invention result in extremely high vacuum integrity, ultra-high vacuum (UHV) compatibility, and ultra-clean plasma processing.
  • the multi-zone ICP source structures of this invention are compatible with vacuum base pressures as low as 5 ⁇ 10 ⁇ 9 Torr and better.
  • the optimum zoning and antenna segment partitioning (or grouping) between the antenna zones can be obtained by performing a series of Design-of-Experiments (DOE) with various external wiring configurations.
  • DOE Design-of-Experiments
  • the multi-zone ICP series capacitors are selected to minimize the antenna RF voltages within various zones depending on the RF frequency.
  • the multi-zone ICP structures of this invention can meet the process requirements using a two-zone or a three-zone configuration (e.g., two-zone configuration for up to 200-mm wafer processing). Larger substrates (e.g., 300-mm silicon wafers) may benefit from a higher number of zones.
  • a multi-variable real-time controller may be used in conjunction with a suitable sensor (e.g., a full-wafer interferometry sensor) in order to control the process uniformity and repeatability.
  • the multi-zone ICP structures of this invention may employ either straight lined-up electrical connection feedthroughs for the ICP antenna segments (as described and shown for various embodiments) or they may utilize staggered electrical feedthroughs in order to prevent any possible plasma process non-uniformities associated with the lined up segment ring breaks in a non-staggered arrangement.
  • one type of design may employ eight pairs of electrical feedthrough connector leads lined up along two straight (nearly radial) lines extending between the center and edge regions of the ICP housing. In this design, there may be some plasma density non-uniformity directly underneath the source (and very close to the source) and between the two segment feedthrough lines.
  • the eight pairs of feedthroughs for eight broken ring antenna segments can be staggered at, for instance, 40° to 45° for each pair of adjacent segments in order to utilize the full 360° planar staggering of the feedthroughs in a spiral pattern.
  • This will eliminate the possible cumulative non-uniformity effects of various antenna segment breaks and associated feedthroughs.
  • the minimum allowable ICP source-to-substrate spacing for acceptable plasma process uniformity is smaller in the case of staggered electrical feedthroughs (e.g., spiral staggered feedthrough pattern) compared to the non-staggered feedthrough pattern.
  • gas dispersion cavities Due to the proximity of the gas dispersion cavities to the multi-zone antenna segments in various ICP embodiments of this invention, there is a possibility of plasma formation within the gas dispersion cavities. This possible plasma formation in the gas dispersion cavities can be avoided by various means and techniques.
  • One method is to fill the gas dispersion cavities with a suitable ceramic fiber or ceramic powder (e.g., with controlled spherical ceramic particle size). Filling the gas dispersion cavities with a ceramic powder or a ceramic filler can be performed prior to final assembly and hermetic bonding of the multi-zone ICP source structure.
  • the multi-zone ICP source structures of the present invention may use separate RF power supplies and dedicated matching networks for each of the ICP antenna zones (e.g., three RF power supplies and three RF matching networks for a 3-zone ICP source wiring arrangement).
  • Another method is to electrically wire the zones in parallel with either a fixed capacitor or a variable capacitor connected in series with each of the zones in order to adjust the effective load impedance and electrical current associated with each zone.
  • Another possibility is to use adjustable (e.g., mechanically adjustable with server or stepper motors) transformer couplings for various zones with a single transformer primary coil attached to a single RF power supply and a single RF matching network (RF matching network may not be needed).
  • FIGS. 25A and 25B show examples of two power supply wiring arrangements enabling 3-zone ICP operation and control using a single RF power supply.
  • FIG. 25A shows a parallel capacitive wiring arrangement while FIG. 25B demonstrates an adjustable transformer coupling configuration allowing multi-zone operation.
  • a real-time multi-variable controller will provide control signals for the stepper or servo motors controlling the variable capacitor valves or the extent of transformer coupling ratios. For instance, a multi-variable real-time controller will adjust the multi-zone transformer coupling ratios M 1 , M 2 , and M 3 for real-time multi-zone uniformity control.

Abstract

A multi-zone high-density inductively-coupled plasma source includes a first individually controlled RF antenna segment for producing a plasma from a process gas. A second individually controlled coil segment is included in the ICP source for producing a plasma from a process gas. In various embodiments, more than two sets of individually controlled coil segments may be used. In one embodiment, a separate power supply may be used for each coil segment individually.
Another aspect of this invention is a hermetically-sealed inductively-coupled plasma source structure and method of fabrication which eliminates the possibility of process contamination, improves the source hardware reliability and functionality, and improves the vacuum integrity and ultimate base pressure of the plasma system.

Description

    TECHNICAL FIELD OF THE INVENTION
  • This invention relates generally to plasma processing methods and systems, and more particularly to an apparatus and method for high-density inductively-coupled plasma generation for plasma-assisted fabrication processes used for manufacturing of semiconductor, data storage, flat-panel display, photovoltaic, and multi-chip module devices. [0001]
  • BACKGROUND OF THE INVENTION
  • Plasma processes are used for numerous fabrication steps in various device manufacturing applications such as semiconductor integrated circuit, data storage device (heads and media), and flat-panel display manufacturing. Typically, plasma processes (also known as plasma-enhanced or plasma-assisted processes) are used for physical-vapor deposition (PVD), plasma-enhanced chemical-vapor deposition (PECVD), dry etching, wafer cleaning (or surface preparation), in-situ chamber cleaning, and plasma-immersion ion implantation (also known as plasma doping) applications. Conventional or prior art methods of plasma generation employ one or a combination of several techniques. Various plasma generation techniques include parallel-plate capacitive discharge, microwave discharge (including electron cyclotron resonance or ECR plasma), hollow cathode discharge, and inductively-coupled plasma (ICP) sources. [0002]
  • The high-density inductively-coupled plasma or ICP sources have recently received a significant amount of attention due to their superior process performance, throughput rate, and control capabilities. ICP sources can provide high-density (n[0003] p values ranging from 1×1011 cm−3 to over 5×1012 cm−3) plasmas using fairly simple inductive radio frequency (RF) excitation. Advanced ICP source designs are capable of producing fairly high plasma densities (corresponding to the plasma electron density or np) even larger than 1×1013 cm−3. The RF source frequency is typically in the range of 1 to 30 MHZ (with a preference for 13.56 MHZ). RF frequencies in the low end of this range result in reduced induced RF voltages across the ICP antenna. This reduces the risk of capacitive coupling as well as sputtering of the inner process chamber and ICP source walls near the ICP antenna. Lower ICP source frequencies, however, result in reduced plasma densities and larger RF matching network components. On the other hand, higher RF frequencies can provide superior plasma densities and can be effectively coupled to the plasma load using more compact RF matching network components. However, precautions must be taken to ensure that no chamber wall sputtering occurs due to the relatively high induced RF voltages that arise across the antenna. Higher induced RF voltages across the ICP source antenna can increase the risk of capacitive coupling and raising the plasma potential.
  • One advantage of ICP over conventional parallel plate plasma is its ability to control the plasma density and ion energy (for the ion flux arriving at the substrate in process) independent of each other. The plasma density is primarily controlled by the applied RF current or power delivered to the ICP source antenna, whereas the mean ion energy control is performed by an applied RF bias to the substrate or wafer. The substrate may be a semiconductor wafer (e.g., silicon), a data storage substrate (AlSiMag or AlTiC), a photovoltaic substrate (e.g., polysilicon or silicon), or a flat-panel display substrate (e.g., glass). [0004]
  • Various types of ICP source designs have been proposed in prior art. These include spiral coil antenna designs, helicon wall source designs, and cylindrical coil antenna source designs. However, all the prior art ICP designs share a common constraint or limitation which makes them unable to control or adjust the plasma uniformity profile in real time. The prior art ICP sources are primarily based on single-zone designs and employ single-coil antenna structures with a single RF plasma excitation source. The basic prior art designs mostly employ either a cylindrical or cone-shaped coil around a quartz chamber (such as a quartz bell jar) to generate a large-volume plasma or a planar spiral coil above a dielectric plate (outside the vacuum chamber) to generate a so-called planar plasma. The spiral coil ICP design often uses a flat spiral coil, but provides the options to contour the surface topography of the ICP antenna dielectric housing and/or the antenna coil itself for improved plasma uniformity. [0005]
  • The spiral coil design possesses certain technical advantages, but also has serious limitations. The spiral coil design allows placement of the antenna above a vacuum dielectric plate on the atmospheric side or within the vacuum chamber using an epoxy encapsulation. One can provide a capability to reduce the induced RF voltage across the spiral coil by placing a few capacitors in series with the spiral coil loops. This is not a trivial implementation task since the antenna coil is usually made of water-cooled aluminum or copper tubing. Insertion of the series capacitors may require breaking the tubing water flow by insertion of an in-line metal-to-ceramic insert. Unfortunately, this results in added structural complexity and increased equipment cost. The ICP sources with cylindrical coils around the electrically insulating plasma source or process chamber require an electrically insulating process chamber or plasma source wall material such as quartz tube or aluminum oxide tube used in some source designs such as the helicon plasma sources. These bulk ICP sources can suffer from plasma non-uniformity problems and usually require a multipolar magnetic bucket inserted between the plasma source chamber and the process environment to generate an expanded uniform plasma. This, however, results in reduced processing throughput due to reduced plasma density and ion flux density at the substrate. Moreover, these sources may generate contaminants and particulates due to sputtering of the plasma source chamber wall material near the excitation RF antenna. [0006]
  • The ICP coil is usually driven by a 13.56 MHZ RF source. The RF current also induces an RF voltage across the antenna coil. In order to eliminate any electric field induced arcing or chamber sputtering, the amount of induced RF voltage must be minimized. This condition places a limit on the maximum allowable excitation coil inductance or the number of coil turns. Moreover, for a given coil design (e.g., a given number of turns or inductance) there is an upper limit on the maximum allowable RF source frequency. In addition, for a given conventional ICP source design and a specified excitation RF frequency (e.g., 13.56 MHZ), there is a limit on the maximum allowable RF power delivered to the ICP antenna in order to ensure minimal chamber or plasma source wall sputtering and reduced process contamination. The prior art designs for ICP coils, therefore, mostly suffer from plasma process nonuniformity problems, are not easily scalable for larger wafer processing, and have a relatively narrow useful process window (in terms of RF power, pressure, etc.). The conventional ICP designs do not provide any direct method of real-time plasma uniformity control without compromising the significant process state or substrate state parameters. [0007]
  • Advanced plasma fabrication processes require excellent plasma density and ion flux uniformity control over the entire wafer surface. Plasma uniformity requirements in high-density plasma sources are dictated by both process uniformity requirements and device damage considerations. Typically, the plasma nonuniformity must be less than 5% (3-sigma value) to ensure damage-free uniform processing. Many conventional ICP source designs fail to meet these stringent process uniformity requirements for various plasma processing applications. [0008]
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, a method and system for creating an inductively-coupled plasma (ICP) environment for various device fabrication applications is provided that substantially eliminates or reduces disadvantages and problems associated with previously developed ICP processing methods and systems. The ICP processing method and system of this invention is applicable to manufacturing of semiconductor, data storage, flat-panel display, and photovoltaic devices. [0009]
  • According to one aspect of the invention, there is provided a multi-zone high-density inductively-coupled plasma source structure and method of use that includes a first individually controlled inductive coil for producing a first plasma zone from a process gas. A second individually controlled coil is included in the ICP source for producing a second plasma zone from a process gas. In various embodiments, more than two individually controlled inductive coils may be used. In one embodiment, a separate RF power supply may be used for each ICP coil zone. Each ICP coil zone may be made of either a single coil segment or a set of coil segments interconnected using at least one series capacitor. [0010]
  • Another aspect of the present invention is a hermetically-sealed ICP source structure and fabrication method that are applicable to both the multi-zone ICP structure of the present invention as well as the in-situ monitoring sensors; (7) improved manufacturing equipment cleanliness for enhanced device manufacturing yield; (8) increased plasma process throughput; and (9) improved plasma equipment reliability and process repeatability/performance due to improved ICP source integrity. [0011]
  • The multi-zone ICP source design is applicable to manufacturing of semiconductor devices, data storage devices, photovoltaic devices, and flat-panel displays. Selection of the number of ICP zones depends on several parameters and considerations including ICP source diameter, substrate size, source design type, maximum total RF power, and plasma process throughput requirements. For most of the practical applications semiconductor processing, the number of the ICP source zones may be two to four, however, greater number of zones may be desirable for different applications such as those for very large-area substrate processing. For instance, a two-zone ICP source (antenna) design may be used to adjust the relative edge-to-center plasma process uniformity in a plasma equipment used for processing of 150-mm or 200-mm semiconductor wafers. An ICP source design with two to five excitation zones may be used for processing of 200-mm and 300-mm silicon wafers. [0012]
  • A technical advantage of the present invention therefore, is that it provides multi-zone high-density plasma source structure using at least two individually controlled coil segments for uniform plasma processing. The multi-zone ICP source structure of this invention may be constructed either using the more conventional ICP source fabrication and assembly methods (i.e., at least two cooled or temperature-controlled coils placed either outside the process chamber adjacent to a dielectric vacuum plate or encapsulated in an electrically insulating housing inside the ICP vacuum process chamber), or using a hermetically-sealed antenna structure placed within the vacuum environment. [0013]
  • Another technical advantage of the present invention is that is provides a hermetically-sealed ICP source fabrication structure and method that are applicable to both the conventional single-zone ICP structures as well as the multi-zone ICP source structure of this invention. This novel method and structure eliminates the need for elastomer O-ring seals and separate ICP antenna epoxy encapsulation by providing a high-integrity ICP source structure compatible with the ultrahigh vacuum (UHV) base pressure requirement of 5×10[0014] −9 Torr or less.
  • Yet a further technical advantage of the present embodiment is an in-situ sensor view port design which can be used for implementation of some useful plasma state and wafer state sensors such as full-wafer interferometry sensor and a spatially resolved optical emission sensor. The full-wafer-view optical port uses a hermetically sealed optical (e.g., sapphire or quartz) window or plug located at the center of the ICP source. This port enables real-time (or run-by-run) plasma process uniformity control using the multi-zone ICP source design of this invention in conjunction with a process uniformity monitoring sensor (such as a full-wafer interferometry sensor). [0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention and the advantages thereof, reference is now made to the following description which is to be taken in conjunction with the accompanying drawings in which like reference numerals indicate like features and wherein: [0016]
  • FIG. 1 shows a cross-sectional view of a first embodiment of the multi-zone ICP source of present invention configured as a two-zone ICP source; [0017]
  • FIG. 2 provides a view of the two-zone inductively-coupled plasma antenna portion embedded in the middle ceramic ICP or dielectric plate for the FIG. 1 embodiment (first embodiment); [0018]
  • FIG. 3 shows a cross-sectional view of the top ceramic or dielectric plate of the FIG. 1 embodiment (first embodiment); [0019]
  • FIG. 4 illustrates the bottom view of the middle dielectric plate indicating the gas dispersion cavities and their associated gas injection inlets for the multi-zone ICP embodiment of FIG. 1 (the first embodiment); [0020]
  • FIG. 5 depicts a first cross-sectional view of an alternative or second embodiment of multi-zone ICP structure of the present invention; [0021]
  • FIG. 6 shows a second cross-sectional view of the FIG. 5 embodiment (second embodiment) of the invention; [0022]
  • FIG. 7 provides a cross-sectional view of the middle dielectric plate of the FIG. 5 embodiment (second embodiment); [0023]
  • FIG. 8 illustrates the hermetically-sealed coil structure of the FIG. 5 embodiment of the invention or the top view of the middle dielectric plate of the second embodiment; [0024]
  • FIG. 9 depicts a top facial view of the top vacuum plate of the FIG. 5 embodiment, indicating the feedthroughs for electrical RF, gas injection, and cooling water; [0025]
  • FIG. 10 shows a cross-sectional view of a modified version of the second multi-zone ICP embodiment where the distance between the vacuum plate and the ICP housing has been increased in order to enable smaller minimum ICP source to substrate spacing for enhanced ICP process throughput rate; [0026]
  • FIG. 11 shows a second cross-sectional view of the modified version of the second ICP embodiment of FIG. 10 mounted on a vacuum process chamber for high-throughput plasma-assisted processing applications; [0027]
  • FIG. 12 shows a first cross-sectional view of another (third) embodiment of the invention; [0028]
  • FIG. 13 includes a second cross-sectional view of the FIG. 12 embodiment (third embodiment); [0029]
  • FIG. 14 provides a cross-sectional view of the middle dielectric plate of the FIG. 12 embodiment (third embodiment); [0030]
  • FIG. 15 is a first cross-sectional view of yet another embodiment of the present invention (fourth embodiment); [0031]
  • FIG. 16 provides a second cross-sectional view of the FIG. 15 embodiment of the invention (fourth embodiment); [0032]
  • FIG. 17 illustrates a top view of the vacuum plate of the FIG. 15 embodiment (fourth embodiment), indicating various feedthroughs for process gas inlets, coolant flow, and electrical connections; [0033]
  • FIG. 18 shows a bottom view of the vacuum plate appearing in FIG. 17, indicating the radial low-reluctance magnetic rod positions; [0034]
  • FIG. 19 depicts a cross-sectional view of the bottom dielectric plate for the FIG. 15 embodiment of the invention (fourth embodiment); [0035]
  • FIG. 20 is a top view of the bottom dielectric plate of FIG. 19; [0036]
  • FIG. 21 provides a bottom facial view of the top dielectric plate of the FIG. 15 embodiment of the present invention (fourth embodiment); [0037]
  • FIG. 22 shows the overall schematic view of a vacuum process chamber using the multi-zone ICP source structures of this invention (example shows a three-zone ICP configuration); [0038]
  • FIG. 23 illustrates the schematic diagram of a two-manifold gas distribution arrangement for the ICP source of FIG. 17 where the input gases are partitioned into two groups; [0039]
  • FIG. 24A shows a schematic electrical wiring diagram of a three-zone ICP configuration for the multi-zone ICP source of FIG. 17; [0040]
  • FIG. 24B shows a schematic electrical wiring diagram of a two-zone ICP configuration for the multi-zone ICP source of FIG. 17; [0041]
  • FIG. 25A shows a schematic electrical wiring diagram of a three-zone ICP configuration for a single RF power supply based on an adjustable capacitor array; and [0042]
  • FIG. 25B shows a schematic electrical wiring diagram of a three-zone ICP configuration for a single RF power supply based on an adjustable air-core transformer. [0043]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Preferred embodiments of the present invention are illustrated in the FIGUREs like numerals being used to refer to like and corresponding parts of the various drawings. [0044]
  • The embodiment of the present invention that FIGS. 1 through 4 describe is a hermetically-sealed multi-zone (HMZ) ICP source for various high-density plasma applications, including plasma etch, PECVD, surface cleaning, plasma hydrogenation, and other plasma-assisted, fabrication processes. The concepts of the present invention apply not only to a two-zone ICP source, but also to a multi-zone source with any number of ICP excitation zones (2, 3, 4, and more). Moreover, the preferred embodiment of this invention is for implementation of the HMZ ICP source inside the ICP vacuum process chamber in order to eliminate the need for a dielectric vacuum window and to enable implementation of an all-metal-seal ICP source and process chamber for improved vacuum integrity by reducing the chamber vacuum base pressure. It is, however, understood that the HMZ ICP source of this invention can also be implemented outside the vacuum chamber using a dielectric vacuum window for separating the source from the process chamber. [0045]
  • The HMZ ICP source of FIGS. 1 through 4 provides a design in conjunction with a six-zone showerhead gas injector. The HMZ ICP source, however, is also compatible with the use of either a single-zone showerhead or a multi-zone showerhead with any number of independently controlled showerhead zones (e.g., from one to ten zones). Some applications such as surface cleaning may require only a single-zone showerhead while other applications such as PECVD may benefit from using multiple showerhead zones for improved process uniformity control via multi-zone gas flow adjustment. The multi-zone showerhead feature allows radial control of the process gas mass transport profile which is particularly useful for uniform PECVD applications. Moreover, using the multi-zone showerhead, the source can be used for injection of multiple gases without premixing the gases. As a result, mixing of multiple gases occurs in the plasma process environment and not inside the delivery gas lines or inside the ICP source. Separation of multiple process gases, such as in a binary gas system in CVD applications, can eliminate the possibility of gas phase nucleation and particulate generation inside the showerhead. For instance, in a PECVD process used for silicon dioxide deposition, the process gas system may comprise SiH[0046] 4 and N2O. Using the multi-zone showerhead arrangement of this invention, these gases can be separated and injected as a binary system into alternating adjacent showerhead zones.
  • With this introduction, more particular attention is now drawn to FIG. 1. FIG. 1 shows [0047] HMZ ICP source 100 that includes water-cooled or temperature-controlled metallic top vacuum plate 102, which may be formed of stainless steel or aluminum, that adjoins top dielectric plate 104. Top dielectric plate 104 is hermetically sealed or bonded to middle dielectric plate 106 which itself hermetically bonds to showerhead injector plate 108. Optical plug (or window) 110 passes through metallic top plate 102, top dielectric plate 104, middle dielectric plate 106, and showerhead injector plate 108, and provides an optical viewport to the entire wafer surface throughout the fabrication process. The optical viewport can also be used for monitoring of the plasma process parameters using a suitable optical sensor, such as spatially resolved plasma emission sensor.
  • Metallic [0048] top plate 102 includes numerous feedthroughs or penetrations, some of which are for coolant (e.g., water) flow purposes, some are for ICP gas injections, and the remaining ones are for electrical RF feedthroughs. For example, the first set of showerhead gas inlets 112, 114, and 116 pass through metallic top plate 102, are bonded to the holes within the top dielectric plate 104, and feed into the holes within the middle dielectric plate 106 in order to inject the process gases into respective showerhead zone dispersion cavities 118, 120, and 122. Showerhead zone cavities 118, 120, and 122 direct process gases to the rings of injection holes 124, 126, and 128 of showerhead injector plate 108. Likewise, showerhead inlets 130, 132, and 134 also pass through metallic top plate 102, are bonded to holes within the top dielectric plate 104, and feed into the holes within the middle dielectric plate 106 in order to inject the process gases into respective showerhead zone dispersion cavities 136, 138, and 140. From the associated showerhead zone cavities 136, 138, and 140, plasma process gases pass through and out of several rings of gas injection holes 142, 144, and 146 of showerhead injector plate 108.
  • Also penetrating through [0049] vacuum base plate 102 are a plurality of electrical RF feedthroughs including RF feedthroughs 150 and 152. RF feedthrough 150, for example, connects through RF feedthrough connection 154, passes through channel 156 of metallic top plate 102, continues through top dielectric plate 104, and continues into cooling water channel 160 of middle dielectric plate 106 until it contacts ICP antenna RF coil segment 173 (which is part of a two-zone HMZ ICP source 100 arrangement).
  • Hermetically-sealed [0050] ICP source 100 includes two zones of cooling (such as water cooling) to remove heat generated by the ohmic power losses due to RF power delivery and ICP plasma generation process. The first zone includes inlet channel 162 that passes through metallic top plate 102 and is bonded to the top dielectric plate 104 for providing cooling water to cooling water channel 164 of middle dielectric plate 106. Middle dielectric plate 106 provides internally a cooling path by which water may enter, for example, cooling channel 164, pass through to cooling channels 160 and ultimately enter cooling channel 166. From cooling channel 166, cooling water exits from the ICP source through outlet 168. Outlet 168 receives the cooling water from the zone 1 cooling channels and permits continuous flow of cooling water from cooling water inlet 162 through cooling channels 164, 160, and 166 with a continuous heat removal action. In the first zone of hermetically-sealed ICP source 100, zone-1 RF contact 170 provides a path by which an RF current may flow through each of plated (or molded or inserted) coil segments 172, 173, and 174 of zone-1.
  • In completing the description of hermetically-sealed [0051] ICP source 100 as depicted in FIG. 1, in metallic top plate 102 are cooling channel feedthroughs for receiving cooling water including cooling channel 176 connected to zone-1 inlet channel 162 (via an in-line insulating ceramic tube insert), and cooling channel 178 connected to zone-1 outlet channel 168 (via an in-line insulating ceramic tube insert for electrical isolation). Cooling water inlet 180 connects to in-line insulating ceramic tube insert 182 for providing cooling water to cooling channel 184 of zone-2 of hermetically-sealed ICP source 100 and its surrounding region. In middle dielectric plate 106, coolant passes to cooling water channel 188 for cooling coil segment 190 and its surrounding region. From cooling channel 188, cooling water flows in middle dielectric plate 106 to cooling channel 192 for cooling coil segment 194 of zone-2 of the hermetically-sealed ICP source and its surrounding region. The cooling water subsequently flows out of the zone-2 coils via coolant outline 197.
  • In [0052] middle dielectric plate 106, cooling water channels 164, 160, 166, 184, 188, and 192 have been shown with progressively greater depths. This configuration enables vertical contouring of respective coil segments 174, 173, 172, 186, 190, and 194. This ICP antenna contouring (convex or concave contouring) provide an additional design parameter to optimize the ICP uniformity performance. Showerhead 108 is made of an electrically insulating and thermally conductive material, preferably formed of a ceramic material such as aluminum nitride (AlN), aluminum oxide (Al2O3), or boron nitride (BN). Similarly, the top and middle dielectric plates 104 and 106 should be made of a suitable electrically insulating and thermally conducting material such as AlN, Al2O3, or BN. As appropriate, the contacting interfaces between the plates of hermetically-sealed ICP source 100 are hermetically bonded or fused (preferably using a thermal bonding process) or otherwise connected (such as with a high-temperature cured UHV-compatible epoxy) in order to establish a sealed ICP antenna encapsulation housing for ultraclean plasma processing. For example, metallic top vacuum plate 102 and top dielectric plate 104 are fused or hermetically bonded together using a thermal bonding (e.g. with indium) or epoxy bonding process. Top dielectric plate 104 and middle dielectric plate 106 are also bonded together at their contact interface junctions. Similarly, middle dielectric plate 106 and showerhead injector plate 108 are also bonded together at their contact junctions. All four plates (metallic plate 102 and ceramic plates 104, 106, and 108) can be bonded together using a single thermal bonding process using indium or another suitable bonding or brazing material.
  • [0053] Optical plug 110 provides a viewpoint for probing or viewing the plasma process environment. On top of optical plug 110 is view port 198 which is connected or sealed (using a metal or wire seal) to metallic top plate 102 through hermetically sealed flange 200. The hermetically-sealed ICP source employs a water-cooled (or gas-cooled) metallic (stainless steel) top vacuum plate 102 to support the ICP source inside the plasma process chamber and to enable establishing a UHV base pressure of less than 1×10−8 Torr. Metallic top plate 102 also provides all the necessary feedthroughs (RF, gas inlets, and cooling water inlets/outlets for the ICP coil channels), and provides a vacuum seal (e.g., using a metal seal) to the ICP process chamber. The metallic top vacuum plate 102 cooling may be performed either indirectly using the ICP source coolant (water) flowing through the coil channels in the ceramic housing, or directly using separate embedded cooling channels inside the metallic vacuum top plate 102 itself.
  • Hermetically-sealed [0054] ICP source 100 of the FIG. 1 embodiment, therefore, consists of two RF power sources (for a 2-zone ICP arrangement), 12 electrical RF feedthroughs for 6 coil segments and six pairs of electrical contacts, four coolant feedthroughs (including two inlets and two outlets), and six process gas inlets (assuming six injection zones). However, for the same two-zone ICP coil configuration of hermetically-sealed ICP source 100, the number of external coolant feedthroughs can be reduced to two by connecting the zone-1 and zone-2 water channels in series within the ICP ceramic housing middle dielectric plate.
  • FIG. 2 shows a top view of the middle dielectric or ceramic insulator plate comprising the two-zone antenna configuration for [0055] ICP antenna 202 of the present embodiment. Multi-zone ICP antenna 202 is fabricated within middle dielectric plate 106 of hermetically-sealed ICP source 100. Middle dielectric plate 106 is made of a thermally conductive and electrically insulating material such as AlN, Al2O3, or BN. Formed in ICP source middle dielectric plate 106 are two RF antenna zones for ICP generation that are conceptually differentiated by dash line 206. For example, that portion of ICP antenna 202 outside of dash line 106 corresponding to the antenna segments 172, 173, and 174 forms the zone-1 antenna 208, while that portion within dash line 206 containing the antenna segments 186, 190, and 194 forms the zone-2 antenna 210.
  • As FIG. 2 further indicates, at the center of zone-[0056] 2 antenna 210 appears the feedthrough hole for optical viewport plug 110. Zone-1 or outer zone antenna 208 begins with outer zone-1 RF contact 211 that connects to plated (or a combination of sputtered and plated, or inserted broken ring) coil segment 172 that was originally introduced in FIG. 1. The coil segment 172 is formed in a circular path as a broken ring and continues to RF terminal contact 212. The coolant groove 164 over outer zone coil segment 172 is connected in series to the adjacent outer zone coils segment 173 coolant channel 160 via jumper water channel 214 of middle dielectric plate 106. Connecting to unplated (electrically insulating) jumper water channel 214 is RF terminal contact 150 (FIG. 1) which also connects to ICP coil segment 173. ICP coil segment 173 is also formed as a broken ring and takes a circular path around middle dielectric plate 106 to connect to RF terminal contact 216. The coolant groove 160 of the outer zone segment 173 is connected in series to the coolant groove channel 166 of the outer zone segment 174 via unplated or conductor-free coolant channel 218 between the RF contacts 216 and 220. The RF terminal contact 220 connects to outer zone plated (or conductor covered) coil segment 174 that takes a broken ring circular path to outer zone RF contact 170.
  • The inner zone or zone-[0057] 2 antenna 210 begins at RF contact 222 which connects to inner zone coil segment 186. Coil segment 186 runs in a circular pattern as a broken ring and connects to RF terminal contacts 222 and 224. The coolant groove channel 184 of the inner zone coil segment 186 connects to the inner zone adjacent coil segment coolant groove channel 188 via unplated (conductor free or electrically insulating) coolant channel jumper 226. The inner zone coil segment 190 runs as a broken ring (using plated or inserted conductor) underneath coolant groove channel 188 between RF contacts 227 and 228. The coolant groove channel 188 of the inner zone coil segment 190 connects to the inner zone coolant groove channel 192 of the coil segment 194 via unplated (conductor free or electrically insulating) coolant channel 230. The RF contacts 224 and 227 are externally connected together via an RF capacitor. Similarly, the RF contacts 228 and 232 are linked together via another external RF capacitor. These external capacitor connections (on the atmospheric side of the ICP source) create a 3-turn inner zone coil with two series capacitors for reduced induced voltage. The inner zone RF power supply contacts are the RF contacts 222 and 234. The RF contact 234 connects to coil segment 194 which surrounds optical viewport plug 110 as a broken ring and connects to inner zone RF contact 232. As can be seen in ICP antenna 202, zone-2 antenna portion 208 may operate independently of zone-1 antenna 210 using two separate RF power supplies.
  • The hermetically-sealed [0058] ICP source 100 antenna 202 of FIG. 2, therefore, contains two inductive antenna zones each with three turns. The same design may be arranged with a different external wiring configuration in order to establish, for instance, a three-zone ICP source with two coil turns in each zone. Although a three-zone ICP configuration or another design with more zones is well within the scope of the present invention, the remainder of this description focuses on a two-zone ICP source design configuration. It should be also noted that the design of FIGS. 1 through 4 is electrically configurable externally without any hardware design modifications within the ICP source structure.
  • As FIG. 2 shows, six pairs of electrical RF contacts are made to the six coil turns using ultrahigh vacuum (UHV) compatible electrical RF feedthroughs in metallic [0059] top plate 102 and spring-loaded (or soldered) electrical wires attached to the coil segments. These 12 electrical contacts connect to the multi-zone segment terminals 211 (Z11), 212 (Z12), 150 (Z13), 216 (Z14), 220 (Z15), 170 (Z16), 222 (Z21), 224 (Z22), 227, (Z23), 228 (Z24), 232 (Z25), and 234 (Z26). For a two-zone hermetically-sealed ICP source 100 configuration with three coil turns in each zone, the external electrical wiring and capacitor connections is as follows: The electrical RF terminal contacts 211 (Z11) and 170 (Z16) connect to the first zone RF (e.g., 13.56 MHZ) power supply. External capacitor terminal contacts 212 (Z12) and 150 (Z13) connect via an external RF capacitor. External capacitor terminal contacts 216 (Z14) and 170 (Z15) connect via a second RF capacitor. This completes the formation of ICP zone-1 antenna 208 comprising three coil turns and two external capacitors in series. ICP zone-2 antenna 210 is configured by connecting the electrical RF terminal contacts 222 (Z21) and 234 (Z26) to the second RF power supply. One external RF capacitor is used to connect RF terminal contacts 224 (Z22) and 227 (Z23) while another RF capacitor links RF terminal contacts 228 (Z24) and 232 (Z25) together. A phase shifter/controller may be used between the two ICP RF power supplies in order to control the phase angle between the two power sources. Moreover, another phase shifter may be used to control the phase angle between any of the ICP RF sources and the substrate bias RF power supply. If desired, the ICP source 100 design of FIGS. 1 through 4 may be externally configured for operation as an n-zone ICP source with n=1, 2, 3, 4, or even larger. The number of coil turns in each zone can also be selected by the design of the external wiring and series RF capacitor arrangement.
  • FIG. 3 describes in more detail the construction of top [0060] dielectric plate 104 including the bonded ICP feedthroughs. Top dielectric plate 104 may be formed of a thermally conductive and electrically insulating ceramic material such as aluminum nitride (AlN), boron nitride, or even aluminum oxide. Top dielectric plate 104 has, in the present embodiment, several bonded feedthroughs for two antenna zones and six showerhead zones. In the left-hand side feedthrough section 240, ICP antenna zone-1 electrical feedthrough 150 connects to one of the RF contacts in zone-1 RF antenna 208 of FIG. 2. There are six electrical RF feedthroughs for the ICP zone-1 segments and another six electrical RF feedthroughs for the ICP zone-2 segments. In the right-hand side feedthrough section 242, ICP antenna zone-2 electrical feedthrough 152 connects to one of the RF contacts in zone-2 RF antenna 210 of FIG. 2. Top dielectric plate 104 also includes the necessary feedthrough inlets 112, 114, 116, 130, 132, and 134 for gas flow connections to the showerhead injector dispersion cavities (six showerhead zones are shown). Similarly, top dielectric plate 104 permits flow of cooling water through bonded tubes for zone-1 coolant inlet 162 and zone-1 coolant outlet 168. Top dielectric plate 104 also includes two bonded tubes for zone-2 coolant inlet 180 and zone-2 coolant outlet 197. Except for the RF feedthroughs, all the bonded feedthroughs (gas injection inlets and coolant inlet/outlet tubes) are flushed against the bottom surface of the top dielectric plate 104 (or they can be bonded to the dielectric holes by partial feeding the tubes into a fraction of the dielectric plate thickness).
  • FIG. 3, therefore, illustrates top [0061] dielectric plate 104 with all the bonded tubings (for gas injection and water cooling) and electrical RF connectors. For an ICP source with a multi-zone injector, multiple gas injection tubes are used whereas for an ICP source with a single-zone showerhead, a single gas injection tube can be used. The FIG. 3 design also shows four water cooling tubes 162, 168, 180, and 197. If desired, the number of water cooling feedthrough tubes can be reduced to two by interconnecting the ICP antenna cooling channels in series internally by added coolant groove segments (w/o metallization or conductor jumpers/links) between the broken rings in the middle dielectric plate containing the ICP antenna grooves containing the conductor grooves.
  • FIG. 4 illustrates a facial bottom surface view of the [0062] middle dielectric plate 106 of the present embodiment. This dielectric plate surrounds optical plug 110 and may be formed of a thermally conductive and electrically insulating ceramic material such as aluminum nitride (AlN), boron nitride (BN), or even aluminum oxide (Al2O3). In the present embodiment, ceramic showerhead 108 includes six gas injection zones, each corresponding to one of the gas dispersion cavities embedded in the middle dielectric plate 108 and shown in FIG. 4. These gas dispersion cavities are shallow circular grooves formed in the middle dielectric plate 106 and connected to the gas injection inlets. In particular, inner gas dispersion cavity ring 140 receives process gas via gas injection inlet 134 and uniformly directs the process gas to the inner zone of showerhead 108 injection holes 146. Second gas dispersion cavity 138 receives process gas via gas injection inlet 132 and uniformly distributes the process gas to the second zone of showerhead injection holes 144. Third gas dispersion cavity 136 receives process gas from gas injection inlet 130 and distributes the process gas to the third zone of showerhead injection holes 142. Fourth gas dispersion cavity 122 receives process gas through inlet 116 and guides the process gas to the fourth zone of showerhead injection holes 128. Fifth gas dispersion groove 120 receives process gas from inlet 114 and distributes the process gas to the fifth zone of showerhead injection holes 126. Sixth gas dispersion cavity 118 receives process gas from inlet 112 and directs the process gas to the outer zone of showerhead injection holes 124.
  • The vacuum, water, and process gas seals for hermetically-sealed [0063] ICP source 100 may be established either using bonded junctions that are formed using a thermal bonding process or, alternatively, elastomer O-ring seals. Thermal bonding using a suitable low melting point metal or metal alloy is a preferred method for establishing hermetic seals in ICP source 100 since a bonded structure eliminates the possibilities of process contamination, base pressure degradation, and outgassing problems associated with elastomer O-ring seals. Indium, indium alloys, or other suitable bonding materials may be used for formation of the bonded ICP structure. An alternative to metal-based thermal bonding is hermetic bonding using a thermally cured epoxy material.
  • As shown in FIG. 1, besides the metallic [0064] top plate 102, ICP source 100 housing comprises a stack of three electrically insulating disks. As described earlier, these disks may be made of a ceramic material, preferably a thermally conductive ceramic material such as aluminum nitride (AlN), boron nitride (BN), alumina (Al2O3), or even a plasma-resistant polymer based material, such as Vespel. Due to their high-temperature stability, ceramic materials work well for the ICP source 100 structure when assembled using a thermal bonding process. This is due to the fact that the thermal bonding processes using various bonding materials may require bonding temperatures in the range of 200° C. to 600° C. A bonding temperature of <300° C. can be used using tin (Sn) or indium (In) or their alloys as the metallic bonding material. Moreover, the epoxy bonding processes usually employ thermal cure temperatures <300° C.
  • The use of [0065] optical viewport 198 of ICP source 100 is optional and this viewpoint may be positioned at the center of hermetically-sealed ICP source 100. Optical plug or light pipe 110 may be made of a suitable optically transmissive light pipe material such as quartz or sapphire for implementation of a real-time in situ sensor. Viewport 198 provides a suitable wafer view for integration of a full-wafer interferometry sensor for real-time rate and process uniformity control. This viewport can also be used for integration of other types of plasma sensors such as a single-wavelength or spectral plasma emission sensor. The ICP source design concepts of this invention are applicable to both planar and contoured ICP coil designs. For 8″ wafer processing, metallic top plate 102 may have a diameter of 14″ to 20″. The vacuum plate diameter could be as much as 20″ as is the case of the Universal Plasma Module (UPM) manufactured by CVC Products of Rochester, New York, which has an internal chamber diameter of 18″. Metallic top plate 102 may have thickness in the range of ¼″ to 1″ to provide sufficient mechanical strength for use on a vacuum process chamber.
  • [0066] Top dielectric plate 104, middle dielectric plate 106, and showerhead 108 form a stack of three bonded ceramic (AlN, Al2O3 or BN) or polymer-based (e.g., Vespel) disks as the main ICP antenna housing of the ICP source 100. The showerhead plate 108 is a relatively thin plate (e.g., a thickness of ⅛″ to ¼″) with an array of rings of circular holes (0.5 mm to 1 mm diameter holes) forming a six-zone showerhead configuration. The central opening 109 of showerhead 108 preferably has a diameter in the range of 0.50″ to 1.5″ to receive viewport optical plug 110. Showerhead 108 is thermally bonded (or connected using an elastomer O-ring seal) to the middle dielectric plate 106 which contains the ICP RF antenna coil segments and cooling water channels.
  • The ICP source embodiment depicted in FIGS. 1 through 4 shows that only the bottom surface of the ICP antenna grooves or the coolant channels contain conductor channels to form the ICP coil segments. If desired, a metallization process (e.g., electrochemical plating or a combination of sputtering and electroplating depositions) may be used to form the conductor channels by coating not only the cooling channel bottom surfaces, but also the groove sidewalls for reduced RF resistance. For 8″, wafer processing, the optimum ICP source ceramic housing diameter is 10″ to 14″ and preferably 12″. If desired, the ICP antenna conductor segments may be prefabricated from a suitable material such as aluminum or copper and subsequently inserted in the designated antenna channels. [0067]
  • FIG. 5 shows a first cross-sectioned view of an alternative or second embodiment of the present invention as [0068] ICP source 300. This alternative embodiment demonstrated in FIGS. 5 through 9 shows a planar hermetically-sealed multi-zone (HMZ) ICP source design without any contouring of the ICP antenna or the dielectric housing. HMZ ICP source 300 includes metallic (e.g., stainless steel) vacuum plate 302 that attaches to top dielectric plate 304 and provides vacuum seal to plasma process chamber (not shown). Top dielectric plate 304 contacts vacuum plate 302 either using hermetic bonded junctions or elastomer O-ring seals (301 and 303). Top dielectric plate 304 attaches to middle dielectric plate 306 via junctions 305 and 307 which are formed either using hermetic bonding or O-ring seals. Moreover, middle dielectric plate 304 is sealed against showerhead plate 308 using bonded junctions 309 or a single outer O-ring seal. Optical viewport 310 includes optical plug 110 or optical window 198 which is hermetically sealed to flange 200 which is secured to vacuum plate 302 using a metal or wire seal. In addition, vacuum plate 302 includes numerous embedded coolant channels to prevent heating of the plate 302 by the ICP RF antenna. Multi-zone process gas injection inlets 314 pass through feedthrough holes 312 in vacuum plate 302, pass through top dielectric plate 304, and continue to middle dielectric plate 306 to provide process gas to showerhead zone dispersion cavities 316. Showerhead zone dispersion cavities 316 receive process gases from the showerhead inlets 314 and provide uniform gas distribution to showerhead injection hole, 318.
  • Besides the [0069] gas dispersion cavities 316 and bonded gas injection inlets 314, the lower dielectric plate 306 also includes the ICP source antenna segments 328 (eight separate segments shown). The ICP source antenna segments 328 are formed by filling the grooves formed on the top surface of the middle dielectric plat using a plating, evaporation, sputtering, or a casting/molding process. An alternative method is to prefabricate the ICP source antenna segments from a suitable material such as aluminum or copper and subsequently insert them in the middle plate antenna cavities. The ICP antenna segments 328 may also be made of other metallic materials such as refractory metals. The top dielectric plate 304 contains coolant grooves 320 formed over the ICP antenna coil segments. These coolant channels connect to external coolant inlet/outlet channels and prevent heating of the ICP source housing during its operation. The ICP structure of FIG. 5 consists of a stack of four plates (one metal and three dielectric plates) which are connected together either using an O-ring-free hermetic bonding process (using indium or another material as a bonding agent or using thermal epoxy bonding) or using elastomer O-ring seals. The dielectric plates may be made of a suitable ceramic (AlN, BN, or Al2O3) or polymer (e.g., Vespel) material. The gas injection inlets 314 (made of stainless steel tubes) are externally connected to one or more gas manifolds for single-zone or multi-zone showerhead operation.
  • FIG. 6 shows a different cross-sectional view of [0070] multi-zone ICP source 300 of FIG. 5 along a cross-sectional plane which is perpendicular to that of FIG. 5. Components previously described in connection with FIG. 5 are identical to those appearing in FIG. 6. FIG. 6 shows additionally, however, cooling water (or any coolant) inlet 321 for directing cooling water to the cooling water channels 320 which are connected in series by coolant jumpers within top dielectric plate 304 to cooling water outlet 322. To connect various components of multi-zone ICP source 300, the present embodiment uses several bonded junctions 324 using indium or another suitable bonding material. FIG. 6 also shows spring-loaded or soldered electrical feedthrough connectors 313 for various ICP antenna coil segments. For instance, sixteen electrical connectors are used for the ICP source structure with eight (antenna) coil segments.
  • FIG. 7 shows one embodiment of middle [0071] dielectric plate 306 that appears in the FIG. 5 embodiment. As previously mentioned, middle dielectric plate 306 is made of a suitable thermally conductive and electrically insulating substrate material which includes passageway 326 for receiving optical plug 110 of optical viewport 198 (or for providing an optical view of the plasma chamber and/or wafer surface) for sensor-based process monitoring and control applications. In a circular broken-ring configuration, numerous aluminum-filled or copper-filled (or in general electrical conductor filled) grooves or trenches appear for receiving radio frequency electrical power and serving as multi-zone ICP antenna portions 328. Also within middle dielectric plate 306 are multiple showerhead zone dispersion cavities 316. Plate bonding junctions 324 are formed on the top and bottom surfaces of middle dielectric plate 306 in order to establish hermetic seals for the multi-zone ICP structure. Middle dielectric plate 306 may be made of a thermally-conducting, electrically-insulating ceramic disk such as a 12″ diameter disk of aluminum nitride, aluminum oxide, or boron nitride. In the embodiment of FIG. 7, conductor-filled (such as aluminum-filled or copper-filled) trenches 328 take the form of eight planarized coil turns in the shape of broken rings. The hermetically-sealed multi-zone ICP antenna segments become an integral part of the middle dielectric plate 306. These antenna segments may be separately fabricated and subsequently inserted in the middle dielectric plate trenches 328 prior to hermetic sealing or bonding of the multi-zone ICP structure. The showerhead zone dispersion cavities 316 form continuous concentric rings around optical plug hole 326 on the bottom surface of the middle dielectric plate 306. Plate bonding junctions 324 can be formed within hermetic sealing trenches and form continuous concentric rings between the adjacent gas dispersion cavities on the bottom surface and multi-zone ICP antenna segments on the top surface. The bonding material may be, for example, aluminum, tin, aluminum silicon, indium, or other compatible materials such as brazing materials or thermally conductive epoxy materials.
  • FIG. 8 shows a facial top surface view of middle [0072] ceramic plate 306 to illustrate the hermetically sealed antenna structure of the embodiment of FIG. 5. In particular, top planarized surface 330 includes, in the FIG. 8 embodiment, nine concentric and continuous rings of hermetic bonding joints 324 for forming a hermetic bond between middle dielectric plate 306 and top dielectric plate 304. Each hermetic bonding joint 324 preferably includes a circular shallow groove or trench filled with a suitable bonding material such as indium. To form the multi-zone coil configuration of FIG. 8, eight (or any other desired number of) inductive coil segments 328 are employed which are in the form of concentric broken rings separated from one another by hermetic sealing trenches 324. Multi-zone coil segments 328 fill the designated substrate grooves and may be formed of aluminum or another electrically conductive material such as copper.
  • Although eight coil segments are shown in FIG. 8, fewer or more number of inductive segments may also be used for a specific application, depending on the multi-zone ICP uniformity control requirements and substrate size to be processed. Each segment cross sectional area may be approximately 0.25 inches to 0.5 inches wide with a thickness of 0.001 inches to 0.120 inches. Each concentric [0073] broken ring 328 includes two electrical contact terminals 332 and 336 for connecting to an external radio frequency power source or for external interconnection of the antenna coil segments to each other via RF capacitors. In one example of the FIG. 8 embodiment, middle ceramic plate 306 has a 12-inch diameter with a 0.25 inch thickness for eight-inch wafer processing. The plate may be made of aluminum nitride (AlN), boron nitride (BN), aluminum oxide (Al2O3), or another suitable material.
  • FIG. 9 illustrates a top facial view of [0074] metallic vacuum plate 302 for the FIG. 5 embodiment. Top surface 340 of metallic top plate 302 shows feedthrough channels 312 that permit passage of multi-zone showerhead process gas inlets 314. The designations of showerhead inlets appearing in FIG. 9 are as follows. SH-81 and SH-82 are two half-zone showerhead gas inlets for the outermost injection zone holes 318 for showerhead injector plate 308 of FIG. 5. Each successive designation SH-71 and SH-72, SH-61 and SH-62, SH-51 and SH-52, etc., down to SH-11 and SH-12, indicate half-zone pairs of showerhead inlets for the associated showerhead injection zone grooves 318 down to the innermost showerhead injection zone holes 318 closest to the opening for optical plug 110. For the particular configuration shown in FIG. 5, the gas injection inlets (SH-11/SH-12 to SH-81/SH-82) can be externally configured using gas manifolds such that the multi-zone ICP source showerhead may operate as an n-zone showerhead with “n” selectable between 1 and 8. In addition to showerhead inlets appearing on top surface 340 there also appears ICP source coolant inlet 322 and ICP source coolant outlet 321. ICP source coolant inlet 322 provides coolant (e.g., cooling water) flow to coolant channels 320 of top dielectric plate 304. Coolant inlet 346 and coolant outlet 348 provide a coolant flow for cooling channels embedded within metallic vacuum plate 302.
  • In FIG. 9, metallic [0075] top plate 302 also includes on top surface 340 external RF capacitor components associated with partitioned ICP source zones 350, 352 and 354 (example shown for a three-zone ICP source arrangement). These external RF capacitors include RF capacitors 356 through 364. In the embodiment of FIG. 9, three radio frequency circuits, including RF power supply RF1, RF power supply RF2, and RF power supply RF3 provide RF electrical power signals to the multi-zone ICP source coils via the external capacitor circuitry. In particular, RF power supply RF1 connects to the outer ICP zone via external RF capacitor circuit 350. RF power supply RF2 connects to the middle ICP zone via external power RF capacitor circuit 352. Also, external RF capacitor circuit 354 delivers power from RF power supply RF 3 to the inner ICP zone.
  • FIGS. 5 through 9, therefore, demonstrate an alternative embodiment for hermetically-sealed [0076] multi-zone ICP source 300 that has many features similar to the design described earlier. The alternative design of FIGS. 5 through 9, however, is a completely planar hermetically-sealed multi-zone ICP source without any contouring of the ICP coil segments or the dielectric housing. In this design, middle dielectric plate 306, which may be made of one of several dielectric materials such as alumina or aluminum nitride, contains fully metallized grooves, forming the bonded multi-zone coil structure shown in FIG. 8. The FIG. 8 embodiment shows eight coil segments, although any number of segments (e.g., two to ten) may be used in the design. The middle dielectric plate 306 also includes bottom gas grooves 316 for gas injection. Bottom gas grooves 316 can be formed as continuous rings which connect to the bonded gas injection tubes coming from the metallic top plate 302 feedthroughs.
  • In this design, hermetically-sealed [0077] multi-zone ICP source 300 with its eight coil segments employs an eight-zone showerhead 308 with sixteen gas inlets 314. Each showerhead 308 zone has two gas injection inlets 314 (e.g., SH-11 and SH-12 inlets connected to the showerhead zone 1) for enhanced process uniformity. Each showerhead 308 zone may, however, use only one gas inlet 314 as long as the showerhead 308 gas grooves or gas dispersion cavities 316 provide sufficient gas flow conductance for axisymmetric gas injection through the injector plate holes 318. For a single-zone showerhead (e.g., when ICP is used for low-pressure surface preparation/cleaning is applications), only a single gas inlet is required. This can be accomplished by using a gas manifold which connects to al the gas inlets SH-11/SH-12 through SH-81/SH-82. A multi-zone gas inlet configuration, however, provides the flexibility to configure the gas injection as single-zone or multi-zone injection by appropriate external plumbing and gas manifolding.
  • For the design shown FIGS. 5 through 9, the multi-zone ICP source water cooling channels are included in top [0078] dielectric plate 304. Top dielectric plate 304 also contains all the ICP antenna electrical feedthroughs as well as the bonded gas injection inlets and water cooling inlet/outlet tubes. If the entire multi-zone ICP source 300 structure is hermetically bonded to the stainless steel vacuum plate and if the ICP dielectric housing plates (three plates) are made of a relatively high thermal conductivity material such as aluminum nitride (AlN) or boron nitride (BN), the cooling channels and the associated cooling feedthroughs may be eliminated from the top dielectric plate. This is possible because the ICP housing can be effectively cooled using the water-cooled stainless steel vacuum plate. A thermally conductive bonded hermetically sealed junction between the metallic vacuum plate and the top dielectric plate will facilitate heat transfer from the ICP antenna to the water-cooled vacuum plate. As shown in FIG. 9, this multi-zone ICP source can be externally configured as an n-zone source with n=1, 2, 3, 4, or even more (up to 8 for this particular design). The configuration shown in FIG. 9 indicates a three-zone ICP arrangement with three individually controlled RF power supplies.
  • The [0079] external RF capacitors 356 through 364 reduce the induced reactive voltage, resulting in negligible capacitive coupling and sputtering of the chamber and ICP source materials. In the configuration shown in FIG. 9, the eight-segment ICP source has been externally partitioned and configured as a three-zone plasma source. The outer three coil segments in conjunction with two external RF capacitors 356 and 358 form the outer ICP zone 350 (using zone-1 power supply RF1). The middle three coil segments have been grouped together in conjunction with another pair of external RF capacitors 360 and 362 to form the middle or second ICP source zone 352 and are powered by RF power supply RF2. The inner two coil segments are connected in series via external RF capacitor 364 and form the inner or third ICP zone 354. The inner zone is powered by the third RF power supply (RF3). These three RF (e.g., 13.56 MHZ) power supplies may also use phase shifters/controllers for enhanced and repeatable process control. Other external wiring arrangements and multi-zone partitioning(two-zone, four-zone, etc.) are also possible using the same ICP source design.
  • FIG. 10 shows a cross-sectional view of a modified version of the second multi-zone ICP embodiment (shown in FIGS. 5 through 9) where the multi-zone ICP source housing has been lowered with respect to the process chamber vacuum lid or flange by increasing the spacing between the vacuum plate and the ICP housing (by inserting a welded cylindrical metallic extension part between the ICP housing and the vacuum chamber lid). This modified configuration provides a smaller minimum ICP source to substrate spacing for enhanced ICP process throughput rate, particularly in plasma process camber designs where the up/down travel range for the substrate chuck is restricted. FIG. 11 shows a second cross-sectional view of the modified version of the second multi-zone ICP source embodiment of FIG. 10 mounted on a vacuum process chamber for high-throughput plasma-assisted processing applications. As shown in FIG. 11, the recessed ICP housing allows reduced ICP source to substrate spacing for enhanced process throughput. [0080]
  • FIGS. 12 through 14 show yet another alternative hermetically sealed [0081] multi-zone ICP source 400 embodiment of the present invention. This preferred design is essentially similar to the previous design shown of FIGS. 5 through 9 except for two main differences. FIG. 12 shows alternative hermetically-sealed multi-zone ICP source 400 embodiment in cross sectional view 400 including metallic top plate 402 that attaches to top dielectric plate 404, preferably using a thermal bonding or brazing process. Top dielectric plate 404 attaches to middle dielectric plate 406. Middle dielectric plate 406 bonds to gas injector plate 408. Top vacuum plate 402 includes multiple channels 412 for receiving gas injection inlets 414. Gas injection inlets 414 pass through top dielectric plate 404, are bonded to the top dielectric plate 404, and enter middle dielectric plate 406 where they join showerhead zone cavities 416. Showerhead zone cavities 416 provide process gases to showerhead injection holes 418. Passing through alternative ICP source 400 is optical plug 110 (or an optical viewport) that hermetic metal seal flange 420 connects to top vacuum plate 402. Middle ceramic plate 406 includes distributed cooling water channels 422 that support coil segments 424 on their sidewalls. These coil segments may be formed by plating of the coolant channels.
  • FIG. 13 shows an alternative cross section of [0082] ICP source 400 of FIG. 12. As FIG. 13 indicates, coolant inlet and outlet 426 allow coolant flow through the ICP source housing 400 via the coolant channels 422 formed in the middle dielectric plate 406. Moreover, electrical contact leads 428 allow electrical connections of the multi-zone ICP source cool segments 424 to the external capacitors and RF power supply.
  • FIG. 14 illustrates in more detail the structure of middle [0083] dielectric plate 406 of the present embodiment. As FIG. 14 indicates, RF coil segments 424 line cooling water channels 422. This eliminates the need for formation of deeper coolant channels for cooling RF coil segments. In addition, gas dispersion cavities 416 are formed in the middle dielectric plate 406 for uniform distribution and injection of the process gases via the bottom dielectric plate 408. Opening 426 of middle dielectric plate 406 is of sufficient size to permit passage of optical plug 110 for in-situ plasma process monitoring and control applications.
  • Consequently, differences between hermetically-sealed [0084] multi-zone ICP source 300 of FIGS. 5 through 9 and multi-zone ICP source 400 of FIGS. 12 through 14 include U-shape metallized grooves 422 in the middle dielectric plate, as opposed to the fully filled metallized grooves. As a result of this difference, a second difference is that multi-zone ICP source 400 eliminates the need for fabricating cooling grooves in top dielectric plate 404. This is because the trenches 422 include metallized sidewall and bottom surfaces to form coil segments 424 and can also allow coolant flow for ICP source cooling. This results in a simplified ICP source structure and manufacturing process. As with multi-zone ICP source 300 of FIG. 5, the ICP coil metallization process for multi-zone ICP source 400 may be performed using one or a combination of sputtering and electroplating deposition processes.
  • Before the ICP source is hermetically bonded to the metallic vacuum plate or chamber vacuum flanges, the ICP coil segments are formed as thin (e.g., 10 μm to 1000 μm) layers of metal (Al or Cu) covering the [0085] shallow trench 422 sidewalls and bottom surface. These metallized regions are formed in the shape of broken rings in middle dielectric plate 406 top surface. An alternative embodiment can form the ICP antenna metallized broken rings on the bottom surface of top dielectric plate 304. The vacuum plate or flange 402 has embedded coolant channels in order to perform additional cooling in the ICP source structure.
  • With [0086] multi-zone ICP source 400, it is also possible to place the ICP coil segments 424 between the showerhead 408 and middle dielectric plate 406 by using metallized shallow grooves either on top surface of showerhead plate 408 or on bottom surface of middle dielectric plate 406. Moreover, by using a hermetic bonding process for the overall assembly of the multi-zone ICP source and by providing embedded cooling channels in the top vacuum plate 402, the ICP source cooling can be performed by the top metallic plate 402 and the dielectric coolant grooves 422 can be eliminated. This eliminates the need for top dielectric plate 404 and reduces the overall ICP housing stack to two dielectric plates plus the metallic vacuum lid 402. In this configuration, ICP coil segments 424 may be placed between the gas dispersion trenches 422 on the gas showerhead plate 408. Moreover, they can be used as part of the bonding structure for hermetic sealing of the showerhead plate 408 to its adjacent dielectric plate 406 which itself is hermetically sealed/bonded to the metallic top plate 402. This simplified multi-zone ICP structure is the fourth embodiment illustrated in FIGS. 15 through 21.
  • In order to reduce the eddy current RF losses into the metallic [0087] top plate 402 and maximize the RF coupling efficiency to the plasma environment, a series of radial strips of a permeable soft magnetic material or a ferromagnetic material (e.g., nickel, iron, etc.) may be placed between the metallic top plate 402 and the multi-zone ICP source 400 housing prior to structural bonding. This may be done by depositing the high-permeability magnetic material on metallic top plate 402 using electroplating techniques. Alternatively, shallow radial grooves may be formed in the metallic top plate 402 on its vacuum side and thin rods of high-permeability material (iron or nickel or another ferromagnetic material) may be inserted within these grooves prior to the hermetic bonding process to seal the multi-zone ICP source 400 housing to metallic top plate 402. These high-permeability magnetic material segments provide radial paths to close the magnetic field lines over ICP coil segments 424, resulting in improved RF coupling efficiency to the internal plasma environment.
  • FIGS. 15 through 21, therefore, show a hermetically sealed [0088] ICP source 500 with six coil segments and six showerhead injection zones. In general, the design shown here is applicable to any number of coil segments 518 and any number of showerhead injection zones 516. Various designs with equal or different numbers of coil segments and injection zones are possible.
  • Thus, FIGS. 15 through 21 show yet another alternative embodiment of the present invention including [0089] vacuum plate 502 that adjoins top dielectric plate 504. Dielectric plate 504 adjoins modified showerhead plate 506. Optical plug or viewport 110 fits within ICP source 500 and is sealed by hermetic metal seals using viewport metallic flange 508. This permits an optical view access through optical plug 110 to the substrate within the plasma process chamber. Vacuum plate or flange 502 includes numerous channels 510 for receiving multi-zone gas inlet tubes 512. Gas inlet tubes 512 go to process gas dispersion channels 514 within top dielectric plate 504. Process gas injection holes 516 connect to process gas dispersion channels 514 for directing process gases through modified showerhead plate 506. In alternative multi-zone ICP source 500, RF coil segments 518 are formed integral to modified showerhead plate or bottom dielectric plate 506. In this configuration, there is no need for additional cooling of RF coil segments 518, since effective cooling of the bonded ICP structure is provided by the embedded coolant channels in the vacuum plate or flange 502.
  • FIG. 15 shows a stack consisting of three bonded plates. The top plate is a water-cooled stainless steel vacuum plate or flange (typically 14″ to 20″ in diameter for 200-mm wafer processing (20″ diameter for CVC's Universal Plasma Module). [0090] Gas inlets 512 in the FIG. 15 embodiment are bonded to the top dielectric plate and flushed/butted against the multi-zone gas dispersion cavities 514. Six cavities 514 are shown along with twelve gas inlet tubes 512.
  • On the other hand, FIG. 16 illustrates six of the twelve [0091] electrical RF rods 520 connected to the multi-zone ICP coil segments 518 on the lower dielectric plate or showerhead plate S06. These RF connector rods are thermally bonded, and/or shrink-fit assembled, inside the upper dielectric plate.
  • [0092] Electrical rods 520 are flushed against the bottom surface of the upper dielectric plate 504 and are subsequently fused or bonded to the electrical terminals on the antenna coil segments that appear on FIG. 20. The bottom and top dielectric plates are bonded together on a continuous ring around the edge region as well as on circular bonded junctions (continuous rings) formed between any pair of adjacent coil segments. This will also ensure excellent thermal contact between the top dielectric plate 504 and bottom dielectric plate 506. The coil segments can provide additional bonding surface area for improved thermal contact.
  • FIG. 17 shows a top view of [0093] vacuum plate 502 of multi-zone ICP source 500. In particular, vacuum plate 502 top view includes vacuum plate coolant inlets 522 and 524 that receive cooling water (or any coolant) for ICP source 500. Cooling water outlets 526 and 528 permit the cooling water to exit multi-zone ICP source 500 for effective heat removal. Gas inlet tubes 512 are shown within channels 514. As is the case with earlier described embodiments, the SH-61 and SH-62 gas inlets correspond to the outermost gas rings of showerhead 506. The decreasing number “SH” associated with the gas inlets 512 correspond to the more inward injection rings of showerhead plate 506. Vacuum plate 502 top surface also includes electrical feedthroughs 530 for connecting to ICP antenna RF coil segments 518 and showerhead plate 506. In the FIG. 17 embodiment, electrical feedthroughs 530 include twelve terminals for six antenna coil segments that connect to the six RF coil segments formed on showerhead plate 506.
  • For the bonded monolithic structure shown in FIGS. 15 through 21, the water-cooled [0094] vacuum plate 502 provides effective cooling of the entire multi-zone ICP source 500 and its associated dielectric housing. This effective cooling is possible since the entire ICP housing bonds together to the metallic vacuum plate. The structural bonding minimizes the thermal resistances between the adjacent dielectric plates 504, 506 as well as between the top dielectric plate 504 and water-cooled vacuum plate 502. Moreover, the multi-zone ICP dielectric housing material can be made of aluminum nitride (AlN) which has a relatively high thermal conductivity on the order of 170 W/m-K. According to FIG. 17, the metallic (stainless steel) vacuum plate or flange provides a number of through holes for various multi-zone ICP source feedthroughs.
  • FIG. 18 shows a bottom view of [0095] vacuum plate 502 of the FIG. 13 embodiment. In particular, the bottom view of vacuum plate 502 shows gas inlets 512 emanating from through channels 514 as well as electrical feedthroughs 530 that pass through vacuum plate 502. In addition, the bottom view of vacuum plate 502 shows embedded radial ferromagnetic rods 532 that are made of a high permeability magnetic material. For example, in one embodiment embedded radial rods 532 may be 8″ long by 0.5″ wide by 0.25″ thick rods of iron or nickel or a more suitable ferromagnetic material with low eddy-current losses that are inserted into same size grooves at the bottom surface of vacuum plate 502. In FIG. 18, there are ten radial rods 532 in vacuum plate 502.
  • [0096] Radial rods 532 that FIG. 18 shows are embedded within grooves in vacuum plate 502 and may be made of a magnetic material such as high permeability iron or nickel and provide radial paths to close the magnetic field lines below the vacuum plate. This will result in a reduction of eddy current losses into the metallic vacuum plate and improves the overall multi-zone ICP source 500 RF power coupling efficiency into the plasma environment. If necessary, radial rods 532 may be replaced with a blanket plated layer of iron or nickel on vacuum plate 502 (in this case the rods and grooves will not be needed).
  • For the specific design presented here, the vacuum plate has [0097] 12 holes for showerhead gas inlet tubes (SH-11, SH-12, SH-21, SH-22, SH-31, SH-32, . . . ), and another set of 12 holes for multi-zone ICP electrical connections. Moreover, there is a center hole (0.5″ to 1.5″ in diameter) which is used for insertion of the optical viewpoint. The metallic vacuum plate (14″ to 20″ in diameter) has a thickness of 0.25″ to 0.50″ for providing vacuum mechanical strength.
  • FIG. 19 shows modified [0098] showerhead plate 506 of the FIG. 15 embodiment. Modified showerhead assembly 506 is formed of a dielectric material such as aluminum nitride, aluminum oxide, boron nitrites or another suitable material and includes injector holes 516 and ICP coil segments 518. ICP coil segments 518 are bonded to the top portion of ICP showerhead plate 506 as six monolithic coil segments. Showerhead injector holes 516 include six rings of holes, each hole with a diameter in the range of 0.02 inches to 0.06 inches, with a total number of holes for each injector zone 1 through 6 ranging from 50 to 500 (outer rings to have more holes). Center hole 534 has a diameter of between 0.25 inches and 1.0 inches for passage of optical plug 110. ICP coil segments 518 fit within grooves 536 of modified showerhead 506 which have a depth of 0.001 to 0.1 inches and a width of 0.25 to 0.5 inches.
  • FIG. 20 shows the top surface view of modified [0099] showerhead plate 506. Modified showerhead 506 includes, in the FIG. 20 embodiment, six monolithic coil segments 518 that fill substrate grooves 536 (see FIG. 19) and include terminals 538 and 540 for external RF connections to external RF capacitor circuits. The showerhead 506 embodiment that FIG. 20 shows includes injector holes 516 for injecting processed gas into the process chamber for plasma processing of various substrates.
  • [0100] Bottom dielectric plate 506 serves two purposes. One is to provide multi-zone process gas injection, the other is to house coil segments 518 (formed by preformed metal segments to be sandwiched between the two dielectric plates or formed by sputtering and/or plating on dielectric plate 506) which are made of a suitable material such as aluminum or copper. Coil segments 518 fill shallow grooves (0.001″ to 0.100″) shaped as broken rings. The surface of dielectric plate 506 may be planarized using a mechanical polishing process, for example after filling the shallow trenches with metal.
  • FIG. 21 shows a bottom surface view of top [0101] dielectric plate 504 of the FIG. 15 embodiment. Attaching to top dielectric plate 504 are stainless steel tubes 512 for providing plasma process gas to gas dispersion cavities 514. Stainless steel tubes 512 are bonded stainless steel tubes for multi-zone gas injection through gas dispersion cavities 516, with two tubes positioned 180° apart for each gas dispersion cavity ring. Bonded aluminum rods 520 form six pairs for electrically connecting coil segments 518 to external RF capacitors and RF power supplies. FIG. 21 also shows opening 534 for passage of optical plug 110. Compared to the previous multi-zone ICP source embodiments of this invention, this last design offers some advantages due to its simplified structure and reduced fabrication cost.
  • [0102] Gas dispersion cavities 514 are formed as ring-shaped cavities (0.25″-0.50″ wide by 0.25″ to 0.50″ deep). These cavities will overlay the multi-zone injector holes 516 in the bottom dielectric plate. Also shown in FIG. 21 are the gas inlet tube ends 520 (twelve tubes shown for a six-zone injector) located within the gas dispersion cavities. Each pair of inlet tubes are connected together externally to a single gas control manifold and valve to form a controlled six-zone (or n-zone with n=1,2,3, . . . ) process gas injector.
  • Materials that work well to form [0103] dielectric plate 504 and modified showerhead 506 are numerous. However, certain materials are particularly preferred for the present embodiment. These materials include boron nitride, aluminum nitride and aluminum oxide. The reasons why these materials (e.g., AlN and BN) are preferable are discussed briefly to explain their advantages.
  • Boron nitride (BN)is highly refractory material with physical and chemical properties similar to carbon. Graphite-like (g-BN), wurzite (w-BN) and zinc blend (z-BN) are known polymorphs of BN corresponding to the graphite (hexagonal) and diamond (cubic) structures. Transformation of g-BN to w-BN occurs at pressures above 12 GPa at relatively low temperature (230° C.). Transformation of w-BN to z-BN occurs above 1300° C. and pressures above 5.5 GPa. Zinc blend (z-BN) is stable above 5.5 GPa and from 1100° to 1500° C. [0104]
  • All forms of BN are good electrical insulators, possessing band gaps of several electron volts (eV); electrical resistance of the hexagonal from varies from 1.7×10[0105] 13 ohm-cm at 25° C. to 3×104 ohm-cm at 1000° C. and is little affected by frequency. The dielectric constant of hexagonal BN is 3 with the electric vector parallel to the oasal plane and 5 perpendicular to the plane. Consistent with the short interatomic distances and light atomic weights, all forms of BN are very good thermal conductors. Boron nitride is chemically inert in most environments, resisting attack by mineral acids or wetting by glasses, slags and molten oxides, cryolite and fused salts, and most molten metals including aluminum. Its rate of oxidation in air is negligible below 1100° C.
  • Hexagonal boron nitride is commonly synthesized as a fine powder. Powders will vary in crystal size, agglomerate size, purity (including percent residual B[0106] 2O3) and density. BN powders can be used as mold release agents, high temperature lubricants, and additives in oils, rubbers and epoxies to improve thermal conductance of dielectric compounds. Powders also are used in metal-and ceramic-matrix composites to improve thermal shock and modify wetting characteristics.
  • Hexagonal boron nitride may be hot pressed into soft (Mohs [0107] 2) and easily machinable, white or ivory billets having densities 90-95% of theoretical value(2.25 g/cm3). Thermal conductivities of 17-58 W/m-K and CTEs of 0.4-5×10−6/° C. are obtained, depending on density, orientation with respect to pressing direction and amount of boric oxide binder phase. Because of its porosity and relatively low elastic modules (50-75 GPa), hot pressed boron nitride has outstanding thermal shock resistance and fair toughness. Pyrolytic boron nitride, produced by chemical vapor deposition on heated substrates, also is hexagonal; the process is used to produce coatings and shapes having thin cross sections.
  • Cubic boron nitride is second in hardness only to diamond. It is used for high-performance tool bits and in special grinding applications. Cubic BN tooling typically outlasts alumina and carbide tooling and is preferred in applications where diamond is not appropriate, such as grinding of ferrous metals. Aluminum Nitride (AlN) has a molecular weight of 40.99, density of 3.26 g/cm[0108] 3, CTE of 4.6×10−6/° C., m.p. of 2200° C. under 4 atm of N2, and sublimes at 1 atm. AlN has a white, hexagonal crystal structure and its powder hydrolyses on contact with water or water vapor. Water-resistant powders that allow aqueous processing are commercially available. AlN is stable against acids and only slightly reacts with bases. It is made by reacting aluminum metal with nitrogen, by reduction of aluminum oxide with carbon in the presence of nitrogen or ammonia, or by decomposition of the product of reaction between aluminum trichloride and ammonia. AlN powder may be sintered to full density above 1800° C. in 1 atm of N2 with the addition of sintering aids such as Y2O3 or CaO. Thermal conductivity in excess of 200 W/mK can be achieved in sintered parts, which is five times that of aluminum oxide. The dielectric strength of AlN is 1.5 times that of aluminum oxide, and electrical resistivity and mechanical strength are comparable to that of aluminum oxide. Its dielectric constant is about half that of aluminum oxide. Major applications include thermally conductive substrates and heat sinks for semiconductors, automotive and transit power modules, mobile communications and multichip modules. Other properties of AlN appear in the following table.
    Properties of
    Aluminum Nitride
    Units AlN
    Volume ohm-cm ≧1014
    resistivity
    Dielectric RT-1 MHz 8.6
    constant
    Dielectric RT-1 MHz 0.0005
    loss
    Thermal W/mK 170
    conductivity
    Temperature 10−6/° C. 4.7
    coeff. of
    expansion
    RT-400° C.
    Density g/cm3 3.3
    Hardness GPa 11.8
    (Knoop)
    Bending MPa 276
    strength
    Youngs GPa 331
    modules
    Poisson ratio 0.25
    Fracture MPa{square root}m 3.44
    toughness via
    chevron notch
    shorbar
    technique
  • FIG. 22 demonstrates the schematic diagram of a plasma [0109] equipment process chamber 600 comprising one of the multi-zone ICP structures of this invention. The particular example shown in FIG. 22 shows a three-zone configuration in conjunction with three RF power supplies for the ICP source structure. The multi-zone ICP source structure 601 employs a hermetically bonded structure of dielectric plates (e.g., made of a ceramic material with relatively high thermal conductivity) containing the ICP antenna coils and gas showerhead dispersion cavities (not shown). The ICP housing 601 is also hermetically bonded and sealed to the metallic vacuum plate or flange 603. The vacuum plate or flange 603 is placed onto the plasma equipment process chamber 605 and established chamber vacuum using vacuum seal 622. This configuration places the multi-zone ICP housing on the vacuum side 620 of the process chamber 605. The ICP source 601 provides a vacuum-sealed optical (e.g., sapphire or quartz) plug or viewport 604 for real-time in-situ process monitoring and control purposes by monitoring the plasma process side 620 and/or substrate 607 state parameters. For instance, FIG. 22 shows a full wafer interferometry sensor 617 mounted on top of the optical plug 604 for real-time in-situ monitoring and control of the plasma process uniformity on the substrate 607. Other in-situ sensors such as plasma emission sensors and spatially resolved plasma emission sensors may also be used for process monitoring and control purposes.
  • The [0110] multi-zone ICP source 601 is positioned over a chuck 606 supporting the substrate 607 to be processed. Preferably, chuck 606 controls the substrate 607 temperature by controlled heating and/or cooling during the plasma process. The substrate 607 is preferably clamped to the temperature-controlled chuck 606 either by electrostatic or mechanical means. Moreover, the chuck 606 preferably has a capability for up/down movement and height adjustment with respect to the ICP source housing 601. This will provide a very useful capability for adjusting the ICP source to substrate spacing in order to optimize the plasma process parameters such as process uniformity while maintaining sufficient process throughput. For instance, reducing the ICP source to substrate spacing results in increased plasma density and ion current density at the wafer, causing enhanced plasma process rate. If the ICP source to substrate spacing becomes too small, the process uniformity may degrade and there may also be additional problems associated with plasma-induced device damage and excessive eddy-current heating of the substrate 607.
  • The plasma process chamber provides a vacuum chamber access valve for automated loading and unloading of the [0111] substrate 607 into and out of the vacuum process chamber 605. Moreover, the plasma process chamber 605 connects to a vacuum pump (e.g., turbo pump and/or mechanical pump) via pump port 629.
  • The [0112] chuck 606 preferably provides an option for electrical biasing (e.g., 13.56 MHZ RF or 100 kHz-400 KHz AC power supply) of the substrate 607 via power supply 614 and coupling capacitor 615. This electrical bias provides a good control over the plasma ion energy impacting the substrate 607.
  • FIG. 22 shows a three-zone ICP configuration with the outer zone, middle zone, and inner zone powered by the RF power supplies RF[0113] 1 (608), RF2 (609), and RF3 (610), respectively. As shown in this FIGURE, the RF power supplies are connected to the ICP antenna zones, preferably via series blocking capacitors 630, 631, and 632. Moreover, external inter-segment series capacitors C1 (611), C2 (612), and C3 (613) connect the antenna segments in series within each zone (outer zone, middle zone, and inner zone, respectively). These series capacitors ensure reduced induced RF voltages within various zones, resulting in improved inductive plasma coupling and reduced parasitic capacitive coupling of the energy source to the plasma medium. The multi-zone ICP 601 RF power supplies (608, 609, 610) may employ source frequencies over a wide range (e.g., 1 MHZ to over 30 MHZ), and preferably a fixed 13.56 MHZ frequency. Moreover, these multi-zone power supplies may use external RF matching networks (not shown in FIG. 22) placed between the RF power supplies and the ICP antenna zones for improved load matching, improved RF power coupling, and improved plasma process repeatability. The RF power supplies may also employ phase shifters 616 in order to control the phase angles among various RF power supplies for improved process uniformity and repeatability.
  • The [0114] phase shifters 616 become non-essential when the power supplies use different frequencies. For instance, if a 100 kHz power supply is used for the substrate bias and three 13.56 MHZ RF power supplies are employed for powering the multi-zone ICP antenna, there is no need for a phase shifter between the substrate power supply and the multi-zone ICP power supplies. The ICP RF power supplies, however, may employ phase shifters/controllers to control the phase angles for RF1 (608), RF2 (609), and RF3 (610).
  • FIG. 22 also shows the [0115] coolant inlet 633 and coolant outlet 634 lines for flowing the coolant (e.g., cooling water) through the metallic vacuum lid. Due to the hermetically sealed bonded structure of the ICP source with the thermally conductive bonded/sealed interfaces 640 (between the top dielectric plate and the metallic vacuum lid) and 641 (between the lower and upper dielectric or ceramic plates), the cooled metallic vacuum plate/lid also serves as an effective heat removal or heat sink medium for the ICP housing. This will ensure that the ICP housing temperature with maximum RF power levels running through the antenna segments will remain well below 100° C.
  • As shown in FIG. 22, the [0116] ICP process gases 635 are fed to the ICP showerhead plate 602 via the gas manifolds 618 and 619. The external manifolding of the ICP gas lines can be designed to meet the specific plasma process uniformity and defect density requirements. The gas injection system can be set up for either single-zone or multi-zone gas injection (a two-zone injection using two gas manifolds is shown in FIG. 22).
  • FIG. 23 shows an example of a two-manifold [0117] gas injection configuration 700 used with the multi-zone ICP source structures of this invention. FIG. 23 shows six pairs of gas inlet lines 703 for the multi-zone ICP source. The schematic diagram of FIG. 23 also shows up to six different process gases 704 and 705 coming from the ICP equipment gas box. The multi-zone ICP source dispersion cavities employ one pair of inlets for each gas dispersion cavity corresponding to each gas injection ring of holes in the showerhead. For instance, SH-61 and SH-62 both connect to the sixth (or outermost) gas dispersion cavity in the multi-zone ICP source structure while the inlet lines SH-11 and SH-12 both connect to the first (or innermost) gas dispersion cavity. As shown in the example of FIG. 23, process gases A, B and C (705) are connected together via the first gas manifold 701 and subsequently inject into the showerhead injection rings 2, 4, and 6. On the other hand, process gases D, E, and F (704) are connected together using the second gas manifold 702 and subsequently inject into the plasma process chamber via the showerhead injection rings 1, 3 and 5 (SH-11/SH-12, SH-31/SH-32, and SH-51/SH-52 inlets). This arrangement effectively configures the multi-zone ICP injector as a two-zone showerhead where premixing of the first group of process gases 705 and the second group of process gases 704 is prevented. It should be understood that other gas connection configurations and zone partitioning arrangements are possible for the multi-zone ICP structures of this invention.
  • FIGS. 24A and 24B illustrate a three-zone and a two-zone ICP mixing arrangement, respectively, for one embodiment of this invention with six antenna segments. As shown in FIG. 24A, an [0118] RF power supply 801 is used to provide substrate bias via series or blocking capacitor 814. Moreover, the ICP antenna segments (six segments in this example) are externally partitioned and wired to form three ICP zones (edge zone 811, middle zone 812, and center zone 813). In edge zone 811, the outer two antenna segments ( segments 1 and 2 with connector nodes C11/C12 and C21/C22) employ a series capacitor 808 to bridge the two segments. A first RF1 power supply 803 connects to C11 and C22 via series blocking capacitor 815. The middle zone 812 is formed by bridging the third and fourth antenna segments using a series capacitor 809 placed between nodes C32 and C41. A second RF2 power supply 805 powers the middle zone 812 via series blocking capacitor 816. Inner zone 813 is configured by combining the fifth and sixth antenna segments using a series capacitor 810 placed between nodes C52 and C61. A third RF3 power supply 807 powers the inner zone via series blocking capacitor 817. Three phase shifters/ controllers 802, 804, 806 may be used in order to control the relative phase angles of various RF power supplies for process uniformity and repeatability. For a given multi-zone ICP source structure, various types of ICP antenna segment partitioning may be used for a given number of zones. In the example shown in FIG. 24A, each antenna zone has received two adjacent antenna segments. The optimum partitioning configuration should provide the best amount of control over plasma process uniformity.
  • FIG. 24B illustrates a two-zone ICP arrangement wherein the outer zone is formed by grouping the first and second antenna segments while the inner zone is configured by grouping the third through sixth antenna segments. The outer zone employs a series capacitor between nodes C[0119] 12 and C21 in conjunction with a first RF1 power supply 905 connected to nodes C11 and C22 via a series blocking capacitor 913. The inner zone utilizes series capacitors 908 (between nodes C32 and C41), 909 (between nodes C42 and C51) and 910 (between nodes C52 and C61). A second RF2 power supply 906 connects to inner zone nodes C31 and C62 via series blocking capacitor 914. An RF power supply 901 connects to the plasma equipment chuck via series blocking capacitor 902 in order to produce substrate RF bias. Phase shifters/ controllers 903 and 904 may be used in order to control the relative phase angles of various RF power supplies.
  • One important advantage of the multi-zone ICP structures and methods of this invention is that for any given source structure numerous multi-zone wiring arrangements and antenna segment partitioning configurations are possible simply by changing the electrical wiring external to the source. Therefore, this invention provides a significant amount of flexibility for optimizing the multi-zone ICP source zoning and partitioning in order to establish the widest possible plasma process window and the best process uniformity. Moreover, the multi-zone ICP source structures and methods of this invention are scalable to allow uniform processing of larger substrates such as 300-mm silicon wafers and large-area flat-panel display substrates. [0120]
  • The hermetic sealing fabrication method preferably used for fabrication of the multi-zone ICP source structures of this invention result in extremely high vacuum integrity, ultra-high vacuum (UHV) compatibility, and ultra-clean plasma processing. For instance, the multi-zone ICP source structures of this invention are compatible with vacuum base pressures as low as 5×10[0121] −9 Torr and better.
  • For a given multi-zone ICP source structure and a specified process application, the optimum zoning and antenna segment partitioning (or grouping) between the antenna zones can be obtained by performing a series of Design-of-Experiments (DOE) with various external wiring configurations. The multi-zone ICP series capacitors are selected to minimize the antenna RF voltages within various zones depending on the RF frequency. [0122]
  • In most practical plasma process applications, the multi-zone ICP structures of this invention can meet the process requirements using a two-zone or a three-zone configuration (e.g., two-zone configuration for up to 200-mm wafer processing). Larger substrates (e.g., 300-mm silicon wafers) may benefit from a higher number of zones. A multi-variable real-time controller may be used in conjunction with a suitable sensor (e.g., a full-wafer interferometry sensor) in order to control the process uniformity and repeatability. [0123]
  • The multi-zone ICP structures of this invention may employ either straight lined-up electrical connection feedthroughs for the ICP antenna segments (as described and shown for various embodiments) or they may utilize staggered electrical feedthroughs in order to prevent any possible plasma process non-uniformities associated with the lined up segment ring breaks in a non-staggered arrangement. For instance, for a multi-zone ICP structure with eight circular antenna segments, one type of design may employ eight pairs of electrical feedthrough connector leads lined up along two straight (nearly radial) lines extending between the center and edge regions of the ICP housing. In this design, there may be some plasma density non-uniformity directly underneath the source (and very close to the source) and between the two segment feedthrough lines. This possible non-uniformity can be produced due to the break in the current flow through each broken antenna segment ring and due to the cumulative non-uniformity effects of the non-staggered or straight lined-up feedthroughs. On the other hand, the eight pairs of feedthroughs for eight broken ring antenna segments can be staggered at, for instance, 40° to 45° for each pair of adjacent segments in order to utilize the full 360° planar staggering of the feedthroughs in a spiral pattern. This will eliminate the possible cumulative non-uniformity effects of various antenna segment breaks and associated feedthroughs. Thus, the minimum allowable ICP source-to-substrate spacing for acceptable plasma process uniformity is smaller in the case of staggered electrical feedthroughs (e.g., spiral staggered feedthrough pattern) compared to the non-staggered feedthrough pattern. [0124]
  • Due to the proximity of the gas dispersion cavities to the multi-zone antenna segments in various ICP embodiments of this invention, there is a possibility of plasma formation within the gas dispersion cavities. This possible plasma formation in the gas dispersion cavities can be avoided by various means and techniques. One method is to fill the gas dispersion cavities with a suitable ceramic fiber or ceramic powder (e.g., with controlled spherical ceramic particle size). Filling the gas dispersion cavities with a ceramic powder or a ceramic filler can be performed prior to final assembly and hermetic bonding of the multi-zone ICP source structure. [0125]
  • The multi-zone ICP source structures of the present invention may use separate RF power supplies and dedicated matching networks for each of the ICP antenna zones (e.g., three RF power supplies and three RF matching networks for a 3-zone ICP source wiring arrangement). Another method, however, is to electrically wire the zones in parallel with either a fixed capacitor or a variable capacitor connected in series with each of the zones in order to adjust the effective load impedance and electrical current associated with each zone. Another possibility is to use adjustable (e.g., mechanically adjustable with server or stepper motors) transformer couplings for various zones with a single transformer primary coil attached to a single RF power supply and a single RF matching network (RF matching network may not be needed). These possible arrangements allow effective real-time multi-zone ICP plasma uniformity control with a single RF power supply, resulting in reduced system cost and complexity. FIGS. 25A and 25B show examples of two power supply wiring arrangements enabling 3-zone ICP operation and control using a single RF power supply. FIG. 25A shows a parallel capacitive wiring arrangement while FIG. 25B demonstrates an adjustable transformer coupling configuration allowing multi-zone operation. A real-time multi-variable controller will provide control signals for the stepper or servo motors controlling the variable capacitor valves or the extent of transformer coupling ratios. For instance, a multi-variable real-time controller will adjust the multi-zone transformer coupling ratios M[0126] 1, M2, and M3 for real-time multi-zone uniformity control.
  • Although the invention has been described in detail herein with reference to the illustrative embodiments, it is to be understood that this description is by way of example only and is not to be construed in a limiting sense. It is to be further understood, therefore, that numerous changes in the details of the embodiments of the invention and additional embodiments of the invention, will be apparent to, and may be made by, persons of ordinary skill in the art having reference to this description. It is contemplated that all such changes and additional embodiments are within the spirit and true scope of the invention as claimed below. [0127]

Claims (78)

What is claimed is:
1. A multi-zone inductively-coupled plasma source, for producing a plasma medium comprising:
a first antenna structure for substantially inductive coupling of radio-frequency electrical power to said plasma medium;
at least one additional antenna structure for inductive coupling of additional radio-frequency electrical power to said plasma medium, wherein said first antenna structure and said at least one additional antenna structure are operated together for providing a substantially uniform plasma process on at least one substrate placed in a low-pressure plasma processing equipment.
2. The inductively-coupled plasma source of
claim 1
, further comprising;
a plurality of radio-frequency power supplies operable to supply power to said antenna structures; and
a real-time multi-variable controller operable to control the plurality of radio frequency power supplies in order to provide a substantially uniform plasma process.
3. The inductively-coupled plasma source of
claim 1
, further comprising;
a plurality of radio-frequency power supplies operable to supply power to said antenna structures; and
a scalar controller with pre-specified power supply power ratios operable to control the plurality of radio frequency power supplies in order to provide a substantially uniform plasma process.
4. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises at least one antenna segment made of an electrically conducting material.
5. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises at least two antenna segments made of an electrically conducting material and further wherein said at least two antenna segments are connected in series via at least one series capacitor.
6. The inductively-coupled plasma source of
claim 5
wherein said at least one series capacitor is operable to reduce the induced radio-frequency voltage across said first antenna structure.
7. The inductively-coupled plasma source of
claim 6
wherein said first antenna segment is shaped as a substantially circular broken ring.
8. The inductively-coupled plasma source of
claim 1
wherein said at least one additional antenna structure comprises at least one antenna segment made of an electrically conducting material.
9. The inductively-coupled plasma source of
claim 1
wherein said at least one additional antenna structure comprises at least two antenna segments made of an electrically conducting material and further wherein said at least two antenna segments are connected in series via at least one series capacitor.
10. The inductively-coupled plasma source of
claim 9
wherein said at least one series capacitor is operable to reduce the induced radio-frequency voltage across said at least one additional antenna structure.
11. The inductively-coupled plasma source of
claim 4
wherein each of said at least one antenna additional segment has a shape of a substantially circular broken ring.
12. The inductively-coupled plasma source of
claim 1
wherein said radio-frequency electrical power and said additional radio-frequency electrical power are supplied by a single radio-frequency power supply.
13. The inductively-coupled plasma source of
claim 12
further comprising a plurality of adjustable capacitors operable to connect said antenna structures in parallel to said single radio-frequency power supply.
14. The inductively-coupled plasma source of
claim 12
wherein said radio-frequency power supply further comprises a radio-frequency matching network operable to maximize radio-frequency power coupling efficiency to said antenna structures.
15. The inductively-coupled plasma source of
claim 12
further comprising an adjustable transformer coupling device operable coupled to the single radio-frequency power supply, and wherein said adjustable transformer coupling device coupling parameters are adjusted by a multi-variable controller in order to establish a substantially uniform plasma process on said at least one substrate.
16. The inductively-coupled plasma source of
claim 15
wherein said radio-frequency power supply further comprises a radio-frequency matching network in order to maximize the radio-frequency power coupling efficiency to said antenna structures.
17. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure and said at least one additional antenna structure provide a capability to control plasma density values in different regions of said plasma medium.
18. The inductively-coupled plasma source of
claim 13
wherein said adjustable capacitors are set according to pre-specified values in order to establish a substantially uniform plasma process on said at least one substrate.
19. The inductively-coupled plasma source of
claim 13
wherein said adjustable capacitors are adjusted by a multi-variable controller in order to establish a substantially uniform plasma process on said at least one substrate.
20. The inductively-coupled plasma source of
claim 15
wherein said adjustable transformer coupling device coupling parameters are set according to pre-specified values in order to establish a substantially uniform plasma process on said at least one substrate.
21. The inductively-coupled plasma source of
claim 1
wherein said radio-frequency electrical power and said additional radio-frequency power are supplied by at least two separate radio-frequency power supplies.
22. The inductively-coupled plasma source of
claim 21
wherein said at least two separate radio-frequency power supplies are adjusted according to pre-specified power set points in order to establish a substantially uniform plasma process on said at least one substrate.
23. The inductively-coupled plasma source of
claim 21
wherein said at least two separate radio-frequency power supplies are adjusted by a multi-variable controller in order to establish a substantially uniform plasma process on said at least one substrate.
24. The inductively-coupled plasma source of
claim 1
wherein said plasma processing equipment is a semiconductor device manufacturing equipment.
25. The inductively-coupled plasma source of
claim 1
wherein said plasma processing equipment is a data storage device manufacturing equipment.
26. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises a substantially planar antenna.
27. The inductively-coupled plasma source of
claim 1
wherein said at least one additional antenna structure comprises a substantially planar antenna.
28. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises a cylindrical antenna and wherein said at least one additional antenna structure comprises a cylindrical antenna.
29. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises a conic antenna and wherein said at least one additional antenna structure comprises a conic antenna.
30. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure comprises a graded structure antenna and wherein said at least one additional antenna structure comprises a graded structure antenna.
31. The inductively-coupled plasma source of
claim 1
wherein said first antenna structure and said at least one additional antenna structure are embedded in a protective housing made of an electrically insulating material.
32. The inductively-coupled plasma source of
claim 31
wherein said protective housing is coupled to a vacuum support component providing vacuum real to process chamber of said plasma processing equipment.
33. The inductively-coupled plasma source of
claim 31
wherein said protective housing comprises a thermally conducting material.
34. The inductively-coupled plasma source of
claim 31
wherein said electrically insulating material is a ceramic-based material.
35. The inductively-coupled plasma source of
claim 34
wherein said ceramic-based material comprises aluminum nitride.
36. The inductively-coupled plasma source of
claim 31
wherein said electrically insulating material is a polymer-based material.
37. The apparatus of
claim 1
, further comprising:
a first RF power supply for providing RF power to said first antenna structure; and
at least one separate RF power supply for providing RF power to said at least one additional antenna structure;
said first RF power supply and said at least one separate RF power supply being associated to achieve uniform plasma process on said at least one substrate in said plasma processing equipment.
38. The apparatus of
claim 37
, wherein said first RF power supply and said at least one separate RF power supply operate independently for providing multi-RDMP process control flexibility and uniformity adjustment during a plasma fabrication process.
39. The apparatus of
claim 1
, further comprising;
a first RF power supply for providing RF power to said first antenna structure; and
at least one separate RF power supply for providing RF power to said at least one additional antenna structure;
said first RF power supply and said at least one separate RF power supply being associated to achieve spatially uniform plasma density and ion current density in said plasma processing equipment.
40. The inductively-coupled plasma source of
claim 1
wherein said antenna structures comprise a plurality of inductive coil segments with various sizes a spatial positions selected to provide a substantially uniform plasma process on said at least one substrate with a specified substrate size.
41. The inductively-coupled plasma source of
claim 1
wherein said inductive coil segments are formed as substantially concentric broken circular rights with various diameters.
42. The inductively-coupled plasma source of
claim 1
wherein said inductive coil segments ring breaks are angularly staggered.
43. The inductively-coupled plasma source of
claim 1
further comprising an optical viewport for real-time plasma process monitoring using an in-situ sensor in said plasma processing equipment.
44. The inductively-coupled plasma source of
claim 43
wherein said in-situ sensor is a plasma emission sensor.
45. The inductively-coupled plasma source of
claim 43
wherein said in-situ sensor is a full-wafer interferometry sensor.
46. The inductively-coupled plasma source of
claim 31
wherein said protective housing comprises an upper dielectric plate and a lower dielectric plate.
47. The inductively-coupled plasma source of
claim 46
wherein said upper dielectric plate comprises feedthroughs for providing electrical connections to said antenna structures.
48. The inductively-coupled plasma source of
claim 47
wherein said upper dielectric plate further comprises at least one process gas inlet feedthrough and at least one gas dispersion cavity associated with said process gas inlet feedthrough.
49. The inductively-coupled plasma source of
claim 48
wherein said lower dielectric plate comprises cavities to house said antenna structures.
50. The inductively-coupled plasma source of
claim 49
wherein said lower dielectric plate further comprises a plurality of small diameter holes for injecting a process gas from said at least one gas dispersal cavity.
51. The inductively-coupled plasma source of
claim 31
wherein said protective housing is a substantially planar assembly.
52. The inductively-coupled plasma source of
claim 51
wherein said protective housing is a substantially cylindrical assembly with a diameter larger than said at least one substrate size.
53. The inductively-coupled plasma source of
claim 9
wherein said at least one series capacitor connecting said at least two antenna segments is placed outside said plasma processing equipment vacuum chamber.
54. The inductively-coupled plasma source of
claim 1
wherein said antenna structures have circular antenna segments.
55. The inductively-coupled plasma source of
claim 1
wherein said antenna structures have spiral antenna segments.
56. The inductively-coupled plasma source of
claim 1
wherein said radio frequency electrical power and said additional radio-frequency electrical power have electrical frequencies in the range of 1 MHZ to 50 MHZ.
57. The inductively-coupled plasma source of
claim 1
wherein said radio-frequencies electrical power and said additional radio-frequency electrical power have equal electrical frequencies.
58. The inductively-coupled plasma source of
claim 1
wherein said antenna structures provide spatial resolution and control capability within said plasma medium for establishing a multi-zone adjustment capability for plasma process parameters at said at least one substrate.
59. A method for producing a multi-zone inductively-coupled plasma in a plasma processing equipment, comprising the steps of:
producing a plasma from a plasma process gas using a first inductively-coupled antenna structure;
separately producing a plasma from a plasma process gas using at least one additional inductively-coupled antenna structure; and
operating said first antenna structure in concert with at least one additional antenna structure to establish a substantially uniform plasma process on at least one substrate in said plasma processing equipment.
60. The method of
claim 59
, further comprising the steps of:
providing radio frequency electrical power to said first inductively-coupled antenna structure using a first radio frequency power supply;
providing radio frequency electrical power to said at least one additional inductively-coupled antenna structure using at least one separate radio frequency power supply;
associating said first radio frequency power supply and said at least one separate radio frequency power supply for achieving uniform plasma processing on said at least one substrate.
61. The method of
claim 60
, further comprising the steps of independently operating and adjusting said first radio frequency power supply and said at least one separate radio frequency power supply to provide process control flexibility and uniformity adjustment during a plasma process.
62. The method of
claim 59
, further comprising the steps providing radio frequency power to said first inductively-coupled antenna structure and to said at least one additional inductively-coupled antenna structure using a single radio frequency power supply.
63. The method of
claim 62
, wherein the step of providing radio frequency power using a single radio frequency power supply further comprises adjustably delivering electrical power levels from said single radio frequency power supply through at least two adjustable passive electrical components.
64. The method of
claim 63
, further comprising the step of independently operating and adjusting the set points for said adjustable passive electrical components to establish a substantially uniform plasma process.
65. The method of
claim 62
, wherein the step of providing radio frequency power using a single radio frequency power supply further comprises placing a transformer with adjustable-coupling sections between said single radio frequency power supply and said first inductively-coupled antenna structure.
66. The method of
claim 65
, further comprising the step of independently operating said transformer and adjusting said adjustable-coupling sections to establish a substantially uniform plasma process.
67. The method of
claim 59
, further comprising the steps of controlling said at least one additional inductively-coupled antenna structure to operate as a plurality of individual sets of inductively coupled antenna segments sized according to the size of said at least one substrate in said plasma processing equipment.
68. The method of
claim 59
, further comprising the step of minimizing electric field induced arcing in said plasma processing equipment by reducing radio frequency voltage across said first inductively-coupled antenna structure and said at least one additional antenna structure by means of distributed resonant capacitors in said first inductively-coupled antenna structure and said at least one additional antenna structure.
69. The method of
claim 59
, further comprising the steps of providing real-time multi-zone control of said first inductively-coupled antenna structure and said at least one additional inductively-coupled antenna structure using at least one in situ sensor to probe said plasma processing equipment to establish a substantially uniform plasma process.
70. The method of
claim 60
, further comprising the step of sending a control signal provided by a sensor to a multi-variable controller to adjust the power supplied by the first radio frequency power supply and the power supplied by the at least one additional radio frequency power supply on a run-by-run basis.
71. The method of
claim 60
, further comprising the step of sending a control signal provided by a sensor to a multi-variable controller to adjust the power supplied by the first radio frequency power supply and the power supplied by the at least one additional radio frequency power supply on a real time basis.
72. A method for forming a multi-zone high-density inductively-coupled plasma source, comprising the steps of:
forming a first set of inductively coupled coil segments for producing a plasma from a plasma process gas; and
forming at least one separate set of inductively-coupled coil segments for separately producing a plasma from a plasma process gas, said first set of individually controlled inductively-coupled coil segments and said at least one separate set of inductively coupled coil segments associated for spatially resolved multi-zone operation to establish a substantially uniform plasma process.
73. The method of
claim 72
, further comprising the steps of:
forming a first radio frequency power supply for providing radio frequency power to said first set of inductively-coupled coil segments; and
forming at least one separate radio frequency power supply for providing radio frequency power to said at least one separate set of inductively-coupled coil segments;
said first radio frequency power supply and said at least one separate radio frequency power supply formed to achieve uniform plasma density and ion current density in a semiconductor device plasma fabrication equipment process environment acting on at least one substrate.
74. The method of
claim 74
, further comprising the step of utilizing said first radio frequency power supply and said at least one separate radio frequency power supply to operate independently for providing process control flexibility and uniformity adjustment during a plasma fabrication process.
75. The method of
claim 73
, further comprising the steps of:
utilizing a first radio frequency power supply for providing radio frequency power to said first set of inductively-coupled coil segments; and
utilizing at least one separate radio frequency power supply for providing RF power to said at least one separate set of inductively-coupled coil segments;
said first radio frequency power supply and said at least one separate radio frequency power supply being operated to achieve sufficiently intense plasma density and ion current density in a plasma fabrication process environment.
76. The method of
claim 73
, further comprising the step of forming said at least one separate set of inductively-coupled coil segments to comprise a plurality of inductively-coupled coil broken rings sized according to a predetermined substrate size.
77. The method of
claim 73
, further comprising the step of interconnecting said inductively-coupled coil segments using at least one series capacitor to minimize electric field induced arcing in a plasma fabrication process environment.
78. The method of
claim 73
, further comprising the step of utilizing at least one in situ sensor for association within the plasma fabrication process environment to enable multi-zone control of said first set of inductively-coupled coil segments and said at least one separate set of inductively-coupled coil segments.
US09/506,279 1996-07-10 2000-02-17 Apparatus and method for multi-zone high-density inductively-coupled plasma generation Abandoned US20010047760A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/506,279 US20010047760A1 (en) 1996-07-10 2000-02-17 Apparatus and method for multi-zone high-density inductively-coupled plasma generation

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/678,065 US5846883A (en) 1996-07-10 1996-07-10 Method for multi-zone high-density inductively-coupled plasma generation
US92861797A 1997-09-12 1997-09-12
US09/506,279 US20010047760A1 (en) 1996-07-10 2000-02-17 Apparatus and method for multi-zone high-density inductively-coupled plasma generation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US92861797A Continuation 1996-07-10 1997-09-12

Publications (1)

Publication Number Publication Date
US20010047760A1 true US20010047760A1 (en) 2001-12-06

Family

ID=24721236

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/678,065 Expired - Fee Related US5846883A (en) 1996-07-10 1996-07-10 Method for multi-zone high-density inductively-coupled plasma generation
US09/506,279 Abandoned US20010047760A1 (en) 1996-07-10 2000-02-17 Apparatus and method for multi-zone high-density inductively-coupled plasma generation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/678,065 Expired - Fee Related US5846883A (en) 1996-07-10 1996-07-10 Method for multi-zone high-density inductively-coupled plasma generation

Country Status (6)

Country Link
US (2) US5846883A (en)
JP (1) JP2000515304A (en)
KR (1) KR20000023689A (en)
GB (1) GB2332979A (en)
TW (1) TW363332B (en)
WO (1) WO1998001893A1 (en)

Cited By (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020168814A1 (en) * 2000-02-23 2002-11-14 Tomohiro Okumura Plasma processing method and apparatus
US20030006019A1 (en) * 2000-03-01 2003-01-09 Johnson Wayne L. Electrically controlled plasma uniformity in a high density plasma source
US20040112538A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Gas distribution system with tuning gas
US20040177922A1 (en) * 2003-03-14 2004-09-16 Tokyo Electron Limited Method and apparatus for monitoring plasma conditions using a monitoring ring
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US20050098117A1 (en) * 2003-11-06 2005-05-12 Divergilio William F. Segmented resonant antenna for radio frequency inductively coupled plasmas
US20050098742A1 (en) * 2003-11-06 2005-05-12 Kellerman Peter L. System and method for performing SIMOX implants using an ion shower
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US20050179435A1 (en) * 2004-02-16 2005-08-18 Tesla Engineering Ltd. Cooling of coils in magnetic resonance imaging
US20060022191A1 (en) * 2002-11-05 2006-02-02 Bakkers Erik Petrus A M Nanostructure, electronic device having such nanostructure and method of preparing nanostructures
US20070181417A1 (en) * 2004-08-13 2007-08-09 Zond, Inc. Plasma Source With Segmented Magnetron
US20070188104A1 (en) * 2004-02-22 2007-08-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20070261639A1 (en) * 2006-05-15 2007-11-15 Elpida Memory, Inc. Semiconductor manufacturing apparatus
US20070293043A1 (en) * 2006-06-20 2007-12-20 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080063576A1 (en) * 2004-03-17 2008-03-13 Japan Science And Technology Agency Micro Plasma Jet Generator
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20090032191A1 (en) * 2004-04-07 2009-02-05 Zond, Inc. High Density Plasma Source
US20090081811A1 (en) * 2007-06-29 2009-03-26 Neil Benjamin Distributed power arrangements for localizing power delivery
US20090078677A1 (en) * 2007-06-29 2009-03-26 Neil Benjamin Integrated steerability array arrangement for minimizing non-uniformity
WO2009067284A1 (en) * 2007-11-20 2009-05-28 Optisolar, Inc. Quick-change precursor manifold for large-area cvd and pecvd
US20090165954A1 (en) * 2004-12-30 2009-07-02 Lam Research Corporation Electrically enhancing the confinement of plasma
US20090210181A1 (en) * 2005-06-10 2009-08-20 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20090250334A1 (en) * 2008-04-03 2009-10-08 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US20100170438A1 (en) * 2007-06-06 2010-07-08 Victor Saywell Gas distributor comprising a plurality of diffusion-welded panes and a method for the production of such a gas distributor
US20110035043A1 (en) * 2009-08-07 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
US20110108195A1 (en) * 2008-07-04 2011-05-12 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing Appratus using temperature adjusting mechanism
US20110133651A1 (en) * 2004-02-22 2011-06-09 Zond, Inc. Methods And Apparatus For Generating Strongly-Ionized Plasmas With Ionizational Instabilities
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US20110143551A1 (en) * 2008-04-28 2011-06-16 Christophe Borean Device and process for chemical vapor phase treatment
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
USRE43508E1 (en) 2001-04-30 2012-07-17 Lam Research Corporation Plasma confinement by use of preferred RF return path
US20130052804A1 (en) * 2009-10-09 2013-02-28 Applied Materials, Imn, Multi-gas centrally cooled showerhead design
CN102971449A (en) * 2010-07-12 2013-03-13 株式会社爱发科 Film-forming apparatus
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20130126486A1 (en) * 2011-11-22 2013-05-23 Ryan Bise Multi Zone Gas Injection Upper Electrode System
WO2013074824A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed, non-concentric multi-zone plasma source systems, methods and apparatus
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
EP2390898B1 (en) * 2010-05-26 2014-05-14 Tokyo Electron Limited Plasma processing apparatus and processing gas supply structure thereof
US20140238608A1 (en) * 2013-02-28 2014-08-28 Novellus Systems, Inc. Ceramic showerhead with embedded rf electrode for capacitively coupled plasma reactor
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US20150371823A1 (en) * 2012-12-28 2015-12-24 Wintel Co., Ltd. Plasma apparatus and substrate processing apparatus
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US20160326649A1 (en) * 2014-01-15 2016-11-10 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
WO2018093664A1 (en) * 2016-11-21 2018-05-24 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
CN109661714A (en) * 2016-09-06 2019-04-19 周星工程股份有限公司 Gas spraying equipment and substrate processing apparatus for substrate processing apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11309167B2 (en) * 2018-06-25 2022-04-19 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation apparatus and deposition processing apparatus
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594400B2 (en) * 2011-11-23 2023-02-28 Lam Research Corporation Multi zone gas injection upper electrode system
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3423186B2 (en) * 1997-04-09 2003-07-07 東京エレクトロン株式会社 Processing method
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
JPH11135438A (en) * 1997-10-28 1999-05-21 Nippon Asm Kk Semiconductor plasma processing apparatus
DE19814805A1 (en) * 1998-04-02 1999-10-07 Bosch Gmbh Robert Wiper rubber coating process
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6397775B1 (en) * 1998-10-16 2002-06-04 Canon Kabushiki Kaisha Deposited film forming system and process
GB2387023B (en) * 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
IT1312150B1 (en) * 1999-03-25 2002-04-09 Lpe Spa IMPROVED REACTION CHAMBER FOR EPITAXIAL REACTOR
US6502529B2 (en) 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
TW588222B (en) * 2000-02-10 2004-05-21 Asml Netherlands Bv Cooling of voice coil motors in lithographic projection apparatus
KR100545034B1 (en) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and method for processing substrate
JP4567148B2 (en) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 Thin film forming equipment
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP3982402B2 (en) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 Processing apparatus and processing method
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
EP1612848B1 (en) 2003-03-26 2013-09-25 Osaka University Extreme ultraviolet light source, extreme ultraviolet light source targets and methods of manufacturing an extreme ultraviolet light source target
US20040237888A1 (en) * 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
WO2005024928A1 (en) * 2003-09-03 2005-03-17 Tokyo Electron Limited Gas treatment device and heat readiting method
US20050205969A1 (en) * 2004-03-19 2005-09-22 Sharp Laboratories Of America, Inc. Charge trap non-volatile memory structure for 2 bits per transistor
US20050235915A1 (en) * 2004-04-27 2005-10-27 Ho Yeu-Chuan S Plasma surface treatment electrode assembly and arrangement
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
KR20060032454A (en) * 2004-10-12 2006-04-17 삼성전자주식회사 Fabrication method of poly crystalline si tft
JP4502198B2 (en) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 Etching apparatus and etching method
KR100661744B1 (en) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 Apparatus for processing substrate with plasma
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
US7474273B1 (en) 2005-04-27 2009-01-06 Imaging Systems Technology Gas plasma antenna
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
JP4782585B2 (en) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ Plasma etching apparatus and method
US7759600B2 (en) * 2006-03-15 2010-07-20 Samsung Austin Semiconductor, L.P. Rupture resistant plasma tube
US7719471B1 (en) 2006-04-27 2010-05-18 Imaging Systems Technology Plasma-tube antenna
KR100835355B1 (en) * 2006-07-25 2008-06-04 삼성전자주식회사 PLASMA Based ION IMPLANTATION APPARATUS
KR100845903B1 (en) * 2006-12-11 2008-07-16 주식회사 뉴파워 프라즈마 Plasma reactor having multi-core plasma generator
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US7999747B1 (en) 2007-05-15 2011-08-16 Imaging Systems Technology Gas plasma microdischarge antenna
TWI383712B (en) * 2007-05-22 2013-01-21 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
TWI423737B (en) * 2007-05-22 2014-01-11 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
KR101384583B1 (en) * 2007-08-02 2014-04-14 최대규 Inductively coupled plasma reactor having multi rf antenna
KR101424487B1 (en) * 2007-08-31 2014-07-31 최대규 Inductively coupled plasma reactor having multi rf antenna
KR101468730B1 (en) * 2007-08-31 2014-12-09 최대규 Inductively coupled plasma reactor having multi rf antenna
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
US9139351B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-stabilized storage systems with flexible connectors
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
KR100980281B1 (en) * 2007-12-24 2010-09-06 주식회사 뉴파워 프라즈마 Dual plasma reactor for processing dual substrates with multi-core plasma generator
KR101004927B1 (en) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Showerhead and Chemical Vapor Deposition Apparatus Having the Same
CN102027811B (en) * 2008-05-22 2015-12-09 Emd株式会社 Plasma generating device and plasma processing apparatus
TWI386112B (en) * 2008-08-21 2013-02-11 Atomic Energy Council Rf hollow cathode plasma generator
KR101015534B1 (en) * 2008-10-15 2011-02-16 주식회사 동부하이텍 Method of manufacturing a low k dielectric film and manufacturing air-gap using the low k dielectric film
TW201105183A (en) * 2009-07-21 2011-02-01 Delta Electronics Inc Plasma generating apparatus
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
JP5916044B2 (en) * 2010-09-28 2016-05-11 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5800547B2 (en) * 2011-03-29 2015-10-28 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101196309B1 (en) * 2011-05-19 2012-11-06 한국과학기술원 Plasma generation apparatus
KR101241049B1 (en) 2011-08-01 2013-03-15 주식회사 플라즈마트 Plasma generation apparatus and plasma generation method
KR101246191B1 (en) 2011-10-13 2013-03-21 주식회사 윈텔 Plasma generation apparatus and substrate processing apparatus
DE102012201953A1 (en) * 2012-02-09 2013-08-14 Singulus Technologies Ag Method and device for passivation of solar cells with an aluminum oxide layer
KR101332337B1 (en) 2012-06-29 2013-11-22 태원전기산업 (주) Microwave lighting lamp apparatus
CN105118767B (en) * 2015-07-27 2017-04-12 郑州大学 Plasma etching equipment
JP6745134B2 (en) * 2016-05-12 2020-08-26 東京エレクトロン株式会社 Plasma processing device
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR20180097064A (en) * 2017-02-22 2018-08-30 삼성전기주식회사 Antenna device and portable terminal including the same
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
JP7229061B2 (en) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 Substrate etching apparatus and etching method
JP7225058B2 (en) * 2019-08-19 2023-02-20 株式会社東芝 High frequency antenna and plasma processing equipment
US20220028663A1 (en) * 2020-07-23 2022-01-27 Applied Materials, Inc. Plasma source for semiconductor processing
KR102603678B1 (en) * 2020-10-13 2023-11-21 세메스 주식회사 Apparatus for treating substrate and method for treating apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
FR2711035B1 (en) * 1993-10-04 1995-12-29 Plasmion Device and method for forming a plasma by applying microwaves.
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process

Cited By (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020168814A1 (en) * 2000-02-23 2002-11-14 Tomohiro Okumura Plasma processing method and apparatus
US20030006019A1 (en) * 2000-03-01 2003-01-09 Johnson Wayne L. Electrically controlled plasma uniformity in a high density plasma source
US7019253B2 (en) * 2000-03-01 2006-03-28 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
USRE43508E1 (en) 2001-04-30 2012-07-17 Lam Research Corporation Plasma confinement by use of preferred RF return path
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US20060022191A1 (en) * 2002-11-05 2006-02-02 Bakkers Erik Petrus A M Nanostructure, electronic device having such nanostructure and method of preparing nanostructures
US7371332B2 (en) * 2002-12-13 2008-05-13 Lam Research Corporation Uniform etch system
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US8801892B2 (en) 2002-12-13 2014-08-12 Lam Research Corporation Uniform etch system
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112539A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040112538A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Gas distribution system with tuning gas
US7235155B2 (en) * 2003-03-14 2007-06-26 Tokyo Electron Limited Method and apparatus for monitoring plasma conditions using a monitoring ring
US20040177922A1 (en) * 2003-03-14 2004-09-16 Tokyo Electron Limited Method and apparatus for monitoring plasma conditions using a monitoring ring
US20070034497A1 (en) * 2003-04-22 2007-02-15 Roman Chistyakov High-density plasma source
US20040212311A1 (en) * 2003-04-22 2004-10-28 Roman Chistyakov High-density plasma source
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US7446479B2 (en) 2003-04-22 2008-11-04 Zond, Inc. High-density plasma source
US20050098117A1 (en) * 2003-11-06 2005-05-12 Divergilio William F. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US20050098742A1 (en) * 2003-11-06 2005-05-12 Kellerman Peter L. System and method for performing SIMOX implants using an ion shower
US7421973B2 (en) 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US20070044717A1 (en) * 2003-11-06 2007-03-01 Divergilio William F Segmented resonant antenna for radio frequency inductively coupled plasmas
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US20090321249A1 (en) * 2003-11-19 2009-12-31 Zond, Inc. Method of Hard Coating a Blade
US7180292B2 (en) * 2004-02-16 2007-02-20 Tesla Engineering Ltd Cooling of coils in magnetic resonance imaging
US20050179435A1 (en) * 2004-02-16 2005-08-18 Tesla Engineering Ltd. Cooling of coils in magnetic resonance imaging
US20110133651A1 (en) * 2004-02-22 2011-06-09 Zond, Inc. Methods And Apparatus For Generating Strongly-Ionized Plasmas With Ionizational Instabilities
US7898183B2 (en) 2004-02-22 2011-03-01 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20100101935A1 (en) * 2004-02-22 2010-04-29 Zond, Inc. Methods and Apparatus for Generating Strongly-Ionized Plasmas with Ionizational Instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US20070188104A1 (en) * 2004-02-22 2007-08-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20080063576A1 (en) * 2004-03-17 2008-03-13 Japan Science And Technology Agency Micro Plasma Jet Generator
US8663572B2 (en) * 2004-03-17 2014-03-04 Japan Science And Technology Agency Micro plasma jet generator
US20090032191A1 (en) * 2004-04-07 2009-02-05 Zond, Inc. High Density Plasma Source
US7750575B2 (en) 2004-04-07 2010-07-06 Zond, Inc. High density plasma source
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20070181417A1 (en) * 2004-08-13 2007-08-09 Zond, Inc. Plasma Source With Segmented Magnetron
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US20090165954A1 (en) * 2004-12-30 2009-07-02 Lam Research Corporation Electrically enhancing the confinement of plasma
US7885774B2 (en) 2005-06-10 2011-02-08 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20090210181A1 (en) * 2005-06-10 2009-08-20 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20070261639A1 (en) * 2006-05-15 2007-11-15 Elpida Memory, Inc. Semiconductor manufacturing apparatus
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070293043A1 (en) * 2006-06-20 2007-12-20 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
WO2008143659A3 (en) * 2006-12-27 2009-01-22 Novellus Systems Inc Plasma generator apparatus
WO2008143659A2 (en) * 2006-12-27 2008-11-27 Novellus Systems, Inc. Plasma generator apparatus
US8864935B2 (en) 2006-12-27 2014-10-21 Novellus Systems, Inc. Plasma generator apparatus
US20100170438A1 (en) * 2007-06-06 2010-07-08 Victor Saywell Gas distributor comprising a plurality of diffusion-welded panes and a method for the production of such a gas distributor
US9105449B2 (en) 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8991331B2 (en) * 2007-06-29 2015-03-31 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity and methods thereof
US20090081811A1 (en) * 2007-06-29 2009-03-26 Neil Benjamin Distributed power arrangements for localizing power delivery
US20090078677A1 (en) * 2007-06-29 2009-03-26 Neil Benjamin Integrated steerability array arrangement for minimizing non-uniformity
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US20130334171A1 (en) * 2007-06-29 2013-12-19 Neil Benjamin Integrated steerability array arrangement for minimizing non-uniformity and methods thereof
WO2009067284A1 (en) * 2007-11-20 2009-05-28 Optisolar, Inc. Quick-change precursor manifold for large-area cvd and pecvd
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20090250334A1 (en) * 2008-04-03 2009-10-08 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20110143551A1 (en) * 2008-04-28 2011-06-16 Christophe Borean Device and process for chemical vapor phase treatment
US8967081B2 (en) * 2008-04-28 2015-03-03 Altatech Semiconductor Device and process for chemical vapor phase treatment
US20110108195A1 (en) * 2008-07-04 2011-05-12 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing Appratus using temperature adjusting mechanism
US8968512B2 (en) * 2008-07-04 2015-03-03 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing apparatus using temperature adjusting mechanism
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20110035043A1 (en) * 2009-08-07 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20130052804A1 (en) * 2009-10-09 2013-02-28 Applied Materials, Imn, Multi-gas centrally cooled showerhead design
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
EP2390898B1 (en) * 2010-05-26 2014-05-14 Tokyo Electron Limited Plasma processing apparatus and processing gas supply structure thereof
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8764902B2 (en) * 2010-07-12 2014-07-01 Ulvac, Inc. Film-forming apparatus
CN102971449A (en) * 2010-07-12 2013-03-13 株式会社爱发科 Film-forming apparatus
US20130174783A1 (en) * 2010-07-12 2013-07-11 Ulvac, Inc. Film-forming apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US11621150B2 (en) 2011-10-17 2023-04-04 Lam Research Corporation Mechanical suppression of parasitic plasma in substrate processing chamber
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
WO2013074824A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed, non-concentric multi-zone plasma source systems, methods and apparatus
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10622195B2 (en) * 2011-11-22 2020-04-14 Lam Research Corporation Multi zone gas injection upper electrode system
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US20130126486A1 (en) * 2011-11-22 2013-05-23 Ryan Bise Multi Zone Gas Injection Upper Electrode System
US11594400B2 (en) * 2011-11-23 2023-02-28 Lam Research Corporation Multi zone gas injection upper electrode system
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) * 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) * 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) * 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US20150371823A1 (en) * 2012-12-28 2015-12-24 Wintel Co., Ltd. Plasma apparatus and substrate processing apparatus
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP2014170742A (en) * 2013-02-28 2014-09-18 Novellus Systems Incorporated Ceramic showerhead with embedded rf electrode for capacitively coupled plasma reactor
US20140238608A1 (en) * 2013-02-28 2014-08-28 Novellus Systems, Inc. Ceramic showerhead with embedded rf electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20160326649A1 (en) * 2014-01-15 2016-11-10 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US11001926B2 (en) * 2014-01-15 2021-05-11 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) * 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109661714B (en) * 2016-09-06 2023-08-22 周星工程股份有限公司 Gas spraying apparatus for substrate processing apparatus and substrate processing apparatus
CN109661714A (en) * 2016-09-06 2019-04-19 周星工程股份有限公司 Gas spraying equipment and substrate processing apparatus for substrate processing apparatus
US10923326B2 (en) * 2016-09-06 2021-02-16 Jusung Engineering Co., Ltd. Gas spraying apparatus for substrate processing apparatus and substrate processing apparatus
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10697061B2 (en) * 2016-11-21 2020-06-30 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
WO2018093664A1 (en) * 2016-11-21 2018-05-24 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11309167B2 (en) * 2018-06-25 2022-04-19 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation apparatus and deposition processing apparatus
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US5846883A (en) 1998-12-08
JP2000515304A (en) 2000-11-14
WO1998001893A1 (en) 1998-01-15
GB9900408D0 (en) 1999-02-24
KR20000023689A (en) 2000-04-25
TW363332B (en) 1999-07-01
GB2332979A (en) 1999-07-07

Similar Documents

Publication Publication Date Title
US5846883A (en) Method for multi-zone high-density inductively-coupled plasma generation
US6209480B1 (en) Hermetically-sealed inductively-coupled plasma source structure and method of use
US5192849A (en) Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US6907924B2 (en) Thermally conductive chuck for vacuum processor
US5082542A (en) Distributed-array magnetron-plasma processing module and method
US5079481A (en) Plasma-assisted processing magneton with magnetic field adjustment
US20200115786A1 (en) Substrate processing apparatus and susceptor
CN1945807B (en) Apparatus for controlling temperature of a substrate
CN107527842B (en) Substrate supporting assembly and heat conducting substrate
US6074512A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
KR101826843B1 (en) Inductive plasma source with metallic shower head using b-field concentrator
US6488822B1 (en) Segmented-target ionized physical-vapor deposition apparatus and method of operation
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
US6490145B1 (en) Substrate support pedestal
US6916399B1 (en) Temperature controlled window with a fluid supply system
US6182602B1 (en) Inductively coupled HDP-CVD reactor
KR102454532B1 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US6378600B1 (en) Thermally conductive chuck with thermally separated sealing structures
US20070079936A1 (en) Bonded multi-layer RF window
CN110337714B (en) Substrate support and substrate processing system
EP0838841A2 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
US5936829A (en) Thermally conductive chuck for vacuum processor
WO1999027153A1 (en) Apparatus and method for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
CN101023197A (en) Wafer heater assembly
EP2321846A2 (en) Electrostatic chuck assembly

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION