US20010021595A1 - Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity - Google Patents

Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity Download PDF

Info

Publication number
US20010021595A1
US20010021595A1 US09/756,011 US75601101A US2001021595A1 US 20010021595 A1 US20010021595 A1 US 20010021595A1 US 75601101 A US75601101 A US 75601101A US 2001021595 A1 US2001021595 A1 US 2001021595A1
Authority
US
United States
Prior art keywords
silicon
silicon oxide
trench
gap filling
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/756,011
Other versions
US6417071B2 (en
Inventor
Syun-Ming Jang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/756,011 priority Critical patent/US6417071B2/en
Publication of US20010021595A1 publication Critical patent/US20010021595A1/en
Application granted granted Critical
Publication of US6417071B2 publication Critical patent/US6417071B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Definitions

  • the present invention relates generally to methods for forming trench fill layers within trenches within substrates employed in microelectronics fabrication. More particularly, the present invention relates to methods for forming gap filling trench fill layers within trenches within substrates employed in microelectronics fabrication.
  • integrated circuits are formed from semiconductor substrates within and upon whose surfaces are formed resistors, transistors, diodes, capacitors and other electrical circuit elements.
  • the electrical circuit elements are connected internally and externally to the semiconductor substrate upon which they are formed through patterned conductor layers which are separated by patterned and/or blanket dielectric layers.
  • trench isolation methods such as shallow trench isolation (STI) methods and recessed oxide isolation (ROI) methods to form trench isolation regions nominally co-planar with adjoining active semiconductor regions of semiconductor substrates.
  • Such trench isolation methods typically employ a chemical mechanical polish (CMP) planarizing method to provide a nominally planarized surface to a trench isolation region formed from a trench fill dielectric layer formed within a trench.
  • CMP chemical mechanical polish
  • Trench isolation regions nominally co-planar with active semiconductor regions within semiconductor substrates are desirable since they optimize, when subsequently forming patterned layers upon those nominally co-planar regions, the limited depth of focus typically obtained with advanced photoexposure tooling employed in the microelectronics fabrication art.
  • gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods are desirable as trench fill layers within trenches within advanced integrated circuit microelectronics fabrications, methods through which are formed such gap filling silicon oxide layers are not entirely without problems.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods exhibit inhibited formation rates in comparison with otherwise equivalent gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon substrate layers other than thermally grown silicon oxide layers.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • the gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon the thermally grown silicon oxide layers also typically exhibit inferior bulk quality (as determined by wet etch rates in dilute hydrofluoric acid) in comparison with otherwise equivalent gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon substrate layers other than thermally grown silicon oxide layers.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • the planarizing methods typically remove not only silicon oxide trench fill layer material but any other silicon oxide materials such as thermal silicon oxide, conformal silicon oxide, etc. Therefore, it is customary to interpose a non-reactive substance such as silicon nitride between the underlying layers and such gap filling silicon oxide trench fill layers to act as a barrier or stop layer to prevent further removal of material as a consequence of the planarizing process, thus adding cost and complexity to the microelectronics fabrication. Also, inferior bulk quality of such gap filling silicon oxide trench fill layers often compromises the dielectric properties of such gap filling silicon oxide trench fill layers. Finally, enhanced surface roughness of such gap filling silicon oxide trench fill layers is undesirable since enhanced surface roughness of such gap filling silicon oxide trench fill layers often provides gap filling silicon oxide trench fill layers of enhanced porosity.
  • Jang et al. in U.S. Pat. No. 5,731,241, disclose a method for protecting a trench fill silicon oxide layer from excessive etching during multiple etching steps when forming the trench fill silicon oxide layer.
  • the method employs a sacrificial silicon oxide layer formed selectively, while employing a sub-atmospheric pressure chemical vapor deposition (SACVD) method, upon a silicon oxide trench fill layer within a trench within a silicon substrate, wherein the sacrificial silicon oxide layer has a greater differential etch rate over the trench fill region and functions as a sacrificial layer to protect the trench fill silicon oxide.
  • SACVD sub-atmospheric pressure chemical vapor deposition
  • the method employs an intermediate silicon oxide trench liner layer formed upon the thermal silicon oxide trench liner layer prior to forming the ozone assisted sub-atmospheric pressure thermal chemical vapor deposited (SACVD) silicon oxide dielectric layer over the thermal silicon oxide trench liner layer, where the intermediate silicon oxide trench liner layer is treated with a nitrogen plasma prior to forming the ozone assisted sub-atmospheric pressure thermal chemical vapor deposited (SACVD) silicon oxide dielectric layer thereupon.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposited
  • Fahey in U.S. Pat. 5,447,884, discloses a method for minimizing voids in a trench fill layer by forming an isolation trench liner layer of silicon nitride within a silicon isolation trench, while forming the silicon nitride layer as thin as 5 nanometers and by densification of a trench fill silicon oxide layer in a pyrogenic oxide annealing step carried out at 800 degrees centigrade.
  • Fulton in U.S. Pat. No. 4,666,556, discloses a method for forming a conformal etch resistant layer of silicon dioxide within a trench wherein first a conformal layer of polysilicon is formed within the trench. Then the polysilicon layer is converted to a layer of silicon dioxide by thermal oxidation, thus filling the trench with silicon dioxide.
  • Desirable in the art are additional methods through which trenches within substrates employed within integrated circuit microelectronics fabrications may be filled with gap filling silicon oxide trench fill layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods, while: (1) attenuating a surface sensitivity when forming those gap filling silicon oxide trench fill layers; and (2) providing gap filling silicon oxide trench fill layers with enhanced bulk quality (as determined, for example, employing etch rates in dilute hydrofluoric acid). It is towards these goals that the present invention is more specifically directed.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • a first object of the present invention is to provide a method through which a trench within a substrate employed in integrated circuit microelectronics fabrication may be filled with a gap filling silicon oxide trench fill layer formed employing a sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method.
  • SACVD sub-atmospheric pressure thermal chemical vapor deposition
  • a second object of the present invention is to provide a method in accord with the first object of the present invention, where there is attenuated a surface sensitivity when forming the gap filling silicon oxide trench fill layer.
  • a third object of the present invention is to provide a method in accord with the first object of the present invention or the second object of the present invention, where there is provided the gap filling silicon oxide trench fill layer with enhanced bulk quality (as determined by etch rate in dilute hydrofluoric acid).
  • a fourth object of the present invention is to provide a method in accord with the first object of the present invention, the second object of the present invention or the third object of the present invention, where the substrate is a semiconductor substrate and the trench is an isolation trench within the semiconductor substrate.
  • a fifth object of the present invention is to provide a method in accord with the first object of the present invention, the second object of the present invention, the third object of the present invention, or the fourth object of the present invention, which method is readily commercially implemented.
  • a method for forming within a trench within a silicon substrate employed in microelectronics fabrication a gap filling silicon oxide trench fill layer To practice the method of the present invention, there is first provided a trench within a silicon substrate. There is then formed upon the silicon substrate and within the trench a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method. There is then employed an annealing step in an oxidizing environment at an elevated temperature to density the gap filling silicon oxide trench fill layer.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • CMP chemical mechanical polish
  • the present invention provides a method through which there may be formed within a trench within a substrate employed in integrated circuit microelectronics fabrication a gap filling silicon oxide trench fill layer formed employing a sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method, where there is attenuated a surface sensitivity when forming the gap filling silicon oxide trench fill layer and where there is provided a gap filling silicon oxide trench fill layer with enhanced bulk quality (as determined employing etch rate in dilute hydrofluoric acid).
  • SACVD sub-atmospheric pressure thermal chemical vapor deposition
  • the method of the present invention realizes these objects by forming within a silicon substrate a trench which is then subsequently filled with a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • the method of the present invention may be employed where the substrate is a semiconductor substrate and where the trench is an isolation trench within the semiconductor substrate.
  • the semiconductor substrate is a silicon semiconductor substrate
  • the method of the present invention does not discriminate with respect to the nature of a trench which is formed within the substrate.
  • the method of the present invention may be employed where the substrate is a semiconductor substrate and the trench is an isolation trench within the semiconductor substrate.
  • the method of the present invention is readily commercially implemented.
  • the method of the present invention provides a novel ordering of integrated circuit microelectronics fabrication methods such as silicon deposition, silicon oxide chemical vapor deposition, patterning, and similar methods which are otherwise generally known in the art of microelectronics fabrication.
  • the method of the present invention is readily commercially implemented.
  • FIG. 1, FIG. 2, FIG. 3 and FIG. 4 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench within a silicon substrate employed in a microelectronics fabrication a patterned planarized gap filling silicon oxide trench fill layer, while attenuating a surface sensitivity and while providing enhanced bulk quality, in accord with a more general embodiment of the method of the present invention which represents a first preferred embodiment of the present invention.
  • FIG. 5, FIG. 6, FIG. 7, FIG. 8 and FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench within a semiconductor substrate employed within an integrated circuit microelectronics fabrication a patterned planarized gap filling silicon oxide trench fill layer, while attenuating a surface sensitivity and while providing enhanced bulk quality and reduced trench recess at corners, in accord with a more specific embodiment of the present invention, which represents a second preferred embodiment of the present invention.
  • the present invention provides a method for forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method within a trench within a substrate employed in integrated circuit microelectronics fabrication.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • a gap filling silicon oxide trench fill layer upon the silicon substrate employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) employing tetra-ethyl-ortho-silicate (TEOS) as a silicon source material.
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • TEOS tetra-ethyl-ortho-silicate
  • CMP chemical mechanical polish
  • the preferred embodiments of the method of the present invention illustrate the method of the present invention in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a pair of patterned planarized gap filling silicon oxide trench fill layers within a pair of trenches within a silicon substrate employed in integrated circuit microelectronics fabrication
  • the method of the present invention may also be employed in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, the gap filling silicon oxide trench fill layer or the patterned planarized gap filling silicon oxide trench fill layers of the method of the present invention within trenches other than isolation trenches within silicon substrates other than silicon semiconductor substrates.
  • the method of the present invention may be employed in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality and reduced trench recess at corners and edges, the gap filling silicon oxide trench fill layer or the patterned planarized gap filling silicon oxide trench fill layers of the present invention into trenches within silicon substrates including but not limited to silicon semiconductor substrates, silicon solar cell substrates and silicon charge-coupled device (CCD) substrates.
  • silicon substrates including but not limited to silicon semiconductor substrates, silicon solar cell substrates and silicon charge-coupled device (CCD) substrates.
  • FIG. 1 to FIG. 4 there is shown a series of schematic cross-sectional diagrams illustrating the results of progressive stages of forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a pair of patterned planarized gap filling silicon oxide trench fill layers within a pair of isolation trenches within a silicon substrate in accord with a first preferred embodiment of the present invention.
  • Shown in FIG. 1 is a schematic cross-sectional diagram of the silicon substrate at an early stage in its fabrication.
  • FIG. 1 Shown in FIG. 1 is a substrate 10 having formed thereupon a silicon layer 12 wherein there has been formed a pair of trenches 11 a and 11 b of width W 1 and W 2 of a depth H 1 separated by a width W 3 .
  • the pair of trenches 11 a and 11 b is formed by patterning methods conventional in the art of microelectronics fabrication.
  • the silicon layer 12 may be of a composite nature in that it may consist of one or more layers of silicon upon a silicon base, as well as any other base, as long as the top layer is silicon of a thickness greater than the depth H 1 of the trench.
  • the method of the present invention may be practiced employing silicon substrates and/or layers of either dopant polarity, any dopant concentration and various crystallographic orientations, within the first preferred embodiment of the method of the present invention the silicon substrate 10 is preferably a (100) silicon substrate having an N- or P-doping.
  • the widths W 1 , W 2 and W 3 are preferably from a minimum width of about 0.3 microns with no upper width limit, and the trench depth H 1 is preferably from about 3000 to about 5000 angstroms.
  • FIG. 2 there is shown a schematic cross-sectional diagram illustrating the results of further processing of the substrate 10 whose schematic cross-sectional diagram is illustrated in FIG. 1. Shown in FIG. 2 is the formation of a gap filling silicon oxide trench fill layer 14 upon the silicon layer 12 and within the pair of trenches 11 a and 11 b .
  • the gap filling silicon oxide trench fill layer 14 is preferably formed by ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) employing tetra-ethyl-ortho-silicate (TEOS) as a silicon source gas.
  • SACVD subzone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • TEOS tetra-ethyl-ortho-silicate
  • the gap filling silicon oxide trench fill layer 14 is formed to a thickness greater than the trench depth H 1 . Subsequent to the formation of the gap filling silicon oxide trench fill layer 14 , the silicon substrate microelectronics fabrication is densified by annealing in an oxidizing atmosphere at an elevated temperature
  • Other parameters employed in forming the gap filling silicon oxide trench fill layer 14 employing the ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method include: (1) a reactor chamber pressure of from about 400 to about 600 torr; (2) a substrate 10 temperature of from about 360 to about 440 degrees centigrade; (3) an ozone concentration of from about 8 to about 14 molar per cent in a helium carrier gas; (4) an oxygen gas flow rate of from about 4000 to about 6000 standard cubic centimeters per minute (sccm); (5) a tetra-ethyl-ortho-silicate (TEOS) flow rate of from about 1600 to about 2400 standard cubic centimeters per minute (sccm); and (6) a helium carrier gas flow rate of from about 3000 to about 5000 standard cubic centimeters per minute (sccm).
  • SACVD ozone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • FIG. 3 there is shown a schematic cross-sectional diagram illustrating the results of further processing of the microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 2.
  • Shown in FIG. 3 is a schematic cross-sectional diagram of a microelectronics fabrication otherwise equivalent to the microelectronics fabrication whose schematic cross-sectional diagram is illustrated in FIG. 2, but wherein the gap filling silicon oxide trench fill layer 14 has been thermally annealed within an oxidizing atmosphere 16 to form a densified thermally annealed gap filling silicon oxide trench fill layer 14 ′ which is formed upon an interfacial silicon oxide layer 18 which is formed through partial consumption of the silicon layer 12 to simultaneously form the partially consumed silicon layer 12 ′.
  • the oxidizing atmosphere 16 may be selected from a group of oxidizing atmospheres including but not limited to oxygen, ozone, nitrous oxide and nitric oxide oxidizing atmospheres.
  • the oxidizing atmosphere consists of oxygen gas at a pressure of from about 600 to about 760 torr and the annealing temperature is from about 1000 to about 1100 degrees centigrade.
  • FIG. 4 there is shown a schematic cross-sectional diagram illustrating the results of further processing of the microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 3. Shown in FIG. 4 is the partially consumed silicon layer 12 ′ otherwise equivalent to FIG.
  • the densified thermally annealed gap filling silicon oxide trench fill layer 14 ′ has been planarized and removed by chemical mechanical polish (CMP) planarization to provide a co-planar surface consisting of the surface of the partially consumed silicon layer 12 ′, a pair of patterned planarized densified thermally annealed gap filling silicon oxide trench fill layers 14 a ′ and 14 b ′ and a pair of patterned interfacial silicon oxide layers 18 a and 18 b within the trenches 11 a and 11 b.
  • CMP chemical mechanical polish
  • the chemical mechanical polish (CMP) planarization method employed preferably employs the process parameters including: (1) a platen pressure of from about 4 to about 8 pounds per square inch (psi); (2) a platen rotation speed of from about 20 to about 60 revolutions per minute (rpm); (3) a head counter-rotation speed of from about 20 to about 60 revolutions per minute (rpm); (4) a silicon substrate temperature of from about 20 to about 40 degrees centigrade; (5) an aqueous silica slurry concentration of from about 10 to about 20 weight percent; and (6) an aqueous slurry flow rate of from about 100 to about 200 cubic centimeters per minute (ccm).
  • psi pounds per square inch
  • rpm platen rotation speed of from about 20 to about 60 revolutions per minute
  • rpm head counter-rotation speed of from about 20 to about 60 revolutions per minute
  • silicon substrate temperature of from about 20 to about 40 degrees centigrade
  • an aqueous silica slurry concentration of from about 10 to about 20
  • the gap filling silicon oxide trench fill layer 14 has been formed on the silicon substrate and within the trenches 11 a and 11 b with attenuated surface sensitivity while achieving an enhanced bulk quality, since the gap filling silicon oxide trench fill layer 14 is formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method while being formed on a silicon surface and not on a thermal silicon oxide or silicon nitride surface.
  • SACVD subzone assisted sub-atmospheric pressure thermal chemical vapor deposition
  • FIG. 5 to FIG. 9 there is shown a series of schematic cross-sectional diagrams illustrating the progression of various stages in the fabrication of an integrated circuit microelectronics fabrication in accord with a second preferred embodiment of the method of the present invention. Shown in FIG. 5 is a schematic cross-sectional diagram of the integrated circuit microelectronics fabrication at an early stage in its fabrication.
  • FIG. 5 Shown in FIG. 5 is a schematic cross-sectional diagram of a silicon semiconductor substrate 30 upon which has been formed successively a series thermal silicon oxide pad oxide layers 32 a , 32 b and 32 c and a series of silicon layers 34 a , 34 b and 34 c through which has been formed a pair of isolation trenches 31 a and 31 b by methods conventional in the art of integrated circuit microelectronics fabrication.
  • the pair of isolation trenches 31 a and 31 b are of width W 1 ′ and W 2 ′ separated by width W 3 ′ and of depth H 1 ′ analogous or equivalent to similar features in the schematic cross-sectional diagram of FIG. 1.
  • the silicon semiconductor substrate 30 may be of either polarity and various crystallographic orientations but preferably is (100) silicon with either N- or P-type doping.
  • the thermal silicon oxide pad oxide layers 32 a , 32 b and 32 c are preferably formed on the silicon semiconductor substrate 30 by thermal oxidation in an oxidizing atmosphere at a temperature of from about 800 to about 1000 degrees centigrade for a period of from about 20 to about 40 minutes to form a silicon oxide thickness of from about 90 to about 200 angstroms.
  • the silicon layers 34 a , 34 b and 34 c are preferably formed by deposition of amorphous or polycrystalline silicon employing methods conventional in the microelectronics fabrication art to form the silicon layers 34 a , 34 b and 34 c to a thickness of from about 1000 to about 2000 angstroms.
  • FIG. 6 there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication shown in FIG. 5. Shown in FIG. 6 is a schematic cross-sectional diagram of an integrated circuit microelectronics fabrication otherwise equivalent to FIG. 5, but wherein there has been formed upon the silicon semiconductor substrate 30 and within the pair of isolation trenches 31 a and 31 b a gap filling silicon oxide trench fill layer 36 .
  • the gap filling silicon oxide trench fill layer 36 is analogous to the gap filling silicon oxide trench fill layer 14 as illustrated in FIG. 2, and is formed employing analogous or equivalent methods and materials.
  • FIG. 7 there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication shown in FIG. 6. Shown in FIG. 7 is a schematic cross-sectional diagram of an integrated circuit microelectronics fabrication otherwise equivalent to FIG.
  • gap filling silicon oxide trench fill layer 36 has been annealed in an oxidizing atmosphere 38 to form a densified thermally annealed gap filling silicon oxide trench fill layer 36 ′ formed partly upon a series of silicon oxide layers 40 a , 40 b and 40 c and partly on a pair of silicon oxide layers 42 a and 42 b formed by reaction of the silicon at the interfaces with the densified thermally annealed gap filling silicon oxide trench fill layer 36 ′ with an oxidant 38 .
  • the oxidant 38 has diffused to the interfaces during the annealing of the gap filling silicon oxide trench fill layer 36 ′.
  • the gap filling silicon oxide trench fill layer 36 ′ has been subjected to a densification process step in an oxidizing atmosphere for a time period of about 45 minutes at a densification temperature of from about 1000 to about 1100 degrees centigrade, resulting in diffusion of oxidant to the interface between the gap filling silicon oxide trench fill layer 36 ′ and the silicon substrate 30 and reaction therewith of the silicon substrate 30 and silicon layers 34 a , 34 b and 34 c to form a partially consumed silicon substrate 30 ′ and partially consumed silicon layers 34 a ′, 34 b ′ and 34 c′ to form additional silicon oxide at that interface.
  • the oxidation rates of polycrystalline or amorphous silicon are greater than the oxidation rate for single crystal silicon and hence greater thicknesses are formed for silicon oxide layers 40 a , 40 b and 40 c as compared to thicknesses for silicon oxide layers 42 a and 42 b at the sides and bottoms of the isolation trenches 31 a and 31 b.
  • the methods and materials employed for the annealing and densification of the gap filling silicon oxide trench fill layer 36 are analogous or equivalent to those methods and materials employed in the annealing and densification of the gap filling silicon oxide trench fill layer 14 of FIG. 2.
  • FIG. 8 there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 7.
  • Shown in FIG. 8 is a schematic cross-sectional diagram illustrating the results of planarization of the gap filling silicon oxide trench fill layer formed upon the partially consumed silicon substrate 30 ′ and the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ and within the pair of trenches 31 a and 31 b within the partially consumed silicon substrate 30 ′ and the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′.
  • FIG. 8 is a schematic cross-sectional diagram illustrating the results of planarization of the gap filling silicon oxide trench fill layer formed upon the partially consumed silicon substrate 30 ′ and the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ and within the pair of trenches 31 a and 31 b within the partially consumed silicon substrate 30 ′ and
  • the region of the planarized gap filling silicon oxide trench fill layers 36 a ′ and 36 b ′ and the adjoining partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ have been rendered co-planar by a chemical mechanical polish (CMP) planarization method analogous or equivalent to that employed in forming from the microelectronics fabrication of FIG. 3 the microelectronics fabrication of FIG. 4.
  • CMP chemical mechanical polish
  • FIG. 9 there is shown a schematic cross-sectional diagram illustrating the final stage of further processing of the partially consumed silicon semiconductor substrate 30 ′ whose schematic cross-sectional diagram is illustrated in FIG. 8. Shown in FIG. 9 is the final result of stripping of the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ and the silicon oxide pad oxide layers 32 a and 32 b by conventional means employed in the art of microelectronics fabrication.
  • the patterned planarized gap filling silicon oxide trench fill layers 36 a ′ and 36 b ′ within the pair of trenches 31 a and 31 b show an improved vertical profile because of the partial consumption of silicon semiconductor substrate 30 and the partial consumption of the silicon layers 34 a , 34 b and 34 c to form additional silicon oxide which has been removed by the chemical mechanical polish (CMP) planarization method, resulting in overhanging of edges 44 which are advantageous to further processing by the conventional art of microelectronics fabrication because of the reduction of corner and edge recession at a subsequent wet dip etching step.
  • CMP chemical mechanical polish
  • the formation of silicon oxide at the interface of the partially consumed silicon substrate 30 ′ and the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ with the densified gap filling silicon oxide trench fill layer 36 ′ is desirable in that there is achieved a consumption of the edges of the partially consumed silicon layers 34 a ′, 34 b ′ and 34 c ′ by thermal growth when forming the series of thermal silicon oxide layers 40 a , 40 b and 40 c , in conjunction with subsequent planarization of the thermal silicon oxide layers 40 a , 40 b and 40 c during the final processing stage of the partially consumed silicon semiconductor substrate 30 ′ whose schematic cross-sectional diagram is illustrated in FIG. 9.
  • the etching and removal of the silicon oxide results in an overhang of the edges of the silicon oxide layer 44 .
  • Such edge features are often found to be advantageous in integrated circuit microelectronics fabrications with respect to defect reduction and yield enhancement.
  • the ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method of forming the gap filling silicon oxide trench fill layer is accomplished with attenuated surface sensitivity and while achieving an enhanced bulk quality over both the silicon substrate and the silicon layer as a consequence of the absence of thermal silicon oxide or silicon nitride either on or within the exposed surfaces of the trenches.
  • SACVD sub-atmospheric pressure thermal chemical vapor deposition
  • the annealing in nitrogen provides no formation of silicon oxide at the interface between the deposited gap filling silicon oxide trench fill layer and the silicon substrate, whereas the annealing in oxygen provides additional silicon oxide formation rate due to oxidation of the silicon substrate and an amount of densification which increases with increasing time of annealing in oxygen.
  • gap filling silicon oxide trench fill dielectric layers employing An ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method upon silicon oxide and silicon nitride layers as is conventional in the art of microelectronics fabrication, there is observed no surface sensitivity wherein results a lower deposition rate and a lower quality of silicon oxide on these surfaces.
  • the method of the present invention obviates this surface sensitivity by forming the gap filling silicon oxide trench fill layer on a silicon surface wherein there is no surface sensitivity to cause a lower deposition rate or a lesser quality of the silicon oxide layer.

Abstract

A method for filling a trench within a silicon substrate. There is first provided a silicon substrate having a trench formed therein. There is then formed upon the substrate and within the trench a gap filling silicon oxide trench fill layer employing an ozone assisted thermal chemical vapor deposition (SACVD) method. There is then carried out a densification of the gap filling silicon oxide trench fill layer by annealing in an oxidizing atmosphere at an elevated temperature. Finally, the gap filling silicon oxide trench fill layer is planarized by chemical mechanical polish (CMP) planarization to form the silicon oxide trench filling layer with attenuated surface sensitivity and with an enhanced bulk quality and reduced trench recess at corners.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates generally to methods for forming trench fill layers within trenches within substrates employed in microelectronics fabrication. More particularly, the present invention relates to methods for forming gap filling trench fill layers within trenches within substrates employed in microelectronics fabrication. [0002]
  • 2. Description of the Related Art [0003]
  • In the art of microelectronics fabrication, integrated circuits are formed from semiconductor substrates within and upon whose surfaces are formed resistors, transistors, diodes, capacitors and other electrical circuit elements. The electrical circuit elements are connected internally and externally to the semiconductor substrate upon which they are formed through patterned conductor layers which are separated by patterned and/or blanket dielectric layers. [0004]
  • As integrated circuit device technology has advanced and integrated circuit device dimensions have decreased, it has become increasingly common within advanced integrated circuits to employ electrical isolation methods such as shallow trench isolation (STI) methods and recessed oxide isolation (ROI) methods to form trench isolation regions nominally co-planar with adjoining active semiconductor regions of semiconductor substrates. Such trench isolation methods typically employ a chemical mechanical polish (CMP) planarizing method to provide a nominally planarized surface to a trench isolation region formed from a trench fill dielectric layer formed within a trench. Within the chemical mechanical polish (CMP) planarizing methods, means and materials are required to insure that when the desired degree of planarization is achieved the planarizing process is brought to completion without damage to underlying regions of the microelectronics fabrication. [0005]
  • Trench isolation regions nominally co-planar with active semiconductor regions within semiconductor substrates are desirable since they optimize, when subsequently forming patterned layers upon those nominally co-planar regions, the limited depth of focus typically obtained with advanced photoexposure tooling employed in the microelectronics fabrication art. [0006]
  • When forming within advanced integrated circuits trench isolation regions within isolation trenches, it has become common to employ as trench fill dielectric layers gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods. Silicon oxide layers formed employing such methods are desirable since such silicon oxide layers typically possess the inherently superior gap filling characteristics desirable for trenches of limited dimensions typically encountered in advanced integrated circuit microelectronics fabrication. [0007]
  • While gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods are desirable as trench fill layers within trenches within advanced integrated circuit microelectronics fabrications, methods through which are formed such gap filling silicon oxide layers are not entirely without problems. Specifically, it is known in the art of integrated circuit microelectronics fabrication that gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods exhibit a surface sensitivity dependent upon the substrate layers upon which are formed those gap filling silicon oxide layers. In particular, when employing as substrate layers thermally grown silicon oxide layers formed within silicon semiconductor substrates, gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods exhibit inhibited formation rates in comparison with otherwise equivalent gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon substrate layers other than thermally grown silicon oxide layers. The gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon the thermally grown silicon oxide layers also typically exhibit inferior bulk quality (as determined by wet etch rates in dilute hydrofluoric acid) in comparison with otherwise equivalent gap filling silicon oxide layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods upon substrate layers other than thermally grown silicon oxide layers. [0008]
  • Inhibited formation rates within isolation trenches within semiconductor substrates of gap filling silicon oxide trench fill layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods are undesirable since there is then formed within those isolation trenches gap filling silicon oxide layers which are particularly susceptible to dishing when subsequently planarized employing chemical mechanical polish (CMP) planarizing methods. [0009]
  • The planarizing methods typically remove not only silicon oxide trench fill layer material but any other silicon oxide materials such as thermal silicon oxide, conformal silicon oxide, etc. Therefore, it is customary to interpose a non-reactive substance such as silicon nitride between the underlying layers and such gap filling silicon oxide trench fill layers to act as a barrier or stop layer to prevent further removal of material as a consequence of the planarizing process, thus adding cost and complexity to the microelectronics fabrication. Also, inferior bulk quality of such gap filling silicon oxide trench fill layers often compromises the dielectric properties of such gap filling silicon oxide trench fill layers. Finally, enhanced surface roughness of such gap filling silicon oxide trench fill layers is undesirable since enhanced surface roughness of such gap filling silicon oxide trench fill layers often provides gap filling silicon oxide trench fill layers of enhanced porosity. [0010]
  • It is thus towards the goal of forming within advanced integrated circuit microelectronics fabrications gap filling silicon oxide trench fill layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods with enhanced bulk quality while attenuating a surface sensitivity when forming those gap filling silicon oxide trench fill layers, that the present invention is generally directed. [0011]
  • Methods and materials through which silicon oxide layers may be formed with desirable properties within integrated circuit microelectronics fabrications are known in the art of integrated circuit microelectronics fabrication. [0012]
  • For example, Jang et al., in U.S. Pat. No. 5,731,241, disclose a method for protecting a trench fill silicon oxide layer from excessive etching during multiple etching steps when forming the trench fill silicon oxide layer. The method employs a sacrificial silicon oxide layer formed selectively, while employing a sub-atmospheric pressure chemical vapor deposition (SACVD) method, upon a silicon oxide trench fill layer within a trench within a silicon substrate, wherein the sacrificial silicon oxide layer has a greater differential etch rate over the trench fill region and functions as a sacrificial layer to protect the trench fill silicon oxide. [0013]
  • Further, Jang et al., in U.S. Pat. No. 5,726,090, disclose a method for enhancing gap filling characteristics of ozone assisted sub-atmospheric pressure thermal chemical vapor deposited (SACVD) silicon oxide dielectric layers formed upon thermal silicon oxide trench liner layers within semiconductor substrates within semiconductor integrated circuit microelectronics fabrications. The method employs an intermediate silicon oxide trench liner layer formed upon the thermal silicon oxide trench liner layer prior to forming the ozone assisted sub-atmospheric pressure thermal chemical vapor deposited (SACVD) silicon oxide dielectric layer over the thermal silicon oxide trench liner layer, where the intermediate silicon oxide trench liner layer is treated with a nitrogen plasma prior to forming the ozone assisted sub-atmospheric pressure thermal chemical vapor deposited (SACVD) silicon oxide dielectric layer thereupon. [0014]
  • Still further, Fahey, in U.S. Pat. 5,447,884, discloses a method for minimizing voids in a trench fill layer by forming an isolation trench liner layer of silicon nitride within a silicon isolation trench, while forming the silicon nitride layer as thin as 5 nanometers and by densification of a trench fill silicon oxide layer in a pyrogenic oxide annealing step carried out at 800 degrees centigrade. [0015]
  • Finally, Fulton, in U.S. Pat. No. 4,666,556, discloses a method for forming a conformal etch resistant layer of silicon dioxide within a trench wherein first a conformal layer of polysilicon is formed within the trench. Then the polysilicon layer is converted to a layer of silicon dioxide by thermal oxidation, thus filling the trench with silicon dioxide. [0016]
  • Desirable in the art are additional methods through which trenches within substrates employed within integrated circuit microelectronics fabrications may be filled with gap filling silicon oxide trench fill layers formed employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) methods, while: (1) attenuating a surface sensitivity when forming those gap filling silicon oxide trench fill layers; and (2) providing gap filling silicon oxide trench fill layers with enhanced bulk quality (as determined, for example, employing etch rates in dilute hydrofluoric acid). It is towards these goals that the present invention is more specifically directed. [0017]
  • SUMMARY OF THE INVENTION
  • A first object of the present invention is to provide a method through which a trench within a substrate employed in integrated circuit microelectronics fabrication may be filled with a gap filling silicon oxide trench fill layer formed employing a sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method. [0018]
  • A second object of the present invention is to provide a method in accord with the first object of the present invention, where there is attenuated a surface sensitivity when forming the gap filling silicon oxide trench fill layer. [0019]
  • A third object of the present invention is to provide a method in accord with the first object of the present invention or the second object of the present invention, where there is provided the gap filling silicon oxide trench fill layer with enhanced bulk quality (as determined by etch rate in dilute hydrofluoric acid). [0020]
  • A fourth object of the present invention is to provide a method in accord with the first object of the present invention, the second object of the present invention or the third object of the present invention, where the substrate is a semiconductor substrate and the trench is an isolation trench within the semiconductor substrate. [0021]
  • A fifth object of the present invention is to provide a method in accord with the first object of the present invention, the second object of the present invention, the third object of the present invention, or the fourth object of the present invention, which method is readily commercially implemented. [0022]
  • In accord with the objects of the present invention, there is provided by the present invention a method for forming within a trench within a silicon substrate employed in microelectronics fabrication a gap filling silicon oxide trench fill layer. To practice the method of the present invention, there is first provided a trench within a silicon substrate. There is then formed upon the silicon substrate and within the trench a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method. There is then employed an annealing step in an oxidizing environment at an elevated temperature to density the gap filling silicon oxide trench fill layer. There is then performed a chemical mechanical polish (CMP) planarizing method wherein the silicon substrate acts as a stop layer to produce within the trench a densified gap filling silicon oxide trench fill layer with attenuated surface roughness and enhanced bulk quality and reduced trench recesses at corners. [0023]
  • The present invention provides a method through which there may be formed within a trench within a substrate employed in integrated circuit microelectronics fabrication a gap filling silicon oxide trench fill layer formed employing a sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method, where there is attenuated a surface sensitivity when forming the gap filling silicon oxide trench fill layer and where there is provided a gap filling silicon oxide trench fill layer with enhanced bulk quality (as determined employing etch rate in dilute hydrofluoric acid). The method of the present invention realizes these objects by forming within a silicon substrate a trench which is then subsequently filled with a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method. [0024]
  • The method of the present invention may be employed where the substrate is a semiconductor substrate and where the trench is an isolation trench within the semiconductor substrate. Provided that the semiconductor substrate is a silicon semiconductor substrate, the method of the present invention does not discriminate with respect to the nature of a trench which is formed within the substrate. Thus, the method of the present invention may be employed where the substrate is a semiconductor substrate and the trench is an isolation trench within the semiconductor substrate. [0025]
  • The method of the present invention is readily commercially implemented. The method of the present invention provides a novel ordering of integrated circuit microelectronics fabrication methods such as silicon deposition, silicon oxide chemical vapor deposition, patterning, and similar methods which are otherwise generally known in the art of microelectronics fabrication. Thus, the method of the present invention is readily commercially implemented.[0026]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, features and advantages of the present invention are understood within the context of the Description of the Preferred Embodiments, as set forth below. The Description of the Preferred Embodiments is understood within the context of the accompanying drawings, which form a material part of this disclosure, wherein: [0027]
  • FIG. 1, FIG. 2, FIG. 3 and FIG. 4 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench within a silicon substrate employed in a microelectronics fabrication a patterned planarized gap filling silicon oxide trench fill layer, while attenuating a surface sensitivity and while providing enhanced bulk quality, in accord with a more general embodiment of the method of the present invention which represents a first preferred embodiment of the present invention. [0028]
  • FIG. 5, FIG. 6, FIG. 7, FIG. 8 and FIG. 9 show a series of schematic cross-sectional diagrams illustrating the results of progressive stages in forming within a trench within a semiconductor substrate employed within an integrated circuit microelectronics fabrication a patterned planarized gap filling silicon oxide trench fill layer, while attenuating a surface sensitivity and while providing enhanced bulk quality and reduced trench recess at corners, in accord with a more specific embodiment of the present invention, which represents a second preferred embodiment of the present invention.[0029]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention provides a method for forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a gap filling silicon oxide trench fill layer formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method within a trench within a substrate employed in integrated circuit microelectronics fabrication. To achieve the foregoing objects, the method of the present invention provides that there is formed a trench within a silicon substrate. There is then formed a gap filling silicon oxide trench fill layer upon the silicon substrate, employing ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) employing tetra-ethyl-ortho-silicate (TEOS) as a silicon source material. There is then carried out an annealing step in an oxidizing atmosphere at an elevated temperature to density the gap filling silicon oxide trench fill layer. There is then planarized the gap filling silicon oxide trench fill layer by a chemical mechanical polish (CMP) planarizing method to form a planar region of the gap filling silicon oxide trench fill layer within the trench within the silicon substrate. [0030]
  • Although the preferred embodiments of the method of the present invention illustrate the method of the present invention in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a pair of patterned planarized gap filling silicon oxide trench fill layers within a pair of trenches within a silicon substrate employed in integrated circuit microelectronics fabrication, the method of the present invention may also be employed in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, the gap filling silicon oxide trench fill layer or the patterned planarized gap filling silicon oxide trench fill layers of the method of the present invention within trenches other than isolation trenches within silicon substrates other than silicon semiconductor substrates. The method of the present invention may be employed in forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality and reduced trench recess at corners and edges, the gap filling silicon oxide trench fill layer or the patterned planarized gap filling silicon oxide trench fill layers of the present invention into trenches within silicon substrates including but not limited to silicon semiconductor substrates, silicon solar cell substrates and silicon charge-coupled device (CCD) substrates. [0031]
  • First Preferred Embodiment
  • Referring now to FIG. 1 to FIG. 4, there is shown a series of schematic cross-sectional diagrams illustrating the results of progressive stages of forming, while attenuating a surface sensitivity and while providing an enhanced bulk quality, a pair of patterned planarized gap filling silicon oxide trench fill layers within a pair of isolation trenches within a silicon substrate in accord with a first preferred embodiment of the present invention. Shown in FIG. 1 is a schematic cross-sectional diagram of the silicon substrate at an early stage in its fabrication. [0032]
  • Shown in FIG. 1 is a [0033] substrate 10 having formed thereupon a silicon layer 12 wherein there has been formed a pair of trenches 11 a and 11 b of width W1 and W2 of a depth H1 separated by a width W3. The pair of trenches 11 a and 11 b is formed by patterning methods conventional in the art of microelectronics fabrication.
  • Although not specifically shown in FIG. 1, the [0034] silicon layer 12 may be of a composite nature in that it may consist of one or more layers of silicon upon a silicon base, as well as any other base, as long as the top layer is silicon of a thickness greater than the depth H1 of the trench. Although the method of the present invention may be practiced employing silicon substrates and/or layers of either dopant polarity, any dopant concentration and various crystallographic orientations, within the first preferred embodiment of the method of the present invention the silicon substrate 10 is preferably a (100) silicon substrate having an N- or P-doping. As is illustrated in FIG. 1, the widths W1, W2 and W3 are preferably from a minimum width of about 0.3 microns with no upper width limit, and the trench depth H1 is preferably from about 3000 to about 5000 angstroms.
  • Referring now to FIG. 2, there is shown a schematic cross-sectional diagram illustrating the results of further processing of the [0035] substrate 10 whose schematic cross-sectional diagram is illustrated in FIG. 1. Shown in FIG. 2 is the formation of a gap filling silicon oxide trench fill layer 14 upon the silicon layer 12 and within the pair of trenches 11 a and 11 b. Within the first preferred embodiment of the method of the present invention, the gap filling silicon oxide trench fill layer 14 is preferably formed by ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) employing tetra-ethyl-ortho-silicate (TEOS) as a silicon source gas. The gap filling silicon oxide trench fill layer 14 is formed to a thickness greater than the trench depth H1. Subsequent to the formation of the gap filling silicon oxide trench fill layer 14, the silicon substrate microelectronics fabrication is densified by annealing in an oxidizing atmosphere at an elevated temperature
  • Other parameters employed in forming the gap filling silicon oxide [0036] trench fill layer 14 employing the ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method include: (1) a reactor chamber pressure of from about 400 to about 600 torr; (2) a substrate 10 temperature of from about 360 to about 440 degrees centigrade; (3) an ozone concentration of from about 8 to about 14 molar per cent in a helium carrier gas; (4) an oxygen gas flow rate of from about 4000 to about 6000 standard cubic centimeters per minute (sccm); (5) a tetra-ethyl-ortho-silicate (TEOS) flow rate of from about 1600 to about 2400 standard cubic centimeters per minute (sccm); and (6) a helium carrier gas flow rate of from about 3000 to about 5000 standard cubic centimeters per minute (sccm).
  • Referring now to FIG. 3, there is shown a schematic cross-sectional diagram illustrating the results of further processing of the microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 2. Shown in FIG. 3 is a schematic cross-sectional diagram of a microelectronics fabrication otherwise equivalent to the microelectronics fabrication whose schematic cross-sectional diagram is illustrated in FIG. 2, but wherein the gap filling silicon oxide [0037] trench fill layer 14 has been thermally annealed within an oxidizing atmosphere 16 to form a densified thermally annealed gap filling silicon oxide trench fill layer 14′ which is formed upon an interfacial silicon oxide layer 18 which is formed through partial consumption of the silicon layer 12 to simultaneously form the partially consumed silicon layer 12′.
  • With respect to the first preferred embodiment of the present invention, the oxidizing [0038] atmosphere 16 may be selected from a group of oxidizing atmospheres including but not limited to oxygen, ozone, nitrous oxide and nitric oxide oxidizing atmospheres. Preferably the oxidizing atmosphere consists of oxygen gas at a pressure of from about 600 to about 760 torr and the annealing temperature is from about 1000 to about 1100 degrees centigrade.
  • Referring now to FIG. 4, there is shown a schematic cross-sectional diagram illustrating the results of further processing of the microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 3. Shown in FIG. 4 is the partially consumed [0039] silicon layer 12′ otherwise equivalent to FIG. 3 but wherein the densified thermally annealed gap filling silicon oxide trench fill layer 14′ has been planarized and removed by chemical mechanical polish (CMP) planarization to provide a co-planar surface consisting of the surface of the partially consumed silicon layer 12′, a pair of patterned planarized densified thermally annealed gap filling silicon oxide trench fill layers 14 a′ and 14 b′ and a pair of patterned interfacial silicon oxide layers 18 a and 18 b within the trenches 11 a and 11 b.
  • Within the first preferred embodiment of the present invention, the chemical mechanical polish (CMP) planarization method employed preferably employs the process parameters including: (1) a platen pressure of from about 4 to about 8 pounds per square inch (psi); (2) a platen rotation speed of from about 20 to about 60 revolutions per minute (rpm); (3) a head counter-rotation speed of from about 20 to about 60 revolutions per minute (rpm); (4) a silicon substrate temperature of from about 20 to about 40 degrees centigrade; (5) an aqueous silica slurry concentration of from about 10 to about 20 weight percent; and (6) an aqueous slurry flow rate of from about 100 to about 200 cubic centimeters per minute (ccm). [0040]
  • Within the first preferred embodiment of the method of the present invention, the gap filling silicon oxide [0041] trench fill layer 14 has been formed on the silicon substrate and within the trenches 11 a and 11 b with attenuated surface sensitivity while achieving an enhanced bulk quality, since the gap filling silicon oxide trench fill layer 14 is formed employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method while being formed on a silicon surface and not on a thermal silicon oxide or silicon nitride surface.
  • Second Preferred Embodiment
  • Referring now to FIG. 5 to FIG. 9, there is shown a series of schematic cross-sectional diagrams illustrating the progression of various stages in the fabrication of an integrated circuit microelectronics fabrication in accord with a second preferred embodiment of the method of the present invention. Shown in FIG. 5 is a schematic cross-sectional diagram of the integrated circuit microelectronics fabrication at an early stage in its fabrication. [0042]
  • Shown in FIG. 5 is a schematic cross-sectional diagram of a [0043] silicon semiconductor substrate 30 upon which has been formed successively a series thermal silicon oxide pad oxide layers 32 a, 32 b and 32 c and a series of silicon layers 34 a, 34 b and 34 c through which has been formed a pair of isolation trenches 31 a and 31 b by methods conventional in the art of integrated circuit microelectronics fabrication. The pair of isolation trenches 31 a and 31 b are of width W1′ and W2′ separated by width W3′ and of depth H1′ analogous or equivalent to similar features in the schematic cross-sectional diagram of FIG. 1.
  • Within the second preferred embodiment of the method of the present invention, the [0044] silicon semiconductor substrate 30 may be of either polarity and various crystallographic orientations but preferably is (100) silicon with either N- or P-type doping.
  • Within the second preferred embodiment of the method of the present invention, the thermal silicon oxide pad oxide layers [0045] 32 a, 32 b and 32 c are preferably formed on the silicon semiconductor substrate 30 by thermal oxidation in an oxidizing atmosphere at a temperature of from about 800 to about 1000 degrees centigrade for a period of from about 20 to about 40 minutes to form a silicon oxide thickness of from about 90 to about 200 angstroms. Within the second preferred embodiment of the method of the present invention, the silicon layers 34 a, 34 b and 34 c are preferably formed by deposition of amorphous or polycrystalline silicon employing methods conventional in the microelectronics fabrication art to form the silicon layers 34 a, 34 b and 34 c to a thickness of from about 1000 to about 2000 angstroms.
  • Referring now to FIG. 6, there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication shown in FIG. 5. Shown in FIG. 6 is a schematic cross-sectional diagram of an integrated circuit microelectronics fabrication otherwise equivalent to FIG. 5, but wherein there has been formed upon the [0046] silicon semiconductor substrate 30 and within the pair of isolation trenches 31 a and 31 b a gap filling silicon oxide trench fill layer 36. The gap filling silicon oxide trench fill layer 36 is analogous to the gap filling silicon oxide trench fill layer 14 as illustrated in FIG. 2, and is formed employing analogous or equivalent methods and materials.
  • Referring now to FIG. 7, there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication shown in FIG. 6. Shown in FIG. 7 is a schematic cross-sectional diagram of an integrated circuit microelectronics fabrication otherwise equivalent to FIG. 6, but wherein the gap filling silicon oxide [0047] trench fill layer 36 has been annealed in an oxidizing atmosphere 38 to form a densified thermally annealed gap filling silicon oxide trench fill layer 36′ formed partly upon a series of silicon oxide layers 40 a, 40 b and 40 c and partly on a pair of silicon oxide layers 42 a and 42 b formed by reaction of the silicon at the interfaces with the densified thermally annealed gap filling silicon oxide trench fill layer 36′ with an oxidant 38. The oxidant 38 has diffused to the interfaces during the annealing of the gap filling silicon oxide trench fill layer 36′.
  • The gap filling silicon oxide [0048] trench fill layer 36′ has been subjected to a densification process step in an oxidizing atmosphere for a time period of about 45 minutes at a densification temperature of from about 1000 to about 1100 degrees centigrade, resulting in diffusion of oxidant to the interface between the gap filling silicon oxide trench fill layer 36′ and the silicon substrate 30 and reaction therewith of the silicon substrate 30 and silicon layers 34 a, 34 b and 34 c to form a partially consumed silicon substrate 30′ and partially consumed silicon layers 34 a′, 34 b′ and 34 c′ to form additional silicon oxide at that interface. The oxidation rates of polycrystalline or amorphous silicon are greater than the oxidation rate for single crystal silicon and hence greater thicknesses are formed for silicon oxide layers 40 a, 40 b and 40 c as compared to thicknesses for silicon oxide layers 42 a and 42 b at the sides and bottoms of the isolation trenches 31 a and 31 b.
  • With respect to the second preferred embodiment of the method of the present invention, the methods and materials employed for the annealing and densification of the gap filling silicon oxide [0049] trench fill layer 36 are analogous or equivalent to those methods and materials employed in the annealing and densification of the gap filling silicon oxide trench fill layer 14 of FIG. 2.
  • Referring now to FIG. 8, there is shown a schematic cross-sectional diagram illustrating a later stage in the fabrication of the integrated circuit microelectronics fabrication whose schematic cross-sectional diagram is shown in FIG. 7. Shown in FIG. 8 is a schematic cross-sectional diagram illustrating the results of planarization of the gap filling silicon oxide trench fill layer formed upon the partially consumed [0050] silicon substrate 30′ and the partially consumed silicon layers 34 a′, 34 b′ and 34 c′ and within the pair of trenches 31 a and 31 b within the partially consumed silicon substrate 30′ and the partially consumed silicon layers 34 a′, 34 b′ and 34 c′. In FIG. 8, the region of the planarized gap filling silicon oxide trench fill layers 36 a′ and 36 b′ and the adjoining partially consumed silicon layers 34 a′, 34 b′ and 34 c′ have been rendered co-planar by a chemical mechanical polish (CMP) planarization method analogous or equivalent to that employed in forming from the microelectronics fabrication of FIG. 3 the microelectronics fabrication of FIG. 4. The chemical mechanical polish (CW) planarizing process is completed and brought to a stop by the non-reactive nature of the partially consumed silicon layers 34 a′, 34 b′ and 34 c′ to the chemical mechanical polish (CMP) planarizing process.
  • Referring now to FIG. 9, there is shown a schematic cross-sectional diagram illustrating the final stage of further processing of the partially consumed [0051] silicon semiconductor substrate 30′ whose schematic cross-sectional diagram is illustrated in FIG. 8. Shown in FIG. 9 is the final result of stripping of the partially consumed silicon layers 34 a′, 34 b′ and 34 c′ and the silicon oxide pad oxide layers 32 a and 32 b by conventional means employed in the art of microelectronics fabrication. The patterned planarized gap filling silicon oxide trench fill layers 36 a′ and 36 b′ within the pair of trenches 31 a and 31 b show an improved vertical profile because of the partial consumption of silicon semiconductor substrate 30 and the partial consumption of the silicon layers 34 a, 34 b and 34 c to form additional silicon oxide which has been removed by the chemical mechanical polish (CMP) planarization method, resulting in overhanging of edges 44 which are advantageous to further processing by the conventional art of microelectronics fabrication because of the reduction of corner and edge recession at a subsequent wet dip etching step.
  • With respect to the second preferred embodiment of the present invention, the formation of silicon oxide at the interface of the partially consumed [0052] silicon substrate 30′ and the partially consumed silicon layers 34 a′, 34 b′ and 34 c′ with the densified gap filling silicon oxide trench fill layer 36′ is desirable in that there is achieved a consumption of the edges of the partially consumed silicon layers 34 a′, 34 b′ and 34 c′ by thermal growth when forming the series of thermal silicon oxide layers 40 a, 40 b and 40 c, in conjunction with subsequent planarization of the thermal silicon oxide layers 40 a, 40 b and 40 c during the final processing stage of the partially consumed silicon semiconductor substrate 30′ whose schematic cross-sectional diagram is illustrated in FIG. 9. The etching and removal of the silicon oxide results in an overhang of the edges of the silicon oxide layer 44. Such edge features are often found to be advantageous in integrated circuit microelectronics fabrications with respect to defect reduction and yield enhancement.
  • With respect to the second preferred embodiment of the method of the present invention, the ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method of forming the gap filling silicon oxide trench fill layer is accomplished with attenuated surface sensitivity and while achieving an enhanced bulk quality over both the silicon substrate and the silicon layer as a consequence of the absence of thermal silicon oxide or silicon nitride either on or within the exposed surfaces of the trenches. [0053]
  • EXAMPLES
  • With respect to the preferred embodiments of the method of the present invention, the merits of the present invention are illustrated by the following examples. The thermal annealing and densification process after formation of gap filling silicon oxide trench fill layer employing an ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method using TEOS gas as a silicon source was carried out in nitrogen gas environment at an elevated temperature and compared to the results similarly obtained with oxygen gas. Experimental samples consisting of silicon substrates analogous or equivalent to the [0054] silicon substrate 30 shown in FIG. 5 of the second preferred embodiment of the method of the present invention were prepared by forming upon them blanket gap filling silicon oxide trench fill dielectric layers analogous or equivalent to the gap filling silicon oxide trench fill layers 36 shown in FIG. 6 of the second preferred embodiment of the method of the present invention. The experimental samples were thermally annealed in various atmospheres employing conditions analogous or equivalent to those described in conjunction with FIG. 7 of the second preferred embodiment of the method of the present invention. Similarly, silicon substrates upon which were formed layers of silicon nitride prior to deposition of the gap filling silicon oxide trench fill layer were employed to measure the effect of subsequent thermal annealing and densification of the gap filling silicon oxide trench fill layer, since in these samples the thermal annealing and densification step cannot form any additional silicon oxide.
  • The results are shown in Table I, which compares the ratio of the etch rate of the gap filling silicon oxide trench fill layers in dilute hydrofluoric acid for each annealing and densification environment to that of thermal silicon oxide. Also shown in Table I is the amount of silicon oxide grown at the interface where the silicon has interacted with the oxidizing environment during the annealing and densification process. The nitrogen environment has formed no silicon oxide at the interface and hence consumed no silicon of any kind, compared to the consumption of silicon to form silicon oxide at the interface which occurred in an oxygen environment wherein the amount of silicon oxide and hence the amount of silicon consumed is proportional to the time of exposure to the oxygen environment. [0055]
    TABLE I
    Etch Rate Silicon Oxide Growth
    Densification Environment Ratio At Interface, angstroms
    O2, 1100 C, 30 min 1.14 180
    O2, 1100 C, 120 min 1.08 571
    N2, 1100 C, 120 min 1.16  0
  • The annealing in nitrogen provides no formation of silicon oxide at the interface between the deposited gap filling silicon oxide trench fill layer and the silicon substrate, whereas the annealing in oxygen provides additional silicon oxide formation rate due to oxidation of the silicon substrate and an amount of densification which increases with increasing time of annealing in oxygen. [0056]
  • With respect to the formation of gap filling silicon oxide trench fill dielectric layers employing An ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method upon silicon oxide and silicon nitride layers as is conventional in the art of microelectronics fabrication, there is observed no surface sensitivity wherein results a lower deposition rate and a lower quality of silicon oxide on these surfaces. The method of the present invention obviates this surface sensitivity by forming the gap filling silicon oxide trench fill layer on a silicon surface wherein there is no surface sensitivity to cause a lower deposition rate or a lesser quality of the silicon oxide layer. [0057]
  • As is understood by a person skilled in the art, the preferred embodiments of the present invention are illustrative of the present invention rather than limiting of the present invention. Revisions and modifications may be made to materials, structures and dimensions through which is provided the preferred embodiments of the present invention while still providing embodiments which are within the spirit and scope of the present invention, as defined by the appended claims.[0058]

Claims (19)

What is claimed is:
1. A method for filling a trench within a substrate, comprising:
providing a silicon substrate, the silicon substrate having a trench formed therein;
forming upon the silicon substrate and within the trench therein a gap filling silicon oxide trench fill layer formed employing a sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method employing an ozone oxidant and a tetra-ethyl-ortho-silicate (TEOS) silicon source material; and
densifying the gap filling silicon oxide trench fill layer by annealing in an oxidizing atmosphere at an elevated temperature.
2. The method of
claim 1
wherein the formation of the gap filling silicon oxide trench fill layer upon the silicon substrate and within the trench within the silicon substrate provides the gap filling silicon oxide trench fill layer with attenuated surface sensitivity.
3. The method of
claim 1
wherein the substrate is a silicon semiconductor substrate and the trench is an isolation trench within the silicon semiconductor substrate.
4. The method of
claim 1
wherein the densification of the gap filling silicon oxide trench fill layer is carried out in an oxidizing atmosphere at a pressure of from about 600 to about 760 torr for a period of from about 30 to about 60 minutes at a temperature of from about 1000 to about 1100 degrees centigrade.
5. The method of
claim 1
wherein the oxidizing atmosphere is selected from the group consisting of oxygen, ozone, nitrous oxide, nitric oxide and mixtures thereof.
6. The method of
claim 1
wherein the width of the trench is greater than about 0.3 microns and the depth of the trench is from about 3000 to about 5000 angstroms
7. The method of
claim 1
wherein the ozone assisted sub-atmospheric pressure thermal chemical vapor deposition (SACVD) method employs a reactor chamber pressure of from about 450 to about 600 torr and an ozone:TEOS volume ratio of from about 8:1 to about 14:1.
8. The method of
claim 1
further comprising planarizing the gap filling silicon oxide trench fill layer.
9. A method for forming within trenches within a silicon semiconductor substrate employed within a microelectronics fabrication patterned planarized silicon oxide trench fill layers comprising:
providing a silicon semiconductor substrate;
forming upon the silicon substrate a blanket thermal silicon oxide pad oxide layer;
forming upon the blanket thermal silicon oxide pad oxide layer a blanket silicon layer;
etching through the silicon layer, the underlying silicon oxide pad oxide layer and into the silicon semiconductor substrate an isolation trench;
forming upon the silicon layer and within the trench a gap filling trench fill layer formed employing a chemical vapor deposition (CVD) method; and
densifying the gap filling trench fill layer by thermal annealing in an oxidizing atmosphere.
10. The method of
claim 9
wherein the gap filling trench fill layer is a silicon oxide dielectric layer.
11. The method of
claim 10
wherein the gap filling silicon oxide layer is formed with attenuated surface sensitivity and with an enhanced bulk quality and reduced silicon trench recess at corners and edges.
12. The method of
claim 10
wherein the densification of the gap filling silicon oxide trench fill layer is carried out by annealing in the oxidizing atmosphere at a pressure of from about 600 to about 760 torr for a period of from about 30 to about 60 minutes at a temperature of from about 1000 to about 1100 degrees centigrade.
13. The method of
claim 10
wherein the oxidizing atmosphere is selected from the group consisting of oxygen, ozone, nitrous oxide, nitric oxide and mixtures thereof.
14. The method of
claim 10
wherein the gap filling silicon oxide trench fill layer is planarized employing a chemical mechanical polish (CMP) planarization method.
15. The method of
claim 10
wherein the thermal silicon oxide pad oxide is formed employing thermal oxidation in oxygen for a period of from about 20 to about 40 minutes at a temperature of from about 800 to about 1000 degrees centigrade to form upon the silicon semiconductor substrate the thermal silicon oxide pad oxide of thickness of from about 90 to about 200 angstroms.
16. The method of
claim 10
wherein the silicon layer is formed upon the thermal silicon oxide pad oxide layer by chemical vapor deposition (CVD) method.
17. The method of
claim 9
further comprising planarizing the gap filling trench fill layer.
18. The method of
claim 9
wherein the silicon semiconductor substrate is employed within a microelectronics fabrication selected from the group consisting of an integrated circuit microelectronics fabrication, a silicon solar cell microelectronics fabrication and a silicon charge coupled device microelectronics fabrication.
19. A silicon substrate having formed therein a trench, the trench being filled with a gap filling silicon oxide trench fill layer in accord with the method of
claim 9
.
US09/756,011 1998-10-30 2001-01-08 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity Expired - Lifetime US6417071B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/756,011 US6417071B2 (en) 1998-10-30 2001-01-08 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/182,776 US6197658B1 (en) 1998-10-30 1998-10-30 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US09/756,011 US6417071B2 (en) 1998-10-30 2001-01-08 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/182,776 Division US6197658B1 (en) 1998-10-30 1998-10-30 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity

Publications (2)

Publication Number Publication Date
US20010021595A1 true US20010021595A1 (en) 2001-09-13
US6417071B2 US6417071B2 (en) 2002-07-09

Family

ID=22669977

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/182,776 Expired - Lifetime US6197658B1 (en) 1998-10-30 1998-10-30 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US09/756,011 Expired - Lifetime US6417071B2 (en) 1998-10-30 2001-01-08 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/182,776 Expired - Lifetime US6197658B1 (en) 1998-10-30 1998-10-30 Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity

Country Status (1)

Country Link
US (2) US6197658B1 (en)

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087180A1 (en) * 2001-02-08 2004-05-06 Shingo Hishiya Oxide film forming method
US6817903B1 (en) * 2000-08-09 2004-11-16 Cypress Semiconductor Corporation Process for reducing leakage in an integrated circuit with shallow trench isolated active areas
US20050282328A1 (en) * 2003-05-08 2005-12-22 Sandhu Gurtej S Removal of carbon from an insulative layer using ozone
US20070148927A1 (en) * 2005-12-28 2007-06-28 Dae Young Kim Isolation structure of semiconductor device and method for forming the same
US20070184663A1 (en) * 2006-02-03 2007-08-09 Samsung Electronics Co., Ltd. Method of planarizing a semiconductor device
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281495A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080026597A1 (en) * 2006-05-30 2008-01-31 Applied Materials, Inc. Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140377A3 (en) * 2006-05-30 2008-08-28 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20080268608A1 (en) * 2007-04-25 2008-10-30 Hynix Semiconductor Inc. Method of fabricating a flash memory device
US20090061647A1 (en) * 2007-08-27 2009-03-05 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp ii process
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20090104791A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. A Delaware Corporation Methods for Forming a Silicon Oxide Layer Over a Substrate
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120464A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110034035A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20170229340A1 (en) * 2015-06-10 2017-08-10 Microchip Technology Incorporated Method of forming shallow trench isolation (sti) structures
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000174113A (en) * 1998-12-08 2000-06-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JP2001168092A (en) * 1999-01-08 2001-06-22 Toshiba Corp Semiconductor device and its manufacturing method
US6387764B1 (en) * 1999-04-02 2002-05-14 Silicon Valley Group, Thermal Systems Llc Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
KR100327604B1 (en) * 1999-09-22 2002-03-07 윤종용 Method for forming trench isolation regions
US6413836B1 (en) * 2000-09-20 2002-07-02 Vanguard International Semiconductor Corporation Method of making isolation trench
US6737355B2 (en) * 2001-12-06 2004-05-18 Applied Materials, Inc. Thick thermal oxide layers and isolation regions in a silicon-containing substrate for high voltage applications
USPP15967P3 (en) * 2002-10-11 2005-09-20 Inkarho Gmbh Rhododendron rootstock named ‘Rhodunter 48’
US9202758B1 (en) * 2005-04-19 2015-12-01 Globalfoundries Inc. Method for manufacturing a contact for a semiconductor component and related structure
US8440495B2 (en) 2007-03-06 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing crosstalk in image sensors using implant technology
CN105448760A (en) * 2014-08-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 Method for improving test stability of wafer
SG11201703196WA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
CN114758981B (en) * 2021-01-08 2023-07-04 和舰芯片制造(苏州)股份有限公司 Planarization method and wafer after filling deep trench with silicon dioxide

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666556A (en) 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
KR0179554B1 (en) * 1995-11-30 1999-04-15 김주용 Method for forming isolation film semiconductor device
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US5869384A (en) * 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US6097076A (en) * 1997-03-25 2000-08-01 Micron Technology, Inc. Self-aligned isolation trench
US5726090A (en) 1997-05-01 1998-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-filling of O3 -TEOS for shallow trench isolation
US5731241A (en) 1997-05-15 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned sacrificial oxide for shallow trench isolation
US5741740A (en) 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6817903B1 (en) * 2000-08-09 2004-11-16 Cypress Semiconductor Corporation Process for reducing leakage in an integrated circuit with shallow trench isolated active areas
US20040087180A1 (en) * 2001-02-08 2004-05-06 Shingo Hishiya Oxide film forming method
US7064084B2 (en) * 2001-02-28 2006-06-20 Tokyo Electron Limited Oxide film forming method
US20050282328A1 (en) * 2003-05-08 2005-12-22 Sandhu Gurtej S Removal of carbon from an insulative layer using ozone
US20070148927A1 (en) * 2005-12-28 2007-06-28 Dae Young Kim Isolation structure of semiconductor device and method for forming the same
US20070184663A1 (en) * 2006-02-03 2007-08-09 Samsung Electronics Co., Ltd. Method of planarizing a semiconductor device
US20080026597A1 (en) * 2006-05-30 2008-01-31 Applied Materials, Inc. Method for depositing and curing low-k films for gapfill and conformal film applications
KR101115750B1 (en) 2006-05-30 2012-03-07 어플라이드 머티어리얼스, 인코포레이티드 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281495A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140377A3 (en) * 2006-05-30 2008-08-28 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080268608A1 (en) * 2007-04-25 2008-10-30 Hynix Semiconductor Inc. Method of fabricating a flash memory device
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090061647A1 (en) * 2007-08-27 2009-03-05 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp ii process
US20090104791A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. A Delaware Corporation Methods for Forming a Silicon Oxide Layer Over a Substrate
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8242031B2 (en) 2007-10-22 2012-08-14 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
EP2053640A3 (en) * 2007-10-22 2012-10-24 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120464A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US20110034035A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20170229340A1 (en) * 2015-06-10 2017-08-10 Microchip Technology Incorporated Method of forming shallow trench isolation (sti) structures

Also Published As

Publication number Publication date
US6197658B1 (en) 2001-03-06
US6417071B2 (en) 2002-07-09

Similar Documents

Publication Publication Date Title
US6417071B2 (en) Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US5741740A (en) Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
US5702977A (en) Shallow trench isolation method employing self-aligned and planarized trench fill dielectric layer
US6100163A (en) Gap filling of shallow trench isolation by ozone-tetraethoxysilane
US5817566A (en) Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US6214698B1 (en) Shallow trench isolation methods employing gap filling doped silicon oxide dielectric layer
US6180490B1 (en) Method of filling shallow trenches
US6066544A (en) Isolation regions and methods of forming isolation regions
US6368931B1 (en) Thin tensile layers in shallow trench isolation and method of making same
US6118168A (en) Trench isolation process using nitrogen preconditioning to reduce crystal defects
US7358587B2 (en) Semiconductor structures
US7030034B2 (en) Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US5869384A (en) Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US6090714A (en) Chemical mechanical polish (CMP) planarizing trench fill method employing composite trench fill layer
US6239002B1 (en) Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US20020119639A1 (en) Process for depositing and planarizing bpsg for dense trench mosfet application
US20070178664A1 (en) Shallow trench isolation structure and method of fabricating the same
US6051478A (en) Method of enhancing trench edge oxide quality
JPH11330227A (en) Method and structure for forming trench isolating section
US6261957B1 (en) Self-planarized gap-filling by HDPCVD for shallow trench isolation
US6391792B1 (en) Multi-step chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layer
US6245691B1 (en) Ozone-teos method for forming with attenuated surface sensitivity a silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US20030194848A1 (en) Shallow trench isolation planarized by wet etchback and chemical mechanical polishing
US6235608B1 (en) STI process by method of in-situ multilayer dielectric deposition
US6992020B2 (en) Method of fabricating semiconductor device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12