US20010021590A1 - Silicone polymer insulation film on semiconductor substrate and method for forming the film - Google Patents

Silicone polymer insulation film on semiconductor substrate and method for forming the film Download PDF

Info

Publication number
US20010021590A1
US20010021590A1 US09/820,075 US82007501A US2001021590A1 US 20010021590 A1 US20010021590 A1 US 20010021590A1 US 82007501 A US82007501 A US 82007501A US 2001021590 A1 US2001021590 A1 US 2001021590A1
Authority
US
United States
Prior art keywords
gas
reaction
silicon
dielectric constant
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/820,075
Other versions
US6455445B2 (en
Inventor
Nobuo Matsuki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/820,075 priority Critical patent/US6455445B2/en
Publication of US20010021590A1 publication Critical patent/US20010021590A1/en
Application granted granted Critical
Priority to US10/253,665 priority patent/US6653719B2/en
Publication of US6455445B2 publication Critical patent/US6455445B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • H01L23/296Organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/914Polysilicon containing oxygen, nitrogen, or carbon, e.g. sipos

Definitions

  • This invention relates generally to a semiconductor technique and more particularly to a silicone polymer insulation film on a semiconductor substrate and a method for forming the film by using a plasma CVD (chemical vapor deposition) apparatus.
  • a plasma CVD chemical vapor deposition
  • Conventional silicon oxide films SiO x are produced by a method in which oxygen O 2 or nitrogen oxide N 2 O is added as an oxidizing agent to a silicon material gas such as SiH 4 or Si(OC 2 H 5 ) 4 and then processed by heat or plasma energy. Its dielectric constant is about 4.0.
  • a fluorinated amorphous carbon film has been produced from C x F y H z as a material gas by a plasma CVD method. Its dielectric constant ⁇ is as low as 2.0-2.4.
  • a silicon-containing organic film is produced from a material gas under low pressure (1 Torr) by the plasma CVD method.
  • the material gas is made from P-TMOS (phenyl trimethoxysilane, formula 1), which is a compound of benzene and silicon, vaporized by a babbling method.
  • the dielectric constant ⁇ of this film is as low as 3.1.
  • a further method uses a porous structure made in the film.
  • An insulation film is produced from an inorganic SOG material by a spin-coat method.
  • the dielectric constant ⁇ of the film is as low as 2.3.
  • the fluorinated amorphous carbon film has lower thermal stability (370° C.), poor adhesion with silicon-containing materials and also lower mechanical strength.
  • the lower thermal stability leads to damage under high temperatures such as over 400° C. Poor adhesion may cause the film to peel off easily. Further, the lower mechanical strength can jeopardize wiring materials.
  • Oligomers that are polymerized using P-TMOS molecules do not form a linear structure in the vapor phase, such as a siloxane structure, because the P-TMOS molecule has three O—CH 3 bonds.
  • the oligomers having no linear structure cannot form a porous structure on a Si substrate, i.e., the density of the deposited film cannot be reduced. As a result, the dielectric constant of the film cannot be reduced to a desired degree.
  • the babbling method means a method wherein vapor of a liquid material, which is obtained by having a carrier gas such as argon gas pass through the material, is introduced into a reaction chamber with the carrier gas.
  • This method generally requires a large amount of a carrier gas in order to cause the material gas to flow. As a result, the material gas cannot stay in the reaction chamber for a sufficient length of time to cause polymerization in a vapor phase.
  • the SOG insulation film of the spin-coat method has a problem in that the material cannot be applied onto the silicon substrate evenly and another problem in which a cure system after the coating process is costly.
  • One aspect of this invention involves a method for forming an insulation film on a semiconductor substrate by using a plasma CVD apparatus including a reaction chamber, which method comprises a step of directly vaporizing a silicon-containing hydrocarbon compound expressed by the general formula Si ⁇ O ⁇ C x H y ( ⁇ , ⁇ , x, and y are integers) and then introducing it to the reaction chamber of the plasma CVD apparatus, a step of introducing an additive gas, the flow volume of which is substantially reduced, into the reaction chamber and also a step of forming an insulation film on a semiconductor substrate by plasma polymerization reaction wherein mixed gases made from the vaporized silicon-containing hydrocarbon compound as a material gas and the additive gas are used as a reaction gas. It is a remarkable feature that the reduction of the additive gas flow also results in a substantial reduction of the total flow of the reaction gas. According to the present invention, a silicone polymer film having a micropore porous structure with low dielectric constant can be produced.
  • the present invention is also drawn to an insulation film formed on a semiconductor substrate, and a material for forming the insulation film, residing in the features described above.
  • FIG. 1 is a schematic diagram illustrating a plasma CVD apparatus used for forming an insulation film of this invention.
  • FIG. 2 is a graph showing the relationship between dielectric constant and the total flow of a reaction gas as well as the relationship between residence time and the total flow of a reaction gas, both in experiments using PM-DMOS as a material gas.
  • FIG. 3 is a graph showing the relationship between the residence time and dielectric constant in experiments using PM-DMOS as a material gas.
  • FIG. 4 is a graph showing the thermal desorption spectra of components having a molecular weight of 16 due to desorption of CH 4 from films (PM-DMOS, DM-DMOS) according to the present invention in a thermal desorption test.
  • FIG. 5 is a graph showing changes in the degree of vacuum corresponding to the number of total molecules dissociated from the films (PM-DMOS, DM-DMOS), i.e., pressure raises due to gas dissociated from the films in the thermal desorption test.
  • the silicon-containing hydrocarbon compound expressed as the general formula Si ⁇ O ⁇ C x H y ( ⁇ , ⁇ , x, and y are integers) is preferably a compound having at least one Si—O bond, two or less O—C n H 2n+1 bonds and at least two hydrocarbon radicals bonded with silicon (Si). More specifically, the silicon-containing hydrocarbon compound includes at least one species of the compound expressed by the chemical formula (2) as follows:
  • R 1 and R 2 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and m and n are any integer.
  • the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (3) as follows:
  • R 1 , R 2 and R 3 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and n is any integer.
  • the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (4) as follows:
  • R 1 , R 2 , R 3 and R 4 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and m and n are any integer.
  • the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (5) as follows:
  • R 1 , R 2 , R 3 , R 4 , R 5 and R 6 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N 2 O) or oxygen (O 2 ).
  • the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (6) as follows:
  • R 1 , R 2 , R 3 and R 4 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N 2 O) or oxygen (O 2 ).
  • the material gas can include at least one of said silicon-containing hydrocarbon compounds indicated above.
  • an insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by formula 2.
  • the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by formula 3.
  • the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by formula 4.
  • the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by formula 5.
  • the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by formula 6.
  • a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by formula 2.
  • a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by formula 3.
  • a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by formula 4.
  • a material for forming an insulation film is supplied in a vapor phase with either nitrogen oxide (N 2 O) or oxygen (O 2 ) as an oxidizing agent in the vicinity of a substrate and is treated in a plasma CVD apparatus to form said insulation film on said substrate by chemical reaction, and this material can be the compound expressed by formula 5.
  • a material for forming an insulation film is supplied in a vapor phase with either nitrogen oxide (N 2 O) or oxygen (O 2 ) as the oxidizing agent in the vicinity of a substrate and is treated in a plasma CVD apparatus to form said insulation film on said substrate by chemical reaction, and this material further can be the compound expressed by formula 6.
  • the residence time of the reaction gas is determined based on the capacity of the reaction chamber for reaction, the pressure adapted for reaction, and the total flow of the reaction gas.
  • the reaction pressure is normally in the range of 1-10 Torr, preferably 3-7 Torr, so as to maintain stable plasma. This reaction pressure is relatively high in order to lengthen the residence time of the reaction gas.
  • the total flow of the reaction gas is important to reducing the dielectric constant of a resulting film. It is not necessary to control the ratio of the material gas to the additive gas. In general, the longer the residence time, the lower the dielectric constant becomes.
  • the material gas flow necessary for forming a film depends on the desired deposition rate and the area of a substrate on which a film is formed.
  • the total flow can be defined by residence time (Rt).
  • Rt residence time
  • a preferred range of Rt is 100 msec Rt, more preferably 200 msec Rt 5 sec. In a conventional plasma TEOS, Rt is generally in the range of 10-30 msec.
  • Pr reaction chamber pressure (Pa)
  • Tr average temperature of the reaction gas
  • the residence time means the average period of time in which gas molecules stay in the reaction chamber.
  • the space for reaction in the reaction chamber is defined by the surface of the substrate ( ⁇ r 2 ) and the space between the upper electrode and the lower electrode. Considering the gas flow through the space for reaction, ⁇ can be estimated as 1 ⁇ 2. In the above formula, ⁇ is 1 ⁇ 2.
  • the material gas is, in short, a silicon-containing hydrocarbon compound including at least one Si-O bond, at most two O-C n H 2n+1 bonds and at least two hydrocarbon radicals bonded to the silicon (Si). Also, this material gas is vaporized by a direct vaporization method. The method results in an insulation film having a low dielectric constant, high thermal stability and high humidity-resistance.
  • the material gas vaporized by the direct vaporization method can stay in the plasma for a sufficient length of time.
  • a linear polymer can be formed so that a linear polymer having the basic structure (formula 7), wherein the “n” is 2 or a greater value, forms in a vapor phase.
  • the polymer is then deposited on the semiconductor substrate and forms an insulation film having a micropore porous structure.
  • X 1 and X 2 are O n C m H p wherein n is 0 or 1, m and p are integers including zero.
  • the insulation film of this invention has a relatively high stability because its fundamental structure has the Si-O bond having high bonding energy therebetween. Also, its dielectric constant is low because it has a micropore porous structure. Further, the fundamental structure (—Si-O—) n has, on both sides, dangling bonds ending with a hydrocarbon radical possessing hydrophobicity, and this property renders the humidity-resistance. Furthermore, the bond of a hydrocarbon radical and silicon is generally stable. For instance, both the bond with a methyl radical, i.e., Si-CH 3 , and bond with benzene, i.e., Si-C 6 H 5 , have a dissociation temperature of 500° C. or higher. Since above semiconductor production requires thermal stability to temperatures above 450° C., that property of the film is advantageous for production of semiconductors.
  • FIG. 1 diagrammatically shows a plasma CVD apparatus usable in this invention.
  • This apparatus comprises a reaction gas-supplying device 12 and a plasma CVD device 1 .
  • the reaction gas-supplying device 12 comprises plural lines 13 , control valves 8 disposed in the lines 13 , and gas inlet ports 14 , 15 and 16 .
  • a flow controller 7 is connected to the individual control valves 8 for controlling a flow of a material gas of a predetermined volume.
  • a container accommodating liquid reacting material 18 is connected to a vaporizer 17 that directly vaporizes liquid.
  • the plasma CVD device 1 includes a reaction chamber 6 , a gas inlet port 5 , a susceptor 3 and a heater 2 .
  • a circular gas diffusing plate 10 is disposed immediately under the gas inlet port.
  • the gas diffusing plate 10 has a number of fine openings at its bottom face and can inject reaction gas to the semiconductor substrate 4 therefrom.
  • This exhaust port 11 is connected to an outer vacuum pump (not shown) so that the inside of the reaction chamber 6 can be evacuated.
  • the susceptor 3 is placed in parallel with and facing the gas diffusing plate 10 .
  • the susceptor 3 holds a semiconductor substrate 4 thereon and heats it with the heater 2 .
  • the gas inlet port 5 is insulated from the reaction chamber 6 and connected to an outer high frequency power supply 9 . Alternatively, the susceptor 3 can be connected to the power supply 9 .
  • the gas diffusing plate 10 and the susceptor 3 act as a high frequency electrode and generate a plasma reacting field in proximity to the surface of the semiconductor substrate 4 .
  • a method for forming an insulation film on a semiconductor substrate by using the plasma CVD apparatus of this invention comprises a step of directly vaporizing silicon-containing hydrocarbon compounds expressed by the general formula Si ⁇ O ⁇ C x H y ( ⁇ , ⁇ , x, and y are integers) and then introducing it to the reaction chamber 6 of the plasma CVD device 1 , a step of introducing an additive gas, whose flow is substantially reduced, into the reaction chamber 6 and also a step of forming an insulation film on a semiconductor substrate by plasma polymerization reaction wherein mixed gases, made from the silicon-containing hydrocarbon compound as a material gas and the additive gas, are used as a reaction gas. It is a remarkable feature that the reduction of the additive gas flow also renders a substantial reduction of the total flow of the reaction gas. This feature will be described in more detail later.
  • the silicon-containing hydrocarbon compound expressed as the general formula Si ⁇ O ⁇ C x H y ( ⁇ , ⁇ , x, and y are integers) is preferably a compound having at least one Si-O bond, two or less O-C n H 2+1 bonds and at least two hydrocarbon radicals bonded with silicon (Si). More specifically, it is a compound indicated by (A) chemical formula:
  • R 1 and R 2 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and m and n are any integers;
  • R 1 , R 2 and R 3 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and n is any integer;
  • R 1 ,R 2 , R 3 and R 4 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and m and n are any integer;
  • R 1 , R 2 , R 3 , R 4 , R 5 and R 6 are one of CH 3 , C 2 H 3 , C 2 H 5 , C 3 H 7 and C 6 H 5 , and a mixture of the compound with nitrogen oxide (N 2 O) or oxygen (O 2 ) as an oxidizing agent; or a compound indicated by (E) chemical formula:
  • R 1 , R 2 , R 3 and R 4 are one of CH 3 , C 2 H 3 , C 2 H1, C3H 7 and C 6 H 5 , and a mixture of the compound with nitrogen oxide (N 2 O) or oxygen (O 2 ) as an oxidizing agent.
  • silicon-containing hydrocarbon compound can be any combinations of these compounds and mixtures.
  • the additive gases used in this embodiment are argon gas and helium gas.
  • Argon is principally used for stabilizing plasma, while helium is used for improving uniformity of the plasma and also uniformity of thickness of the insulation film.
  • the first step of direct vaporization is a method wherein a liquid material, the flow of which is controlled, is instantaneously vaporized at a vaporizer that is preheated.
  • This direct vaporization method requires no carrier gas such as argon to obtain a designated amount of the material gas. This differs greatly with the babbling method. Accordingly, a large amount of argon gas or helium gas is no longer necessary and this reduces the total gas flow of the reaction gas and then lengthens the time in which the material gas stays in the plasma. As a result, sufficient polymerizing reactions occur in the vapor so that a linear polymer can be formed and a film having a micropore porous structure can be obtained.
  • inert gas supplied through the gas inlet port 14 pushes out the liquid reacting material 18 , which is the silicon-containing hydrocarbon compound, to the control valve 8 through the line 13 .
  • the control valve 8 controls the flow of the liquid reacting material 18 with the flow controller 7 so that it does not exceed a predetermined volume.
  • the reduced silicon-containing hydrocarbon compound 18 goes to the vaporizer 17 to be vaporized by the direct vaporization method described above.
  • Argon and helium are supplied through the inlet ports 15 and 16 , respectively, and the valve 8 controls the flow volume of these gases.
  • the mixture of the material gas and the additive gases, which is a reaction gas, is then supplied to the inlet port 5 of the plasma CVD device 1 .
  • the space between the gas diffusing plate 10 and the semiconductor substrate 4 is charged with high frequency RF voltages, which are preferably 13.4 MHz and 430 kHz, and the space serves as a plasma field.
  • the susceptor 3 continuously heats the semiconductor substrate 4 with the heater 2 and maintains the substrate 4 at a predetermined temperature that is desirably 350-450° C.
  • the reaction gas supplied through the fine openings of the gas diffusing plate 10 remains in the plasma field in proximity to the surface of the semiconductor substrate 4 for a predetermined time.
  • the residence time is short, a linear polymer cannot be deposited sufficiently so that the film deposited on the substrate does not form a micropore porous structure. Since the residence time is inversely proportional to the flow volume of the reaction gas, a reduction of the flow volume of the reaction gas can lengthen its residence time.
  • the oligomers when the oligomers form a film on the substrate, the oligomers undergo further polymerization, resulting in a higher oxygen ratio.
  • the ratio varies depending on the dielectric constant or other characteristics of a film formed on the substrate (e.g., in Example 5 described later, the ratio was 3:2).
  • the remaining oxygen which is derived from the material gas and is not incorporated into the film, is dissociated from the material compound and floats in plasma.
  • the ratio of Si:O in the material gas varies depending upon the compound. For example, in formulae 2-6 above, the ratio of O:Si is 2:1, 1:1, 3:2, 1:2, and 0:1, respectively. If the material gas having a high ratio of O:Si (e.g., 3/2 or higher) is used, the quantity of oxygen floating in plasma increases. When the quantity of oxygen increases, the organic groups, which are directly bound to Si and necessary to form a film, are oxidized, and as a result, deterioration of the film is likely to occur.
  • a reducing agent such as H 2 and CH 4
  • the oxygen partial pressure in plasma is reduced, thereby preventing the above oxidization of the organic groups.
  • an oxidizing agent such as N 2 O and O 2 .
  • the appropriate amount of a reducing agent or an oxidizing agent can be evaluated in advance based on preliminary experiment in which the composition of a formed film is analyzed by FT-IR or XRS, and its dielectric constant is also analyzed. Accordingly, by selecting the appropriate type of additive gas such as He, Ar, a reducing agent, and an oxidizing agent, and by controlling the quantity of each gas to be added, a film having the desired quality can be produced.
  • the silicon-containing hydrocarbon compound to produce a material gas for silicone polymer has preferably two alkoxy groups or less or having no alkoxy group.
  • the use of a material gas having three or more alkoxy groups interferes with formation of linear silicone polymer, resulting in relatively high dielectric constant of a film.
  • one molecule of the compound preferably contains one, two, or three Si atoms, although the number of Si atoms is not limited (the more the Si atoms, the vaporization becomes more difficult, and the cost of synthesis of the compound becomes higher).
  • the alkoxy group may normally contain 1-3 carbon atoms, preferably one or two carbon atoms.
  • Hydrocarbons bound to Si have normally 1-12 carbon atoms, preferably 1-6 carbon atoms.
  • a preferable silicon-containing hydrocarbon compound has formula:
  • is an integer of 1-3
  • is 0, 1, or 2
  • n is an integer of 1-3
  • R is C 1-6 hydrocarbon attached to Si.
  • the use of an oxidizing agent or a reducing agent is determined depending on the target dielectric constant (3.30 or less, preferably 3.10 or less, more preferably 2.80 or less) of a silicone polymer film and other characteristics such as stability of dielectric constant and thermal stability.
  • the O:Si ratio in the material gas is also considered to select an oxidizing agent or a reducing agent, as described above. Preferably, if the ratio is lower than 3:2, an oxidizing agent is used, whereas if the ratio is higher than 3:2, a reducing agent is used.
  • an inert gas such as Ar and He is for controlling plasma reaction, but is not indispensable to form a silicone polymer film.
  • the flow of material gas and the flow of additive gas can also vary depending on the plasma CVD apparatus. The appropriate flow can be determined by correlating the dielectric constant of the silicone polymer film with the residence time of the reaction gas (composed of the material gas and the additive gas). The longer the residence time, the lower the dielectric constant becomes.
  • a reduction rate of dielectric constant per lengthened residence time is changeable, and after a certain residence time, the reduction rate of dielectric constant significantly increases, i.e., the dielectric constant sharply drops after a certain residence time of the reaction gas. After this dielectric constant dropping range, the reduction of dielectric constant slows down. This is very interesting. In the present invention, by lengthening residence time until reaching the dielectric constant dropping range based on a predetermined correlation between the dielectric constant of the film and the residence time of the reaction gas, it is possible to reduce the dielectric constant of the silicone polymer film significantly.
  • Additive gas Ar and He
  • RF power supply 250W (use the frequency made from 13.4 MHz and 430 kHz by synthesizing them with each other)
  • Substrate temperature 400° C.
  • Vaporizing method direct vaporization
  • the residence time (Rt) is defined with the following formula.
  • Pr reaction chamber pressure (Pa)
  • Table 1 lists comparative examples and present invention's examples.
  • TABLE 1 Material Reaction Gas Gas Total Flow Ar He Flow Rt Dielectric (sccm) (sccm) (sccm) (msec) constant ⁇
  • C.Ex. 1 100 1000 1000 2100 24 3.38 (P-TMOS) C.Ex. 2 100 10 10 120 412 3.42 (P-TMOS) C.Ex. 3 100 775 775 1650 30 3.41 (PM- DMOS) C.Ex. 4 100 550 550 1200 41 3.41 (PM- DMOS) C.Ex. 5 100 430 430 960 51 3.40 (PM- DMOS) C.Ex.
  • Additive gas Ar (430 sccm) and He (430 sccm)
  • Additive gases Ar (70 sccm) and He (70 sccm)
  • FIG. 2 is a graph showing the relationship between the dielectric constant ⁇ and the total flow volume of the reaction gas as well as the relationship between the residence time Rt and the total flow volume of the reaction gases, in the experiments using PM-DMOS as a material gas.
  • FIG. 3 is a graph showing the relationship between the residence time Rt and the dielectric constant ⁇ in the experiments using PM-DMOS as a material gas.
  • FIG. 2 shows that the dielectric constant ⁇ is almost constantly 3.4 while the flow volume is about 700 sccm. However, the dielectric constant ⁇ begins to fall with the decrease of the flow volume, i.e., at approximately 700 sccm or less. Further, as the flow volume falls to under 500 sccm, the residence time Rt rises drastically and the dielectric constant ⁇ falls drastically. Meanwhile, FIG. 3 shows that the dielectric constant ⁇ begins to decrease when the residence time Rt increases from approximately 70 msec. When the residence time Rt is greater than 400 msec, the dielectric constant ⁇ falls to 2.45.
  • DM-DMOS (formula 8) was then tested.
  • both compounds (PM-DMOS having C 6 H 5 at R 1 and CH 3 at R 2 and DM-DMOS having CH 3 at R 1 and CH 3 at R 2 ) can produce insulation films having a very low dielectric constant ( ⁇ 3.1).
  • the silicon-containing hydrocarbon compounds used as the material gases should have not only the Si-O bonds but also at most two O-C n H 2n+1 bonds and, further, at least two hydrocarbon radicals bonded to the silicon (Si).
  • FIG. 4 is a graph showing the thermal desorption spectra of components having a molecular weight of 16 due to desorption of CH 4 during the temperature rise.
  • FIG. 5 is a graph showing changes in the degree of vacuum corresponding to the number of total molecules dissociated from the film. In both experiments, no desorption was detected in either film at a temperature of 400° C. or lower. Desorption began at approximately 450° C.
  • the method of this invention using the silicon-containing hydrocarbon compounds of this invention as the material gases produces an insulation film that has high thermal stability, high humidity-resistance and a low dielectric constant. Additionally, it is found that controlling the residence time of the reaction gas can effectively and easily control the dielectric constant of the film. Further, the method of this invention actualizes easy production of insulation films without using expensive devices.

Abstract

A siloxan polymer insulation film has a dielectric constant of 3.3 or lower and has —SiR2O— repeating structural units. The siloxan polymer has dielectric constant, high thermal stability and high humidity-resistance on a semiconductor substrate. The siloxan polymer is formed by directly vaporizing a silicon-containing hydrocarbon compound expressed by the general formula SiαOβCxHy (α, β, x, and y are integers) and then introducing the vaporized compound to the reaction chamber of the plasma CVD apparatus. The residence time of the source gas is lengthened by reducing the total flow of the reaction gas, in such a way as to form a siloxan polymer film having a micropore porous structure with low dielectric constant.

Description

  • This is a continuation of U.S. patent application Ser. No. 09/243,156, filed Feb. 2, 1999, which claims priority based on Japanese patent application No. 37929/1998, filed Feb. 5, 1998. The entire disclosure of the parent application is hereby incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • This invention relates generally to a semiconductor technique and more particularly to a silicone polymer insulation film on a semiconductor substrate and a method for forming the film by using a plasma CVD (chemical vapor deposition) apparatus. [0003]
  • 2. Description of Related Art [0004]
  • Because of the recent rise in requirements for the large-scale integration of semiconductor devices, a multi-layered wiring technique attracts a great deal of attention. In these multi-layered structures, however, capacitance among individual wires hinders high-speed operations. In order to reduce the capacitance it is necessary to reduce the dielectric constant (relative permittivity) of the insulation film. Thus, various materials having a relatively low dielectric constant have been developed for insulation films. [0005]
  • Conventional silicon oxide films SiO[0006] x are produced by a method in which oxygen O2 or nitrogen oxide N2O is added as an oxidizing agent to a silicon material gas such as SiH4 or Si(OC2H5)4 and then processed by heat or plasma energy. Its dielectric constant is about 4.0.
  • Alternatively, a fluorinated amorphous carbon film has been produced from C[0007] xFyHz as a material gas by a plasma CVD method. Its dielectric constant ε is as low as 2.0-2.4.
  • Another method to reduce the dielectric constant of insulation film has been made by using the good stability of Si—O bond. A silicon-containing organic film is produced from a material gas under low pressure (1 Torr) by the plasma CVD method. The material gas is made from P-TMOS (phenyl trimethoxysilane, formula 1), which is a compound of benzene and silicon, vaporized by a babbling method. The dielectric constant ε of this film is as low as 3.1. [0008]
    Figure US20010021590A1-20010913-C00001
  • A further method uses a porous structure made in the film. An insulation film is produced from an inorganic SOG material by a spin-coat method. The dielectric constant ε of the film is as low as 2.3. [0009]
  • However, the above noted approaches have various disadvantages as described below. [0010]
  • First, the fluorinated amorphous carbon film has lower thermal stability (370° C.), poor adhesion with silicon-containing materials and also lower mechanical strength. The lower thermal stability leads to damage under high temperatures such as over 400° C. Poor adhesion may cause the film to peel off easily. Further, the lower mechanical strength can jeopardize wiring materials. [0011]
  • Oligomers that are polymerized using P-TMOS molecules do not form a linear structure in the vapor phase, such as a siloxane structure, because the P-TMOS molecule has three O—CH[0012] 3 bonds. The oligomers having no linear structure cannot form a porous structure on a Si substrate, i.e., the density of the deposited film cannot be reduced. As a result, the dielectric constant of the film cannot be reduced to a desired degree.
  • In this regard, the babbling method means a method wherein vapor of a liquid material, which is obtained by having a carrier gas such as argon gas pass through the material, is introduced into a reaction chamber with the carrier gas. This method generally requires a large amount of a carrier gas in order to cause the material gas to flow. As a result, the material gas cannot stay in the reaction chamber for a sufficient length of time to cause polymerization in a vapor phase. [0013]
  • Further, the SOG insulation film of the spin-coat method has a problem in that the material cannot be applied onto the silicon substrate evenly and another problem in which a cure system after the coating process is costly. [0014]
  • Object of the Invention [0015]
  • It is, therefore, a principal object of this invention to provide an improved insulation film and a method for forming it. [0016]
  • It is another object of this invention to provide an insulation film that has a low dielectric constant, high thermal stability, high humidity-resistance and high adhesive strength, and a method for forming it. [0017]
  • It is a further object of this invention to provide a material for forming an insulation film that has a low dielectric constant, high thermal stability, high humidity-resistance and high adhesive strength. [0018]
  • It is a still further object of this invention to provide a method for easily forming an insulation film that has a low dielectric constant without requiring an expensive device. [0019]
  • SUMMARY OF THE INVENTION
  • One aspect of this invention involves a method for forming an insulation film on a semiconductor substrate by using a plasma CVD apparatus including a reaction chamber, which method comprises a step of directly vaporizing a silicon-containing hydrocarbon compound expressed by the general formula Si[0020] αOβCxHy (α,β, x, and y are integers) and then introducing it to the reaction chamber of the plasma CVD apparatus, a step of introducing an additive gas, the flow volume of which is substantially reduced, into the reaction chamber and also a step of forming an insulation film on a semiconductor substrate by plasma polymerization reaction wherein mixed gases made from the vaporized silicon-containing hydrocarbon compound as a material gas and the additive gas are used as a reaction gas. It is a remarkable feature that the reduction of the additive gas flow also results in a substantial reduction of the total flow of the reaction gas. According to the present invention, a silicone polymer film having a micropore porous structure with low dielectric constant can be produced.
  • The present invention is also drawn to an insulation film formed on a semiconductor substrate, and a material for forming the insulation film, residing in the features described above. [0021]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic diagram illustrating a plasma CVD apparatus used for forming an insulation film of this invention. [0022]
  • FIG. 2 is a graph showing the relationship between dielectric constant and the total flow of a reaction gas as well as the relationship between residence time and the total flow of a reaction gas, both in experiments using PM-DMOS as a material gas. [0023]
  • FIG. 3 is a graph showing the relationship between the residence time and dielectric constant in experiments using PM-DMOS as a material gas. [0024]
  • FIG. 4 is a graph showing the thermal desorption spectra of components having a molecular weight of 16 due to desorption of CH[0025] 4 from films (PM-DMOS, DM-DMOS) according to the present invention in a thermal desorption test.
  • FIG. 5 is a graph showing changes in the degree of vacuum corresponding to the number of total molecules dissociated from the films (PM-DMOS, DM-DMOS), i.e., pressure raises due to gas dissociated from the films in the thermal desorption test.[0026]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS OF THE INVENTION
  • Basic Aspects [0027]
  • In the present invention, the silicon-containing hydrocarbon compound expressed as the general formula Si[0028] αOβCxHy (α,β, x, and y are integers) is preferably a compound having at least one Si—O bond, two or less O—CnH2n+1 bonds and at least two hydrocarbon radicals bonded with silicon (Si). More specifically, the silicon-containing hydrocarbon compound includes at least one species of the compound expressed by the chemical formula (2) as follows:
    Figure US20010021590A1-20010913-C00002
  • wherein R[0029] 1 and R2 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and m and n are any integer.
  • Except for the species indicated above, the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (3) as follows: [0030]
    Figure US20010021590A1-20010913-C00003
  • wherein R[0031] 1, R2 and R3 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and n is any integer.
  • Except for those species indicated above, the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (4) as follows: [0032]
    Figure US20010021590A1-20010913-C00004
  • wherein R[0033] 1, R2, R3 and R4 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and m and n are any integer.
  • Further, except for those species indicated above, the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (5) as follows: [0034]
    Figure US20010021590A1-20010913-C00005
  • wherein R[0035] 1, R2, R3, R4, R5 and R6 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N2O) or oxygen (O2).
  • Furthermore, except for those species indicated above, the silicon-containing hydrocarbon compound can include at least one species of the compound expressed by the chemical formula (6) as follows: [0036]
    Figure US20010021590A1-20010913-C00006
  • wherein R[0037] 1, R2, R3 and R4 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N2O) or oxygen (O2).
  • Still further, the material gas can include at least one of said silicon-containing hydrocarbon compounds indicated above. [0038]
  • In accordance with another aspect of this invention, an insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by [0039] formula 2.
  • Additionally, the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by [0040] formula 3.
  • Further, the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by [0041] formula 4.
  • Furthermore, the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by [0042] formula 5.
  • Still further, the insulation film is formed on a substrate and the film is polymerized with plasma energy in a plasma CVD apparatus by using a material gas including a silicon-containing hydrocarbon compound expressed by [0043] formula 6.
  • In accordance with a further aspect of this invention, a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by [0044] formula 2.
  • Additionally, a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by [0045] formula 3.
  • Further, a material for forming an insulation film is supplied in a vapor phase in the vicinity of a substrate and is treated in a plasma CVD apparatus to form the insulation film on the substrate by chemical reaction, and the material is further expressed by [0046] formula 4.
  • Furthermore, a material for forming an insulation film is supplied in a vapor phase with either nitrogen oxide (N[0047] 2O) or oxygen (O2) as an oxidizing agent in the vicinity of a substrate and is treated in a plasma CVD apparatus to form said insulation film on said substrate by chemical reaction, and this material can be the compound expressed by formula 5.
  • Still further, a material for forming an insulation film is supplied in a vapor phase with either nitrogen oxide (N[0048] 2O) or oxygen (O2) as the oxidizing agent in the vicinity of a substrate and is treated in a plasma CVD apparatus to form said insulation film on said substrate by chemical reaction, and this material further can be the compound expressed by formula 6.
  • Residence Time and Gas Flow [0049]
  • The residence time of the reaction gas is determined based on the capacity of the reaction chamber for reaction, the pressure adapted for reaction, and the total flow of the reaction gas. The reaction pressure is normally in the range of 1-10 Torr, preferably 3-7 Torr, so as to maintain stable plasma. This reaction pressure is relatively high in order to lengthen the residence time of the reaction gas. The total flow of the reaction gas is important to reducing the dielectric constant of a resulting film. It is not necessary to control the ratio of the material gas to the additive gas. In general, the longer the residence time, the lower the dielectric constant becomes. The material gas flow necessary for forming a film depends on the desired deposition rate and the area of a substrate on which a film is formed. For example, in order to form a film on a substrate [r(radius)=100 mm] at a deposition rate of 300 nm/min, at least 50 sccm of the material gas is expected to be included in the reaction gas. That is approximately 1.6×10[0050] 2 sccm per the surface area of the substrate (m2). The total flow can be defined by residence time (Rt). When Rt is defined described below, a preferred range of Rt is 100 msec
    Figure US20010021590A1-20010913-P00900
    Rt, more preferably 200 msec
    Figure US20010021590A1-20010913-P00900
    Rt
    Figure US20010021590A1-20010913-P00900
    Figure US20010021590A1-20010913-P00900
    5 sec. In a conventional plasma TEOS, Rt is generally in the range of 10-30 msec.
  • Rt[s]=9.42×107(Pr·Ts/Ps·Tr)rw 2d/F
  • wherein: [0051]
  • Pr: reaction chamber pressure (Pa) [0052]
  • Ps: standard atmospheric pressure (Pa) [0053]
  • Tr: average temperature of the reaction gas (K) [0054]
  • Ts: standard temperature (K) [0055]
  • r[0056] w: radius of the silicon substrate (m)
  • d: space between the silicon substrate and the upper electrode (m) [0057]
  • F: total flow volume of the reaction gas (sccm) [0058]
  • In the above, the residence time means the average period of time in which gas molecules stay in the reaction chamber. The residence time (Rt) can be calculated at Rt=αV/S, wherein V is the capacity of the chamber (cc), S is the volume of the reaction gas (cc/s), and α is a coefficient determined by the shape of the reaction chamber and the positional relationship between the inlet of gas and the outlet of exhaust. The space for reaction in the reaction chamber is defined by the surface of the substrate (πr[0059] 2) and the space between the upper electrode and the lower electrode. Considering the gas flow through the space for reaction, α can be estimated as ½. In the above formula, α is ½.
  • Basic Effects [0060]
  • In this method, the material gas is, in short, a silicon-containing hydrocarbon compound including at least one Si-O bond, at most two O-C[0061] nH2n+1 bonds and at least two hydrocarbon radicals bonded to the silicon (Si). Also, this material gas is vaporized by a direct vaporization method. The method results in an insulation film having a low dielectric constant, high thermal stability and high humidity-resistance.
  • More specifically, the material gas vaporized by the direct vaporization method can stay in the plasma for a sufficient length of time. As a result, a linear polymer can be formed so that a linear polymer having the basic structure (formula 7), wherein the “n” is 2 or a greater value, forms in a vapor phase. The polymer is then deposited on the semiconductor substrate and forms an insulation film having a micropore porous structure. [0062]
    Figure US20010021590A1-20010913-C00007
  • wherein X[0063] 1 and X2 are OnCmHp wherein n is 0 or 1, m and p are integers including zero.
  • The insulation film of this invention has a relatively high stability because its fundamental structure has the Si-O bond having high bonding energy therebetween. Also, its dielectric constant is low because it has a micropore porous structure. Further, the fundamental structure (—Si-O—)[0064] n has, on both sides, dangling bonds ending with a hydrocarbon radical possessing hydrophobicity, and this property renders the humidity-resistance. Furthermore, the bond of a hydrocarbon radical and silicon is generally stable. For instance, both the bond with a methyl radical, i.e., Si-CH3, and bond with benzene, i.e., Si-C6H5, have a dissociation temperature of 500° C. or higher. Since above semiconductor production requires thermal stability to temperatures above 450° C., that property of the film is advantageous for production of semiconductors.
  • Further aspects, features and advantages of this invention will become apparent from the detailed description of the preferred examples which follows. [0065]
  • Outline of Example Structures [0066]
  • FIG. 1 diagrammatically shows a plasma CVD apparatus usable in this invention. This apparatus comprises a reaction gas-supplying [0067] device 12 and a plasma CVD device 1. The reaction gas-supplying device 12 comprises plural lines 13, control valves 8 disposed in the lines 13, and gas inlet ports 14, 15 and 16. A flow controller 7 is connected to the individual control valves 8 for controlling a flow of a material gas of a predetermined volume. A container accommodating liquid reacting material 18 is connected to a vaporizer 17 that directly vaporizes liquid. The plasma CVD device 1 includes a reaction chamber 6, a gas inlet port 5, a susceptor 3 and a heater 2. A circular gas diffusing plate 10 is disposed immediately under the gas inlet port. The gas diffusing plate 10 has a number of fine openings at its bottom face and can inject reaction gas to the semiconductor substrate 4 therefrom. There is an exhaust port 11 at the bottom of the reaction chamber 6. This exhaust port 11 is connected to an outer vacuum pump (not shown) so that the inside of the reaction chamber 6 can be evacuated. The susceptor 3 is placed in parallel with and facing the gas diffusing plate 10. The susceptor 3 holds a semiconductor substrate 4 thereon and heats it with the heater 2. The gas inlet port 5 is insulated from the reaction chamber 6 and connected to an outer high frequency power supply 9. Alternatively, the susceptor 3 can be connected to the power supply 9. Thus, the gas diffusing plate 10 and the susceptor 3 act as a high frequency electrode and generate a plasma reacting field in proximity to the surface of the semiconductor substrate 4.
  • A method for forming an insulation film on a semiconductor substrate by using the plasma CVD apparatus of this invention comprises a step of directly vaporizing silicon-containing hydrocarbon compounds expressed by the general formula Si[0068] αOβCxHy (α,β, x, and y are integers) and then introducing it to the reaction chamber 6 of the plasma CVD device 1, a step of introducing an additive gas, whose flow is substantially reduced, into the reaction chamber 6 and also a step of forming an insulation film on a semiconductor substrate by plasma polymerization reaction wherein mixed gases, made from the silicon-containing hydrocarbon compound as a material gas and the additive gas, are used as a reaction gas. It is a remarkable feature that the reduction of the additive gas flow also renders a substantial reduction of the total flow of the reaction gas. This feature will be described in more detail later.
  • Material Gas and Additive Gas [0069]
  • In this regard, the silicon-containing hydrocarbon compound expressed as the general formula Si[0070] αOβCxHy (α,β, x, and y are integers) is preferably a compound having at least one Si-O bond, two or less O-CnH2+1 bonds and at least two hydrocarbon radicals bonded with silicon (Si). More specifically, it is a compound indicated by (A) chemical formula:
    Figure US20010021590A1-20010913-C00008
  • wherein R[0071] 1 and R2 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and m and n are any integers;
  • a compound indicated by (B) chemical formula: [0072]
    Figure US20010021590A1-20010913-C00009
  • wherein R[0073] 1, R2 and R3 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and n is any integer;
  • a compound indicated by (C) chemical formula: [0074]
    Figure US20010021590A1-20010913-C00010
  • wherein R[0075] 1 ,R2, R3 and R4 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and m and n are any integer;
  • a compound indicated by (D) chemical formula: [0076]
    Figure US20010021590A1-20010913-C00011
  • wherein R[0077] 1, R2, R3, R4, R5 and R6 are one of CH3, C2H3, C2H5, C3H7 and C6H5, and a mixture of the compound with nitrogen oxide (N2O) or oxygen (O2) as an oxidizing agent; or a compound indicated by (E) chemical formula:
    Figure US20010021590A1-20010913-C00012
  • wherein R[0078] 1, R2, R3 and R4 are one of CH3, C2H3, C2H1, C3H7 and C6H5, and a mixture of the compound with nitrogen oxide (N2O) or oxygen (O2) as an oxidizing agent.
  • Further, it should be noted that the silicon-containing hydrocarbon compound can be any combinations of these compounds and mixtures. [0079]
  • The additive gases used in this embodiment, more specifically, are argon gas and helium gas. Argon is principally used for stabilizing plasma, while helium is used for improving uniformity of the plasma and also uniformity of thickness of the insulation film. [0080]
  • In the method described above, the first step of direct vaporization is a method wherein a liquid material, the flow of which is controlled, is instantaneously vaporized at a vaporizer that is preheated. This direct vaporization method requires no carrier gas such as argon to obtain a designated amount of the material gas. This differs greatly with the babbling method. Accordingly, a large amount of argon gas or helium gas is no longer necessary and this reduces the total gas flow of the reaction gas and then lengthens the time in which the material gas stays in the plasma. As a result, sufficient polymerizing reactions occur in the vapor so that a linear polymer can be formed and a film having a micropore porous structure can be obtained. [0081]
  • In FIG. 1, inert gas supplied through the [0082] gas inlet port 14 pushes out the liquid reacting material 18, which is the silicon-containing hydrocarbon compound, to the control valve 8 through the line 13. The control valve 8 controls the flow of the liquid reacting material 18 with the flow controller 7 so that it does not exceed a predetermined volume. The reduced silicon-containing hydrocarbon compound 18 goes to the vaporizer 17 to be vaporized by the direct vaporization method described above. Argon and helium are supplied through the inlet ports 15 and 16, respectively, and the valve 8 controls the flow volume of these gases. The mixture of the material gas and the additive gases, which is a reaction gas, is then supplied to the inlet port 5 of the plasma CVD device 1. The space between the gas diffusing plate 10 and the semiconductor substrate 4, both located inside of the reaction chamber 6 which is already evacuated, is charged with high frequency RF voltages, which are preferably 13.4 MHz and 430 kHz, and the space serves as a plasma field. The susceptor 3 continuously heats the semiconductor substrate 4 with the heater 2 and maintains the substrate 4 at a predetermined temperature that is desirably 350-450° C. The reaction gas supplied through the fine openings of the gas diffusing plate 10 remains in the plasma field in proximity to the surface of the semiconductor substrate 4 for a predetermined time.
  • If the residence time is short, a linear polymer cannot be deposited sufficiently so that the film deposited on the substrate does not form a micropore porous structure. Since the residence time is inversely proportional to the flow volume of the reaction gas, a reduction of the flow volume of the reaction gas can lengthen its residence time. [0083]
  • Extremely reducing the total volume of the reaction gas is effected by reducing the flow volume of the additive gas. As a result, the residence time of the reaction gas can be lengthened so that a linear polymer is deposited sufficiently and subsequently an insulation film having a micropore porous structure can be formed. [0084]
  • In order to adjust the reaction in the vapor phase, it is effective to add a small amount of an inert gas, an oxidizing agent, or a reducing agent to the reaction chamber. Helium (He) and Argon (Ar) are inert gases and have different first ionization energies of 24.56 eV and 15.76 eV, respectively. Thus, by adding either He or Ar singly or both in combination in predetermined amounts, the reaction of the material gas in the vapor phase can be controlled. Molecules of the reaction gas undergo polymerization in the vapor phase, thereby forming oligomers. The oligomers are expected to have a O:Si ratio of 1:1. However, when the oligomers form a film on the substrate, the oligomers undergo further polymerization, resulting in a higher oxygen ratio. The ratio varies depending on the dielectric constant or other characteristics of a film formed on the substrate (e.g., in Example 5 described later, the ratio was 3:2). [0085]
  • The remaining oxygen, which is derived from the material gas and is not incorporated into the film, is dissociated from the material compound and floats in plasma. The ratio of Si:O in the material gas varies depending upon the compound. For example, in formulae 2-6 above, the ratio of O:Si is 2:1, 1:1, 3:2, 1:2, and 0:1, respectively. If the material gas having a high ratio of O:Si (e.g., 3/2 or higher) is used, the quantity of oxygen floating in plasma increases. When the quantity of oxygen increases, the organic groups, which are directly bound to Si and necessary to form a film, are oxidized, and as a result, deterioration of the film is likely to occur. In the above, by adding a reducing agent such as H[0086] 2 and CH4 to the reaction chamber, the oxygen partial pressure in plasma is reduced, thereby preventing the above oxidization of the organic groups. In contrast, when the O:Si ratio is low (e.g., 3/2 or lower), it is necessary to supply oxygen for forming a film by adding an oxidizing agent such as N2O and O2. The appropriate amount of a reducing agent or an oxidizing agent can be evaluated in advance based on preliminary experiment in which the composition of a formed film is analyzed by FT-IR or XRS, and its dielectric constant is also analyzed. Accordingly, by selecting the appropriate type of additive gas such as He, Ar, a reducing agent, and an oxidizing agent, and by controlling the quantity of each gas to be added, a film having the desired quality can be produced.
  • Other Aspects [0087]
  • In the above, the silicon-containing hydrocarbon compound to produce a material gas for silicone polymer has preferably two alkoxy groups or less or having no alkoxy group. The use of a material gas having three or more alkoxy groups interferes with formation of linear silicone polymer, resulting in relatively high dielectric constant of a film. In the above, one molecule of the compound preferably contains one, two, or three Si atoms, although the number of Si atoms is not limited (the more the Si atoms, the vaporization becomes more difficult, and the cost of synthesis of the compound becomes higher). The alkoxy group may normally contain 1-3 carbon atoms, preferably one or two carbon atoms. Hydrocarbons bound to Si have normally 1-12 carbon atoms, preferably 1-6 carbon atoms. A preferable silicon-containing hydrocarbon compound has formula: [0088]
  • SiαOα−1R2α−β+2(OCnH2n+1)β
  • wherein α is an integer of 1-3, β is 0, 1, or 2, n is an integer of 1-3, and R is C[0089] 1-6 hydrocarbon attached to Si. The use of an oxidizing agent or a reducing agent is determined depending on the target dielectric constant (3.30 or less, preferably 3.10 or less, more preferably 2.80 or less) of a silicone polymer film and other characteristics such as stability of dielectric constant and thermal stability. The O:Si ratio in the material gas is also considered to select an oxidizing agent or a reducing agent, as described above. Preferably, if the ratio is lower than 3:2, an oxidizing agent is used, whereas if the ratio is higher than 3:2, a reducing agent is used. Further, an inert gas such as Ar and He is for controlling plasma reaction, but is not indispensable to form a silicone polymer film. The flow of material gas and the flow of additive gas can also vary depending on the plasma CVD apparatus. The appropriate flow can be determined by correlating the dielectric constant of the silicone polymer film with the residence time of the reaction gas (composed of the material gas and the additive gas). The longer the residence time, the lower the dielectric constant becomes. A reduction rate of dielectric constant per lengthened residence time is changeable, and after a certain residence time, the reduction rate of dielectric constant significantly increases, i.e., the dielectric constant sharply drops after a certain residence time of the reaction gas. After this dielectric constant dropping range, the reduction of dielectric constant slows down. This is very interesting. In the present invention, by lengthening residence time until reaching the dielectric constant dropping range based on a predetermined correlation between the dielectric constant of the film and the residence time of the reaction gas, it is possible to reduce the dielectric constant of the silicone polymer film significantly.
  • EXAMPLES
  • Some preferred results in the experiments are described below. In these experiments, PM-DMOS (phenylmethyl dimethoxysilane, formula 1), DM-DMOS (dimethyl dimethoxysilane, formula 8), and P-TMOS were used as the material gas. An ordinary plasma CVD device (EAGLE-10™, ASM Japan K. K.) was used as an experimental device. The conditions for forming the film are as follows; [0090]
  • Additive gas: Ar and He [0091]
  • RF power supply: 250W (use the frequency made from 13.4 MHz and 430 kHz by synthesizing them with each other) [0092]
  • Substrate temperature: 400° C. [0093]
  • Reacting pressure: 7 Torr [0094]
  • Vaporizing method: direct vaporization [0095]
  • The residence time (Rt) is defined with the following formula. [0096]
  • Rt[s]=9.42×107(Pr·Ts/Ps·Tr)rw 2d/F
  • In this formula, each abbreviation indicates the following parameter. [0097]
  • Pr: reaction chamber pressure (Pa) [0098]
  • Ps: standard atmospheric pressure (Pa) [0099]
  • Tr: average temperature of the reaction gas (K) [0100]
  • Ts: standard temperature (K) [0101]
  • r[0102] w: radius of the silicon substrate (m)
  • d: space between the silicon substrate and the upper electrode (m) [0103]
  • F: total flow volume of the reaction gas (sccm) [0104]
  • Individual parameters were fixed at the following values; only the flow volume was varied so as to find out the relationship between the flow volume and the dielectric constant. [0105]
  • Pr=9.33×10[0106] 2 (Pa)
  • Ps=1.01×10[0107] 5 (Pa)
  • Tr=273+400=673 (K) [0108]
  • Ts=273 (K) [0109]
  • r[0110] w=0.1 (m)
  • d=0.014 (m) [0111]
  • Table 1 lists comparative examples and present invention's examples. [0112]
    TABLE 1
    Material Reaction
    Gas Gas Total
    Flow Ar He Flow Rt Dielectric
    (sccm) (sccm) (sccm) (sccm) (msec) constant ε
    C.Ex. 1 100 1000 1000 2100 24 3.38
    (P-TMOS)
    C.Ex. 2 100 10 10 120 412 3.42
    (P-TMOS)
    C.Ex. 3 100 775 775 1650 30 3.41
    (PM-
    DMOS)
    C.Ex. 4 100 550 550 1200 41 3.41
    (PM-
    DMOS)
    C.Ex. 5 100 430 430 960 51 3.40
    (PM-
    DMOS)
    C.Ex. 6 100 310 310 720 68 3.35
    (PM-
    DMOS)
    Ex. 1 100 140 140 480 103 3.10
    (PM-
    DMOS)
    Ex. 2 100 100 100 300 165 2.76
    (PM-
    DMOS)
    Ex. 3 100 70 70 240 206 2.64
    (PM-
    DMOS)
    Ex. 4 100 10 10 120 412 2.45
    (PM-
    DMOS)
    Ex. 5 100 10 10 120 412 2.58
    (DM-
    DMOS)
    Ex. 6 25 3 0 28 1764 2.51
    (DM-
    DMOS)
    Ex. 7 25 0 5 30 1647 2.50
    (DM-
    DMOS)
    Additive H2 CH4
    Gas (sccm) (sccm)
    Change
    Ex. 8 100 20 0 120 412 2.52
    (DM-
    DMOS)
    Ex. 9 25 5 0 30 1647 2.49
    (DM-
    DMOS)
    Ex. 10 25 0 5 30 1647 2.67
    (DM-
    DMOS)
  • Comparative Example 1
  • Material gas: P-TMOS (100 sccm) [0113]
  • Additive gases: Ar (1000 sccm) and He (1000 sccm) [0114]
  • Total flow volume of reaction gas: 2100 sccm [0115]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 24 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.38. [0116]
  • Comparative Example 2
  • Material gas: P-TMOS (100 sccm) [0117]
  • Additive gases: Ar (10 sccm) and He (10 sccm) [0118]
  • Total flow volume of reaction gas: 120 sccm [0119]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 412 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.42. [0120]
  • Comparative Example 3
  • Material gas: PM-DMOS (100 sccm) [0121]
  • Additive gases: Ar (775 sccm) and He (775 sccm) [0122]
  • Total flow volume of reaction gas: 1650 sccm [0123]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 30 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.41. [0124]
  • Comparative Example 4
  • Material gas: PM-DMOS (100 sccm) [0125]
  • Additive gases: Ar (550 sccm) and He (550 sccm) [0126]
  • Total flow volume of reaction gas: 1200 sccm [0127]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 41 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.41. [0128]
  • Comparative Example 5
  • Material gas: PM-DMOS (100 sccm) [0129]
  • Additive gas: Ar (430 sccm) and He (430 sccm) [0130]
  • Total flow volume of reaction gas: 960 sccm [0131]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 51 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.40. [0132]
  • Comparative Example 6
  • Material gas: PM-DMOS (100 sccm) [0133]
  • Additive gases: Ar (310 sccm) and He (310 sccm) [0134]
  • Total flow volume of reaction gas: 720 sccm [0135]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 68 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.35. [0136]
  • Example 1
  • Material gas: PM-DMOS (100 sccm) [0137]
  • Additive gases: Ar (140 sccm) and He (140 sccm) [0138]
  • Total flow volume of reaction gas: 480 sccm [0139]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 103 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 3.10. [0140]
  • Example 2
  • Material gas: PM-DMOS (100 sccm) [0141]
  • Additive gases: Ar (100 sccm) and He (100 scem) [0142]
  • Total flow volume of reaction gas: 300 scem [0143]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 165 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.76. [0144]
  • Example 3
  • Material gas: PM-DMOS (100 sccm) [0145]
  • Additive gases: Ar (70 sccm) and He (70 sccm) [0146]
  • Total flow volume of reaction gas: 240 sccm [0147]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 206 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.64. [0148]
  • Example 4
  • Material gas: PM-DMOS (100 sccm) [0149]
  • Additive gases: Ar (10 sccm) and He (10 sccm) [0150]
  • Total flow volume of reaction gas: 120 sccm [0151]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 412 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.45. [0152]
  • Hereinafter, the results given above will be examined with reference to FIGS. 2 and 3. FIG. 2 is a graph showing the relationship between the dielectric constant ε and the total flow volume of the reaction gas as well as the relationship between the residence time Rt and the total flow volume of the reaction gases, in the experiments using PM-DMOS as a material gas. FIG. 3 is a graph showing the relationship between the residence time Rt and the dielectric constant ε in the experiments using PM-DMOS as a material gas. [0153]
  • First, the relationship between the flow volume of the PM-DMOS gases and the dielectric constant ε of the insulation film will be examined. FIG. 2 shows that the dielectric constant ε is almost constantly 3.4 while the flow volume is about 700 sccm. However, the dielectric constant ε begins to fall with the decrease of the flow volume, i.e., at approximately 700 sccm or less. Further, as the flow volume falls to under 500 sccm, the residence time Rt rises drastically and the dielectric constant ε falls drastically. Meanwhile, FIG. 3 shows that the dielectric constant ε begins to decrease when the residence time Rt increases from approximately 70 msec. When the residence time Rt is greater than 400 msec, the dielectric constant ε falls to 2.45. [0154]
  • Thus, these present invention's examples apparently indicate that if the total flow of the reaction gas of the PM-DMOS gas and the additive gas is controlled so that Rt is more than 100 msec the dielectric constant ε can be controlled to be less than 3.1. [0155]
  • Example 5
  • DM-DMOS (formula 8) was then tested. [0156]
    Figure US20010021590A1-20010913-C00013
  • Material gas: DM-DMOS (100 sccm) [0157]
  • Additive gases: Ar (10 sccm) and He (10 sccm) [0158]
  • Total flow volume of reaction gas: 120 sccm [0159]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 412 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.58. [0160]
  • Example 6
  • Material gas: DM-DMOS (25 sccm) [0161]
  • Additive gases: Ar (3 sccm) and He (0 sccm) [0162]
  • Total flow volume of reaction gas: 28 sccm [0163]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 1764 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.51. [0164]
  • Example 7
  • Material gas: DM-DMOS (25 sccm) [0165]
  • Additive gases: Ar (0 sccm) and He (5 sccm) [0166]
  • Total flow volume of reaction gas: 30 sccm [0167]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 1647 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.50. [0168]
  • Example 8
  • Material gas: DM-DMOS (100 sccm) [0169]
  • Additive gases: H[0170] 2 (20 sccm) and CH4 (0 sccm)
  • Total flow volume of reaction gas: 120 sccm [0171]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 412 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.52. [0172]
  • Example 9
  • Material gas: DM-DMOS (25 sccm) [0173]
  • Additive gases: H[0174] 2 (5 sccm) and CH4 (0 sccm)
  • Total flow volume of reaction gas: 30 sccm [0175]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 1647 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.49. [0176]
  • Example 10
  • Material gas: DM-DMOS (25 sccm) [0177]
  • Additive gases: H[0178] 2 (0 sccm) and CH4 (5 sccm)
  • Total flow volume of reaction gas: 30 sccm [0179]
  • Other conditions and devices used for forming the film are given above. The calculated value of the residence time Rt was 1647 msec. The conditions in this example reduced the dielectric constant ε of the insulation film to 2.67. [0180]
  • Thus, the above reveals that, in the material gas of [0181] formula 2, both compounds (PM-DMOS having C6H5 at R1 and CH3 at R2 and DM-DMOS having CH3 at R1 and CH3 at R2) can produce insulation films having a very low dielectric constant (ε<3.1).
  • The following will examine if the P-TMOS gas replacing the PM-DMOS gas can render the same results. Comparative Examples 1 and 2 both are the results obtained in the experiments using the P-TMOS as a material gas. These examples indicate that the dielectric constant does not decrease even when the total flow of the reaction gas is reduced to 5.7%. Thus, the relationship between the flow volume and the dielectric constant that is effected with PM-DMOS does not come into effect with P-TMOS. [0182]
  • Further, the following will examine differences of dielectric constant when using different material gases. Comparing Comparative Example 2 with the present invention's Example 4, although the flow volumes and other conditions are identical, the dielectric constant ε of P-TMOS is 3.42 while the dielectric constant ε of PM-DMOS is 2.45. Such a large difference between the dielectric constant values resides in the difference in the molecular structures of the material gases. That is, PM-DMOS has a pair of relatively unstable O-CH[0183] 3 bonds which are prone to separation so that that polymerization reactions occur and a linear polymer (formula 7) forms in a gaseous state. This polymer is deposited on a semiconductor substrate, forming a micropore porous structure and subsequently the dielectric constant of the insulation film decreases. In contrast, because P-TMOS has three O-CH3 bonds, its polymer is not deposited linearly even though the residence time is lengthened. Accordingly, the deposited film does not have the micropore porous structure nor such a low dielectric constant.
  • These experiments have revealed that it is preferable that the silicon-containing hydrocarbon compounds used as the material gases should have not only the Si-O bonds but also at most two O-C[0184] nH2n+1 bonds and, further, at least two hydrocarbon radicals bonded to the silicon (Si).
  • Film stability characteristics of low dielectric constant films formed according to the present invention were evaluated by preparing low dielectric constant films according to Example 4, wherein PM-DMOS was used, and Example 5, wherein DM-DMOS was used, thereby evaluating their stability of dielectric constant and their thermal stability. (1) Stability of Dielectric constant [0185]
  • Changes in dielectric constant of the films were measured upon heating and humidifying the PM-DMOS film and the DM-DMOS film in a pressure cooker. That is, each film was formed on a Si wafer at a thickness of 1 μm, and its dielectric constant was measured upon formation of the film and after being placed at 120° C. and 100% humidity for one hour. The results are shown below. No change in dielectric constant of each film was detected, i.e., indicating high stability characteristics. [0186]
    TABLE 2
    Dielectric constant
    One Hour at High
    Material Gas Upon Formation Temp. and Humid.
    Example 4 PM-DMOS 2.45 2.45
    Example 5 DM-DMOS 2.58 2.58
  • (2) Thermal Stability [0187]
  • Based on a thermal desorption test, thermal stability of film structures was evaluated. That is, the samples of PM-DMOS formed on the Si wafer and DM-DMOS formed on the Si wafer were placed in a vacuum and subjected to rising temperature at a rate of 10° C. per minute, thereby measuring the amount of molecules dissociated from the film. FIG. 4 is a graph showing the thermal desorption spectra of components having a molecular weight of 16 due to desorption of CH[0188] 4 during the temperature rise. FIG. 5 is a graph showing changes in the degree of vacuum corresponding to the number of total molecules dissociated from the film. In both experiments, no desorption was detected in either film at a temperature of 400° C. or lower. Desorption began at approximately 450° C. in PM-DMOS and at approximately 500° C. in DM-DMOS. Thermal stability required for low dielectric constant films is generally for 400° C. to 450° C. Therefore, it was proved that both the PM-DMOS film and the DM-DMOS film had high thermal stability.
  • As described above, the method of this invention using the silicon-containing hydrocarbon compounds of this invention as the material gases produces an insulation film that has high thermal stability, high humidity-resistance and a low dielectric constant. Additionally, it is found that controlling the residence time of the reaction gas can effectively and easily control the dielectric constant of the film. Further, the method of this invention actualizes easy production of insulation films without using expensive devices. [0189]
  • Although this invention has been described in terms of certain examples, other examples apparent to those of ordinary skill in the art are within the scope of this invention. Accordingly, the scope of the invention is intended to be defined only by the claims that follow. [0190]
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention. [0191]

Claims (23)

What is claimed is:
1. A method for forming a siloxan polymer insulation film on a semiconductor substrate by plasma treatment, comprising the steps of:
vaporizing a silicon-containing hydrocarbon compound to produce a material gas for silicone polymer, said silicon-containing hydrocarbon having the formula SiαOα−1R2α−β+2(OCnH2n+1), wherein α is an integer of 1-3, β is 0, 1, or 2, n is an integer of 1-3, and R is C1-6 hydrocarbon attached to Si;
introducing the material gas into a reaction chamber for plasma CVD processing wherein a semiconductor substrate is placed;
introducing an additive gas comprising an inert gas and optionally an oxidizing gas, said oxidizing gas being used in an amount less than the material gas; and
forming a siloxan polymer film having —SiR2O— repeating structural units on the semiconductor substrate by activating plasma polymerization reaction in the reaction chamber where a reaction gas composed of the material gas and the additive gas is present, while controlling the flow of the reaction gas to lengthen a residence time, Rt, of the reaction gas in the reaction chamber, wherein 100 msec≦Rt,
Rt[s]=9.42×107(Pr·Ts/Ps·Tr)rw 2d/F
wherein:
Pr: reaction chamber pressure (Pa)
Ps: standard atmospheric pressure (Pa)
Tr: average temperature of the reaction gas (K)
Ts: standard temperature (K)
rw: radius of the silicon substrate (m)
d: space between the silicon substrate and the upper electrode (m)
F: total flow volume of the reaction gas (sccm).
2. The method according to
claim 1
, wherein the residence time is determined by correlating the dielectric constant with the residence time.
3. The method according to
claim 1
, wherein the additive gas comprises at least either argon (Ar) or Helium (He).
4. The method according to
claim 1
, wherein the flow of the reaction gas is controlled to render the relative dielectric constant of the silicone polymer film lower than 3.30.
5. The method according to
claim 1
, wherein the flow of the reaction gas is controlled to render the dielectric constant of the silicone polymer film no more than 3.1.
6. The method according to
claim 1
, wherein Rt is no less than 165 msec.
7. The method according to
claim 1
, wherein the additive gas is exclusively an inert gas.
8. A method for forming a siloxan polymer insulation film on a semiconductor substrate by plasma treatment, comprising the steps of:
vaporizing a silicon-containing hydrocarbon compound to produce a material gas for silicone polymer, said silicon-containing hydrocarbon having the formula SiαOα−1R2α−β+2(OCnH2n+1)β wherein α is an integer of 1-3, β is 0 or 1, n is an integer of 1-3, and R is C1-6 hydrocarbon attached to Si;
introducing the material gas into a reaction chamber for plasma CVD processing wherein a semiconductor substrate is placed;
introducing an additive gas comprising an inert gas and an oxidizing gas, said oxidizing gas being used in an amount less than the material gas; and
forming a siloxan polymer film having —SiR2O— repeating structural units on the semiconductor substrate by activating plasma polymerization reaction in the reaction chamber where a reaction gas composed of the material gas and the additive gas is present, while controlling the flow of the reaction gas to lengthen a residence time, Rt, of the reaction gas in the reaction chamber, wherein 100 msec≦Rt,
Rt[s]=9.42×107(Pr·Ts/Ps·Tr)rw 2d/F
wherein:
Pr: reaction chamber pressure (Pa)
Ps: standard atmospheric pressure (Pa)
Tr: average temperature of the reaction gas (K)
Ts: standard temperature (K)
rw: radius of the silicon substrate (m)
d: space between the silicon substrate and the upper electrode (m)
F: total flow volume of the reaction gas (sccm).
9. A siloxan polymer insulation film formed on a semiconductor substrate by the method of
claim 1
, which has a dielectric constant of 3.3 or lower and has —SiR2O— repeating structural units formed by plasma polymerization reaction from a silicon-containing hydrocarbon having the formula SiαOα−1R2α−β+2(OCnH2n+1)β wherein α is an integer of 1-3, β is 0, 1, or 2, n is an integer of 1-3, and R is C1-6 hydrocarbon attached to Si.
10. The siloxan polymer insulation film according to
claim 9
, which has a dielectric constant of 3.1.
11. The siloxan polymer insulation film according to
claim 10
, which has a dielectric constant of 2.8.
12. The siloxan polymer insulation film according to
claim 9
, wherein the dielectric constant is stable as measured one hour after being placed at 120° C. and 100% humidity.
13. The siloxan polymer insulation film according to
claim 9
, wherein said R in the repeating structural unit is C1 hydrocarbon.
14. A method for forming a siloxan polymer insulation film on a semiconductor substrate by plasma treatment, comprising the steps of:
vaporizing a silicon-containing hydrocarbon compound to produce a material gas for silicone polymer, said silicon-containing hydrocarbon having the general formula SiαOβCxHy wherein α, β, x, and y are integers;
introducing the material gas into a reaction chamber for plasma CVD processing wherein a semiconductor substrate is placed;
introducing an additive gas; and
forming a siloxan polymer film having —SiR2O— repeating structural units on the semiconductor substrate by activating plasma polymerization reaction in the reaction chamber where a reaction gas composed of the material gas and the additive gas is present, while controlling the flow of the reaction gas to lengthen a residence time, Rt, of the reaction gas in the reaction chamber, wherein 100 msec≦Rt,
Rt[s]=9.42×107(Pr·Ts/Ps·Tr)rw 2d/F
wherein:
Pr: reaction chamber pressure (Pa)
Ps: standard atmospheric pressure (Pa)
Tr: average temperature of the reaction gas (K)
Ts: standard temperature (K)
rw: radius of the silicon substrate (m)
d: space between the silicon substrate and the upper electrode (m)
F: total flow volume of the reaction gas (sccm).
15. The method according to
claim 14
, wherein the alkoxy present in the silicon-containing hydrocarbon compound has 1 to 3 carbon atoms.
16. The method according to
claim 14
, wherein the hydrocarbon present in the silicon-containing hydrocarbon compound has 1 to 6 carbon atoms.
17. The method according to
claim 14
, wherein the silicon-containing hydrocarbon compound has 1 to 3 silicon atoms.
18. The method according to
claim 14
, wherein the silicon-containing hydrocarbon compound has formula SiαOα−1R2α−β+2(OCnH2n+1)β wherein α is an integer of 1-3, β is 0, 1, or 2, n is an integer of 1-3, and R is C1-6 hydrocarbon attached to Si.
19. The method according to
claim 14
, wherein the additive gas comprises at least either argon (Ar) or Helium (He).
20. The method according to
claim 14
, wherein the additive gas comprises either an oxidizing agent or a reducing agent.
21. The method according to
claim 19
, wherein the additive gas further comprises either an oxidizing agent or a reducing agent.
22. The method according to
claim 14
, wherein the silicon-containing hydrocarbon compound is selected from the group consisting of:
Figure US20010021590A1-20010913-C00014
wherein R1 and R2 are independently CH3, C2H3, C2H5, C3H7 or C6H5, and m and n are any integer,
Figure US20010021590A1-20010913-C00015
wherein R1, R2 and R3 are independently CH3, C2H3, C2H5, C3H7 or C6H5, and n is any integer,
Figure US20010021590A1-20010913-C00016
wherein R1, R2, R3 and R4 are independently CH3, C2H3, C2H5, C3H7 or C6H5, and m and n are any integer,
Figure US20010021590A1-20010913-C00017
wherein R1, R2, R3, R4, R5 and R6 are independently CH3, C2H3, C2H5, C3H7 or C6H5, if the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N2O) or oxygen (O2), and
Figure US20010021590A1-20010913-C00018
wherein R1, R2, R3 and R4 are independently CH3, C2H3, C2H5, C3H7 or C6H5, if the additive gases are argon (Ar), Helium (He) and either nitrogen oxide (N2O) or oxygen (O2).
23. The method according to
claim 14
, wherein the flow of the reaction gas is controlled to render the relative dielectric constant of the silicone polymer film lower than 3.30.
US09/820,075 1998-02-05 2001-03-28 Silicone polymer insulation film on semiconductor substrate and method for forming the film Expired - Lifetime US6455445B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/820,075 US6455445B2 (en) 1998-02-05 2001-03-28 Silicone polymer insulation film on semiconductor substrate and method for forming the film
US10/253,665 US6653719B2 (en) 1998-02-05 2002-09-24 Silicone polymer insulation film on semiconductor substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP3792998 1998-02-05
JP10-37929 1998-02-05
US24315699A 1999-02-02 1999-02-02
US09/820,075 US6455445B2 (en) 1998-02-05 2001-03-28 Silicone polymer insulation film on semiconductor substrate and method for forming the film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US24315699A Continuation 1998-02-05 1999-02-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/253,665 Division US6653719B2 (en) 1998-02-05 2002-09-24 Silicone polymer insulation film on semiconductor substrate

Publications (2)

Publication Number Publication Date
US20010021590A1 true US20010021590A1 (en) 2001-09-13
US6455445B2 US6455445B2 (en) 2002-09-24

Family

ID=12511254

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/326,847 Expired - Lifetime US6352945B1 (en) 1998-02-05 1999-06-07 Silicone polymer insulation film on semiconductor substrate and method for forming the film
US09/820,075 Expired - Lifetime US6455445B2 (en) 1998-02-05 2001-03-28 Silicone polymer insulation film on semiconductor substrate and method for forming the film
US10/253,665 Expired - Lifetime US6653719B2 (en) 1998-02-05 2002-09-24 Silicone polymer insulation film on semiconductor substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/326,847 Expired - Lifetime US6352945B1 (en) 1998-02-05 1999-06-07 Silicone polymer insulation film on semiconductor substrate and method for forming the film

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/253,665 Expired - Lifetime US6653719B2 (en) 1998-02-05 2002-09-24 Silicone polymer insulation film on semiconductor substrate

Country Status (4)

Country Link
US (3) US6352945B1 (en)
EP (1) EP0935283A3 (en)
KR (1) KR100364053B1 (en)
TW (1) TW437017B (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US20030104708A1 (en) * 2001-06-18 2003-06-05 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6596655B1 (en) 1998-02-11 2003-07-22 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US20030186000A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194880A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Use of cyclic siloxanes for hardness improvement
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6660663B1 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US20040009676A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6730593B2 (en) 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US20040099281A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040152338A1 (en) * 2003-01-31 2004-08-05 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20040214446A1 (en) * 2002-07-11 2004-10-28 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6911405B2 (en) 2000-11-30 2005-06-28 Canon Sales Co., Inc. Semiconductor device and method of manufacturing the same
US20100136789A1 (en) * 2008-12-01 2010-06-03 Air Products And Chemicals, Inc. Dielectric Barrier Deposition Using Oxygen Containing Precursor
US20100171198A1 (en) * 2007-07-06 2010-07-08 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium

Families Citing this family (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US20060258176A1 (en) * 1998-02-05 2006-11-16 Asm Japan K.K. Method for forming insulation film
US7354873B2 (en) * 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US7582575B2 (en) * 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3305251B2 (en) * 1998-02-26 2002-07-22 松下電器産業株式会社 Method of forming wiring structure
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3668404B2 (en) 2000-02-16 2005-07-06 シャープ株式会社 Semiconductor device and manufacturing method thereof
JP3419745B2 (en) 2000-02-28 2003-06-23 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
EP1128421A3 (en) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
DE60127973T2 (en) * 2000-08-18 2008-01-17 Tokyo Electron Ltd. PRODUCTION PROCESS OF SEMICONDUCTOR COMPONENT WITH SILICON NITRIDE INTERMEDIATE WITH LOW DIELECTRIC CONSTANT
KR20030074591A (en) * 2000-08-28 2003-09-19 어플라이드 머티어리얼스, 인코포레이티드 Pre-polycoating of glass substrates
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
KR20020051456A (en) * 2000-12-22 2002-06-29 황 철 주 Chemical Vapor deposition method in low temperature condition
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
JP2002305242A (en) * 2001-04-05 2002-10-18 Canon Sales Co Inc Method for manufacturing semiconductor device
KR100416596B1 (en) * 2001-05-10 2004-02-05 삼성전자주식회사 Method of manufacturing interconnection wire in semiconductor device
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6855629B2 (en) 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
JP2004146798A (en) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd Semiconductor device and manufacturing method therefor
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP4651076B2 (en) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 Method for forming an insulating film on a semiconductor substrate
US20050278020A1 (en) * 2003-04-08 2005-12-15 Xingwu Wang Medical device
US20050261763A1 (en) * 2003-04-08 2005-11-24 Xingwu Wang Medical device
US20050244337A1 (en) * 2003-04-08 2005-11-03 Xingwu Wang Medical device with a marker
US20050240100A1 (en) * 2003-04-08 2005-10-27 Xingwu Wang MRI imageable medical device
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
JP2007523959A (en) 2003-06-23 2007-08-23 チューリッヒ大学 Super hydrophobic coating
KR20060054387A (en) * 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 Surface preparation prior to deposition on germanium
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7148154B2 (en) * 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TW200527536A (en) * 2004-02-13 2005-08-16 Matsushita Electric Ind Co Ltd Method for forming organic/inorganic hybrid insulation film
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
DE102004020328A1 (en) * 2004-04-26 2005-11-03 Infineon Technologies Ag Separating a carbon doped silicon containing dielectric layer by low temperature gas phase separation of a surface comprises reacting silicon organic compound with hydrogen peroxide to separate a dielectric layer on surface
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
KR100632473B1 (en) * 2004-08-03 2006-10-09 삼성전자주식회사 Method for manufacturing dual damascene wiring of microelectronic devices using a basic material diffusion barrier film
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
FR2887891B1 (en) * 2005-07-01 2007-09-21 Commissariat Energie Atomique POLYSILOXANE - BASED MATERIAL WITH LOW HYSTERESIS AND METHOD OF DEPOSITING SUCH MATERIAL.
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070287849A1 (en) 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
KR100880874B1 (en) * 2006-09-20 2009-01-30 에이에스엠 저펜 가부시기가이샤 Method of Forming a Carbon Polymer Film Using Plasma CVD
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
WO2008099811A1 (en) * 2007-02-14 2008-08-21 Jsr Corporation Material for forming silicon-containing film, and silicon-containing insulating film and method for forming the same
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
WO2009008424A1 (en) * 2007-07-10 2009-01-15 Jsr Corporation Method for producing silicon compound
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7474540B1 (en) * 2008-01-10 2009-01-06 International Business Machines Corporation Silicon carrier including an integrated heater for die rework and wafer probe
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
EP2264219A4 (en) * 2008-03-26 2012-09-05 Jsr Corp Material for chemical vapor deposition, silicon-containing insulating film and process for production thereof
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
JP5671253B2 (en) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102624608B1 (en) 2016-01-19 2024-01-16 삼성전자주식회사 Method for forming low k dielectric layer and method for manufacturing semiconductor device using the same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101663039B1 (en) * 2016-06-16 2016-10-14 에스피오주식회사 Internal Coaxial Optical System with Beam Splitter of Hemisphere Prism Type
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
TW201825623A (en) * 2016-08-30 2018-07-16 美商康寧公司 Siloxane plasma polymers for sheet bonding
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1204527A (en) * 1982-08-13 1986-05-13 Theodore F. Retajczyk, Jr. Polymeric films for electronic circuits
US4826733A (en) * 1986-12-03 1989-05-02 Dow Corning Corporation Sin-containing coatings for electronic devices
JPH01199678A (en) * 1988-02-03 1989-08-11 Mitsubishi Electric Corp Formation of high purity thin sio2 film
JP2695198B2 (en) * 1988-10-07 1997-12-24 昭和電工株式会社 Integrated circuit
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) * 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JP3190745B2 (en) * 1992-10-27 2001-07-23 株式会社東芝 Vapor growth method
US5380555A (en) 1993-02-09 1995-01-10 Dow Corning Toray Silicone Co., Ltd. Methods for the formation of a silicon oxide film
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (en) 1994-01-25 1999-06-02 キヤノン販売 株式会社 Film formation method
US6037274A (en) * 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
JPH09237785A (en) * 1995-12-28 1997-09-09 Toshiba Corp Semiconductor device and its manufacture
JP3226479B2 (en) 1996-08-29 2001-11-05 松下電器産業株式会社 Method of forming interlayer insulating film
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (en) * 1998-02-26 2002-07-22 松下電器産業株式会社 Method of forming wiring structure
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806207B2 (en) 1998-02-11 2004-10-19 Applied Materials Inc. Method of depositing low K films
US6770556B2 (en) 1998-02-11 2004-08-03 Applied Materials Inc. Method of depositing a low dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6596655B1 (en) 1998-02-11 2003-07-22 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US20030162410A1 (en) * 1998-02-11 2003-08-28 Applied Materials, Inc. Method of depositing low K films
US6730593B2 (en) 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6660663B1 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6911405B2 (en) 2000-11-30 2005-06-28 Canon Sales Co., Inc. Semiconductor device and method of manufacturing the same
US6943127B2 (en) 2001-06-18 2005-09-13 Applied Materials Inc. CVD plasma assisted lower dielectric constant SICOH film
US7153787B2 (en) 2001-06-18 2006-12-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant SICOH film
US20050153572A1 (en) * 2001-06-18 2005-07-14 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030104708A1 (en) * 2001-06-18 2003-06-05 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030186000A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194880A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Use of cyclic siloxanes for hardness improvement
US20040234688A1 (en) * 2002-04-16 2004-11-25 Vinita Singh Use of cyclic siloxanes for hardness improvement
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20040214446A1 (en) * 2002-07-11 2004-10-28 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US20040009676A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040099281A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040152338A1 (en) * 2003-01-31 2004-08-05 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20100171198A1 (en) * 2007-07-06 2010-07-08 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium
US8378464B2 (en) 2007-07-06 2013-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium
US20100136789A1 (en) * 2008-12-01 2010-06-03 Air Products And Chemicals, Inc. Dielectric Barrier Deposition Using Oxygen Containing Precursor
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor

Also Published As

Publication number Publication date
KR100364053B1 (en) 2003-02-07
KR19990072427A (en) 1999-09-27
US20030054666A1 (en) 2003-03-20
TW437017B (en) 2001-05-28
EP0935283A2 (en) 1999-08-11
US6653719B2 (en) 2003-11-25
US6352945B1 (en) 2002-03-05
EP0935283A3 (en) 2005-06-15
US6455445B2 (en) 2002-09-24

Similar Documents

Publication Publication Date Title
US6455445B2 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6432846B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6383955B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6852650B2 (en) Insulation film on semiconductor substrate and method for forming same
US6559520B2 (en) Siloxan polymer film on semiconductor substrate
US7582575B2 (en) Method for forming insulation film
JP3726226B2 (en) Insulating film and manufacturing method thereof
US7064088B2 (en) Method for forming low-k hard film
US7354873B2 (en) Method for forming insulation film
US6881683B2 (en) Insulation film on semiconductor substrate and method for forming same
US6733830B2 (en) Processes for depositing low dielectric constant materials
US20060258176A1 (en) Method for forming insulation film
US6784123B2 (en) Insulation film on semiconductor substrate and method for forming same
KR100926722B1 (en) The siloxane polymer film on a semiconductor substrate and its manufacturing method
US7585789B2 (en) Method for forming porous insulation film
JP3197008B2 (en) Silicon polymer insulating film on semiconductor substrate and method for forming the film
JP3814797B2 (en) Method for forming a silicon polymer insulating film on a semiconductor substrate
JP3197007B2 (en) Silicon polymer insulating film on semiconductor substrate and method for forming the film
KR100364054B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
KR100364055B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12