US20010016419A1 - Integration of low-k SiOF for damascene structure - Google Patents

Integration of low-k SiOF for damascene structure Download PDF

Info

Publication number
US20010016419A1
US20010016419A1 US09/765,666 US76566601A US2001016419A1 US 20010016419 A1 US20010016419 A1 US 20010016419A1 US 76566601 A US76566601 A US 76566601A US 2001016419 A1 US2001016419 A1 US 2001016419A1
Authority
US
United States
Prior art keywords
layer
fluorine
region
trench
barrier metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/765,666
Other versions
US6400023B2 (en
Inventor
Richard Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
AMD Technologies Holdings Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/203,754 external-priority patent/US6177364B1/en
Application filed by Individual filed Critical Individual
Priority to US09/765,666 priority Critical patent/US6400023B2/en
Publication of US20010016419A1 publication Critical patent/US20010016419A1/en
Application granted granted Critical
Publication of US6400023B2 publication Critical patent/US6400023B2/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMD TECHNOLOGIES HOLDINGS, INC.
Assigned to AMD TECHNOLOGIES HOLDINGS, INC. reassignment AMD TECHNOLOGIES HOLDINGS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED MICRO DEVICES, INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Anticipated expiration legal-status Critical
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the integration of low-K SiOF as an inter-layer dielectric (ILD) for a damascene structure.
  • the present invention relates to integrating fluorosilicate glass (FSG) or SiOF as an inter-layer dielectric for a damascene structure, to thereby obtain the benefit of a low dielectric constant (low-k) to improve device performance.
  • FSG fluorosilicate glass
  • SiOF as an inter-layer dielectric for a damascene structure
  • Fluorinated SiO 2 typically provided by way of plasma enhanced chemical vapor deposition (PECVD) or by way of high density plasma (HDP), can be used to lower the dielectric constant of SiO 2 from, for example, 4.0 to 3.5-3.8.
  • PECVD plasma enhanced chemical vapor deposition
  • HDP high density plasma
  • fluorine in SiO 2 will react with physical vapor deposition (PVD) barrier metals, such as Ti, TiN, Ta, TaN, etc., which are subsequently deposited on the surface of the fluorinated SiO 2 .
  • PVD physical vapor deposition
  • This reaction between fluorine and the barrier metals will cause delamination on flat SiOF surfaces, as well as inside via holes. Both of these occurrences are disadvantageous.
  • FSG fluorosilicate glass
  • the above-mentioned objects and other advantages of the present invention may be achieved by a method of forming an interlayer dielectric on a substrate.
  • the method includes a step of forming a first etch stop layer on the substrate.
  • the method also includes a step of forming a first interlayer dielectric layer on the first etch stop layer by deposition using one of a plasma-enhanced chemical vapor deposition and a high deposition pressure chemical vapor deposition, where the first interlayer dielectric layer contains fluorine.
  • the method further includes a step of forming a second etch stop layer on the first interlayer dielectric layer.
  • the method still further includes a step of forming a second interlayer dielectric layer on the second etch stop layer by deposition using one of a plasma-enhanced chemical vapor deposition and a high deposition pressure chemical vapor deposition, where the second interlayer dielectric layer contains fluorine.
  • the method also includes a step of etching the first and second interlayer dielectric layers and the first and second etch stop layers to form at least one trench and at least one via.
  • the method further includes a step of treating the at least one trench and the at least one via with an H 2 /N 2 plasma in-situ, wherein a fluorine-depleted region in the first and second interlayer dielectric layers is formed, and wherein a nitrided region is formed adjacent the fluorine-depleted region, with the nitrided region corresponding to a side surface of the at least one trench and the at least one via.
  • the method still further includes a step of depositing a barrier metal layer in the at least one trench and the at least one via, whereby the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer.
  • the step also includes a step of filling the at least one trench and the at least one via with one of copper and aluminum.
  • a semiconductor device having a damascene structure and being formed on a substrate.
  • the semiconductor devices includes a first etch stop layer formed on the substrate.
  • the device also includes a first fluorosilicate glass layer formed on the first etch stop layer, the first fluosilicate glass layer including at least one via.
  • the device further includes a second etch stop layer formed on the first fluosilicate glass layer.
  • the device still further includes a second fluorosilicate glass layer formed on the second etch stop layer, the second fluosilicate glass layer including at least one via that provides a conductive path to the substrate and to the at least one trench.
  • Sidewalls of the at least one trench and the at least one via include a barrier metal layer that forms an outer surface of the sidewalls, a nitrided region formed adjacent to the barrier metal layer, and a nitrided region formed adjacent to the nitrided region, with the nitrided region being disposed between the fluorine-depleted region and the barrier metal layer to thereby provide a barrier for preventing fluorine atoms in the fluorine-depleted region from moving into the barrier metal layer.
  • FIGS. 1 A- 1 E show steps involved in the formation of an intermetal dielectric layer for a damascene structure according to a preferred embodiment of the invention
  • FIG. 2 shows a structure having a fluorine-depleted region and a nitrided region that is formed in the intermetal dielectric layer according to the invention.
  • FIG. 3 shows the steps involved in creating a fluorine-depleted region and a nitrided region on a fluorine-based intermetal dielectric layer according to the invention.
  • the present invention will be described in detail below, with references to the accompanying figures.
  • the present invention is described in the context of use with an inter-layer dielectric for a damascene structure on a semiconductor chip.
  • the present invention has broad application to other structures or devices where a dielectric layer containing fluorine is used as an inter-layer dielectric (e.g., inter-metal dielectric) in a damascene structure.
  • FIG. 1A shows a first etch stop layer 110 , which has been deposited onto a semiconductor substrate 105 .
  • the etch stop layer 110 is preferably either SiN (silicon nitride) or SiC (silicon carbide), with SiN having a dielectric constant of approximately 8, and with SiC having a dielectric constant of approximately 5.
  • FIG. 1A shows a first fluorosilicate glass (FSG) layer 120 that has been deposited onto the first etch stop layer 110 .
  • FSG fluorosilicate glass
  • the first FSG layer 120 is preferably deposited by either a plasma-enhanced chemical vapor deposition (PECVD) or by a high density plasma chemical vapor deposition (HDP-CVD).
  • PECVD plasma-enhanced chemical vapor deposition
  • HDP-CVD high density plasma chemical vapor deposition
  • a second etch stop layer 130 is then deposited onto the first FSG layer 120 .
  • the second etch stop layer 130 is preferably either SiN or SiC.
  • a second FSG layer 140 is deposited onto the second etch stop layer 130 .
  • the second FSG layer 140 is preferably deposited by either a PECVD or by a HDP-CVD.
  • a third etch stop layer 150 is then deposited onto the second FSG layer 140 .
  • the third etch stop layer is preferably either SiN or SiC.
  • Typical thicknesses for the first and second FSG layers 120 , 140 are between 8000 angstroms to 1 micron for each layer.
  • Typical thicknesses for the first through third etch stop layers 110 , 130 , and 150 are between 500 angstroms and 2000 angstroms for each layer. Of course, other thicknesses are possible while remaining within the scope of the invention.
  • FIG. 1B shows the semiconductor structure after trenches 200 , 210 and vias 220 , 230 have been formed.
  • the formation of trenches and vias to create a damascene structure is well known to those skilled in the art, and will not be described in detail in order to provide a clear and concise description of the present invention.
  • an H 2 /N 2 plasma treatment is performed, as, for example, in a manner as described in related co-pending U.S. patent application Ser. No. 09/157,240, filed Sep. 18, 1998, which is incorporated in its entirety herein by reference.
  • the plasma treatment involves treating a surface of the FSG layers 120 , 140 with a plasma containing hydrogen.
  • the plasma may be pure hydrogen, or it may be diluted H 2 plasma, such as, for example, H 2 /N 2 plasma.
  • the purpose of the hydrogen plasma is to make the hydrogen less volatile. That is, the activation energy of the hydrogen is too high to bond with the fluorine atoms if it is not in a plasma form.
  • the hydrogen in the plasma will bond with the fluorine atoms in the outer portions of the FSG layers 120 , 140 in the sidewalls of the trenches 200 , 210 and the vias 220 , 230 .
  • the hydrogen in the plasma will bond to the fluorine atoms to form HF, which will vaporize and be evacuated, thereby forming a fluorine-depleted region in each of the FSG layers 120 , 140 .
  • the hydrogen treatment is performed in a CVD chamber (in-situ), such as, for example, an Applied Materials′ CVD chamber.
  • the CVD chamber may be the same chamber used to deposit a subsequent barrier metal layer, such as Ti, TiN, Ta, or TaN, as will be discussed below.
  • the CVD chamber for the hydrogen plasma treatment should have a slightly elevated temperature (5 - 50° C. higher) relative to the temperature used to deposit the subsequent barrier metal layer.
  • a slightly elevated temperature that is 5 - 50° C. higher than a barrier metal layer CVD temperature is used during the plasma treatment step.
  • the barrier metal layer is deposited in a step that occurs after the step of treating of the FSG layers with a hydrogen plasma. The purpose of this elevated temperature is to lower the probability that heating during a subsequent barrier metal deposition step will drive the fluorine atoms from the FSG layers 120 , 140 and into the barrier metal layers.
  • the other parameters for the hydrogen plasma treatment step will be determined empirically. Typically, the pressure in the CVD chamber will be in the millitorr to torr range, the energy parameter will be in the several hundred watt range, and plasma treatment time will range from 20 seconds to several minutes. Optimized parameters will be determined based on the desired thickness of the fluorine-depleted region.
  • a nitrogen plasma treatment is performed to create a nitrided region adjacent to the fluorine-depleted region.
  • the nitrided region corresponds to a passivation layer, and may be an SiON layer, for example.
  • the passivation layer is formed by providing a nitrogen-rich plasma to the trenches and vias, to thereby form the passivation layer.
  • FIG. 1C is a blow-up of a sidewall of the trench 200 , where the fluorine-depleted region 310 and the nitrided region 320 are shown, as well as a barrier metal layer 340 that is subsequently provided for the sidewalls of the trenches 200 , 210 and vias 220 , 230 .
  • the sidewall structure of the trench 200 as shown in FIG. 1C is the same as the sidewall structure for the other trenches and vias.
  • the step of forming the fluorine-depleted region 310 may be done by way of a first step of providing an H 2 /N 2 plasma, which has a heavy concentration of H 2 , in a CVD chamber to thereby cause the fluorine in the FSG layers 120 , 140 to react with the H 2 and vaporize to result in a fluorine-depleted region 310 .
  • the step of forming the nitrided region 320 may be done by way of a second step of providing an H 2 /N 2 plasma, which has a heavy concentration of N 2 (relative to that provided in the first step), deposited at a higher power than the first step in order to ensure that enough energy is present for the nitrogen in the H 2 /N 2 plasma to bond with the fluorine-depleted region 310 .
  • the passivation layer 320 acts as a barrier with respect to the non-volatile atoms in the fluorine-depleted region, to thereby lessen the probability of fluorine atoms from the FSG layer 120 , 140 and their corresponding fluorine-depleted regions 310 diffusing up into the barrier metal layer 340 that will be subsequently formed on the sidewalls of the trenches 200 , 210 and vias 220 , 230 . That is, the nitrogen-rich plasma causes a nitriding of the oxide in the FSG layers 120 , 140 to thereby obtain a silicon oxynitride region, which corresponds to the passivation layer 320 .
  • the passivation step may alternatively be carried out by switching to a pure N 2 plasma in a CVD chamber to form SiON to a thickness that is less than the thickness of the fluorine-depleted region 310 .
  • a first step of providing a pure H 2 plasma is utilized to form the fluorine-depleted region 310
  • a second step of providing a pure N 2 plasma is utilized to form the nitrided passivation layer 320 .
  • the passivation layer 320 lessens the probability that fluorine atoms will diffuse out from the fluorine-depleted region 310 , and thereafter react, for example, with a Ti or TiN barrier metal layer 340 deposited thereabove.
  • the sidewalls of the trenches 200 , 210 and the vias 220 , 230 are treated with the plasma, in order to form the fluorine-depleted regions 310 and nitrided regions 320 on the sidewalls of the trenches and vias. Since vias that are created for state-of-the-art (e.g., sub-micron) semiconductor devices have a high aspect ratio, the present invention provides for a low pressure, high bias condition during the CVD in-situ plasma treatments in order for the plasma to be provided to the sidewalls of the vias.
  • a high pressure, low bias condition in-situ CVD plasma treatment may be utilized to cover the sidewalls of the trenches with the H 2 /N 2 plasma, due to the larger sizes of the trenches relative to the vias.
  • a bias power of less than 100 watts may be utilized for treating the sidewalls of the trenches.
  • One purpose of the low bias power is to make the plasma less directional so that it will affect the sidewalls of the trenches.
  • the fluorine-depleted region 310 is about 100 angstroms in thickness, and the nitrided region that forms the passivation layer 320 is about 50 angstroms in thickness.
  • the exact thickness of the passivation layer 320 may be optimized empirically. A SIMS analysis may be performed to measure the thickness of the fluorine-depleted region and the thickness of the passivation layer.
  • the thickness of the fluorine-depleted layer 310 and the passivation layer 320 are such that it can be assumed that any subsequent layer applied thereto will not peel off and will not receive much if any fluorine atoms from these regions.
  • a CVD chamber is utilized in the plasma treatment steps, but other types of plasma chambers may be utilized while remaining within the scope of the invention.
  • FIG. 1D shows the semiconductor device after the trenches and vias have been coated with a barrier metal layer (not shown, but see layer 340 in FIG. 1C), and then filled with a conductor, for example, copper or aluminum 182 (hatched area in FIG. 1D).
  • the barrier metal deposition uses either tantalum (Ta) or tantalum nitride (TaN) for copper fill, or titanium (Ti) or titanium nitride (TiN) for aluminum fill.
  • PVD physical vapor deposition
  • sputtering is the preferably method of deposition for Ta or TaN, but in the future CVD may be utilized.
  • the preferably method of deposition is in a CVD chamber.
  • PVD may be utilized instead of CVD for Ti or TiN deposition.
  • barrier metal layer is deposited, the barrier-metal-coated trenches and vias are filled with a conductor, such as, for example, copper (Cu) or aluminum (Al).
  • a conductor such as, for example, copper (Cu) or aluminum (Al).
  • a copper-fill step may be performed by either PVD, CVD or electro-plating, while an aluminum-fill step may be performed by either PVD or CVD.
  • FIG. 1E shows a semiconductor device having multiple layers, in which the processes as shown in FIGS. 1A - 1 D are repeated to form the top two layers above the already-formed bottom two layers.
  • a four-stack semiconductor device 410 of FIG. 1E is obtained, which includes first through fourth FSG layers 120 , 140 , 160 , 180 , and first through fifth etch stop layers 110 , 130 , 150 , 170 , 190 .
  • This process described above can be repeated to form a multi-stack damascene structure having any desired number of layers or stacks (e.g., odd or even number), in accordance with the teachings of the present invention.
  • an oxide cap (not shown) may be applied to the top layer of the multi-layer stack, in a manner known to those skilled in the art.
  • an oxide cap may be used such as the one described in a related co-pending U.S. patent application Ser. No. ______, Attorney Docket Number 039153/0132, entitled “Integration of Low-K SiOF as Inter-Layer Dielectric”, which is incorporated in its entirety herein by reference.
  • the top layer of FIG. 1E may be planarized in a well-known manner, and a conducting layer may be provided thereabove.
  • 1E may have its top surface treated with an H 2 /N 2 plasma prior to (or in place of) an oxide cap formation, in a manner described in co-pending patent application Ser. No. 09/157,240, discussed above. That way, there is a lessened possibility for fluorine atoms in the top FSG layer from leeching into any conducting layer that is located adjacent to the top FSG layer.
  • FIG. 2 shows a structure having a bulk substrate 12 , a first conducting layer 14 formed on the substrate 12 , a SiOF layer 16 that is formed on the first conducting layer 14 and that includes a depleted layer 18 and an SiON passivation layer 21 , a second conducting layer 22 formed on the passivation layer 21 , and a tungsten-deposition layer 24 formed on the conducting layer 22 .
  • FIG. 2 is taken from the related co-pending U.S. patent application Ser. No. 09/157,240, discussed above.
  • FIG. 3 is also taken from the related co-pending U.S. patent application Ser. No. 09/157,240, and shows the three steps involved in creating a fluorine-depleted region.
  • a first step 410 an SiOF layer or other type of fluorine-based layer is deposited. Then, in a step 420 , either H 2 or H 2 /N 2 plasma treatment is performed, to thereby create a fluorine-depleted region 18 in the SiOF layer. Next, in a step 430 , the surface of the fluorine-depleted region 18 is passivated, by, for example, a nitrogen-rich plasma to thereby form a passivation layer 20 , The passivation layer 20 provides additional protection in keeping fluorine atoms in the SiOF layer from moving into a conducting layer formed above the SiOF layer.
  • the present invention is particularly advantageous when used to form an SiOF (or FSG) intermetal dielectric layer for a damascene structure, it has application in any situation where the fluorine atoms in an intermetal dielectric are causing interaction and/or adhesion problems with other neighboring layers.
  • FIGS. 1A - 1 E show trenches that have an etch stop layer at the bottom of each trench, that etch stop layer can be etched away, leaving a top surface of an FSG layer as a bottom surface of each trench. Depending upon the circumstances, it may or may not be better to keep the etch stop layer at the bottom of each trench.
  • the topmost FSG layer may be treated in a manner described in the related co-pending applications, and then planarized, so as to provide a fluorine barrier to any conducting layers provided thereabove.
  • a substrate for the dielectric layer may generally be defined as any layer or material that lies underneath and supports the dielectric layer.

Abstract

An interlayer dielectric for a damascene structure includes a first etch stop layer formed on a substrate. A first interlayer dielectric layer containing fluorine is formed on the first etch stop layer by deposition. A second etch stop layer is formed on the first interlayer dielectric layer. A second interlayer dielectric layer containing fluorine is formed on the second etch stop layer by deposition. The first and second interlayer dielectric layers and the first and second etch stop layers are etched to form at least one trench and at least one via. The at least one trench and the at least one via are treated with an H2/N2 plasma in-situ, wherein a fluorine-depleted region in the first and second interlayer dielectric layers is formed, and wherein a nitrided region is formed adjacent the fluorine-depleted region, with the nitrided region corresponding to a side surface of the at least one trench and the at least one via. A barrier metal layer is deposited in the at least one trench and the at least one via, whereby the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer. The at least one trench and the at least one via are then filled with either copper or aluminum.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to the integration of low-K SiOF as an inter-layer dielectric (ILD) for a damascene structure. In particular, the present invention relates to integrating fluorosilicate glass (FSG) or SiOF as an inter-layer dielectric for a damascene structure, to thereby obtain the benefit of a low dielectric constant (low-k) to improve device performance. [0002]
  • 2. Description of the Related Art [0003]
  • Fluorinated SiO[0004] 2, typically provided by way of plasma enhanced chemical vapor deposition (PECVD) or by way of high density plasma (HDP), can be used to lower the dielectric constant of SiO2 from, for example, 4.0 to 3.5-3.8. The lowering of the dielectric constant is advantageous for a number of reasons, including the reduction of the capacitance of a semiconductor device, which results in an improved performance of the semiconductor device.
  • However, fluorine in SiO[0005] 2 will react with physical vapor deposition (PVD) barrier metals, such as Ti, TiN, Ta, TaN, etc., which are subsequently deposited on the surface of the fluorinated SiO2. This reaction between fluorine and the barrier metals will cause delamination on flat SiOF surfaces, as well as inside via holes. Both of these occurrences are disadvantageous.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide fluorosilicate glass (FSG) as an intermetal dielectric for a damascene structure, whereby the possibility of fluorine leakage to neighboring layers is lessened. [0006]
  • It is another object of the present invention to provide FSG as an inter-metal dielectric layer for a damascene structure using in-situ deposition. [0007]
  • The above-mentioned objects and other advantages of the present invention may be achieved by a method of forming an interlayer dielectric on a substrate. The method includes a step of forming a first etch stop layer on the substrate. The method also includes a step of forming a first interlayer dielectric layer on the first etch stop layer by deposition using one of a plasma-enhanced chemical vapor deposition and a high deposition pressure chemical vapor deposition, where the first interlayer dielectric layer contains fluorine. The method further includes a step of forming a second etch stop layer on the first interlayer dielectric layer. The method still further includes a step of forming a second interlayer dielectric layer on the second etch stop layer by deposition using one of a plasma-enhanced chemical vapor deposition and a high deposition pressure chemical vapor deposition, where the second interlayer dielectric layer contains fluorine. The method also includes a step of etching the first and second interlayer dielectric layers and the first and second etch stop layers to form at least one trench and at least one via. The method further includes a step of treating the at least one trench and the at least one via with an H[0008] 2/N2 plasma in-situ, wherein a fluorine-depleted region in the first and second interlayer dielectric layers is formed, and wherein a nitrided region is formed adjacent the fluorine-depleted region, with the nitrided region corresponding to a side surface of the at least one trench and the at least one via. The method still further includes a step of depositing a barrier metal layer in the at least one trench and the at least one via, whereby the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer. The step also includes a step of filling the at least one trench and the at least one via with one of copper and aluminum.
  • The above-mentioned objects and other advantages may also be achieved by a semiconductor device having a damascene structure and being formed on a substrate. The semiconductor devices includes a first etch stop layer formed on the substrate. The device also includes a first fluorosilicate glass layer formed on the first etch stop layer, the first fluosilicate glass layer including at least one via. The device further includes a second etch stop layer formed on the first fluosilicate glass layer. The device still further includes a second fluorosilicate glass layer formed on the second etch stop layer, the second fluosilicate glass layer including at least one via that provides a conductive path to the substrate and to the at least one trench. Sidewalls of the at least one trench and the at least one via include a barrier metal layer that forms an outer surface of the sidewalls, a nitrided region formed adjacent to the barrier metal layer, and a nitrided region formed adjacent to the nitrided region, with the nitrided region being disposed between the fluorine-depleted region and the barrier metal layer to thereby provide a barrier for preventing fluorine atoms in the fluorine-depleted region from moving into the barrier metal layer. [0009]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above-mentioned objects and advantages of the invention will become more fully apparent from the following detailed description when read in conjunction with the accompanying drawings, with like reference numerals indicating corresponding parts throughout, and wherein: [0010]
  • FIGS. [0011] 1A-1E show steps involved in the formation of an intermetal dielectric layer for a damascene structure according to a preferred embodiment of the invention;
  • FIG. 2 shows a structure having a fluorine-depleted region and a nitrided region that is formed in the intermetal dielectric layer according to the invention; and [0012]
  • FIG. 3 shows the steps involved in creating a fluorine-depleted region and a nitrided region on a fluorine-based intermetal dielectric layer according to the invention. [0013]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will be described in detail below, with references to the accompanying figures. The present invention is described in the context of use with an inter-layer dielectric for a damascene structure on a semiconductor chip. However, the present invention has broad application to other structures or devices where a dielectric layer containing fluorine is used as an inter-layer dielectric (e.g., inter-metal dielectric) in a damascene structure. [0014]
  • FIGS. 1A - [0015] 1E illustratively show the steps involved in creating a low-K SiOF (or fluorosilicate glass) as an inter-metal dielectric for a damascene structure formed on a substrate (e.g., silicon substrate). FIG. 1A shows a first etch stop layer 110, which has been deposited onto a semiconductor substrate 105. The etch stop layer 110 is preferably either SiN (silicon nitride) or SiC (silicon carbide), with SiN having a dielectric constant of approximately 8, and with SiC having a dielectric constant of approximately 5. FIG. 1A shows a first fluorosilicate glass (FSG) layer 120 that has been deposited onto the first etch stop layer 110. The first FSG layer 120 is preferably deposited by either a plasma-enhanced chemical vapor deposition (PECVD) or by a high density plasma chemical vapor deposition (HDP-CVD). A second etch stop layer 130 is then deposited onto the first FSG layer 120. The second etch stop layer 130 is preferably either SiN or SiC.
  • A [0016] second FSG layer 140 is deposited onto the second etch stop layer 130. The second FSG layer 140 is preferably deposited by either a PECVD or by a HDP-CVD. A third etch stop layer 150 is then deposited onto the second FSG layer 140. The third etch stop layer is preferably either SiN or SiC. Typical thicknesses for the first and second FSG layers 120, 140 are between 8000 angstroms to 1 micron for each layer. Typical thicknesses for the first through third etch stop layers 110, 130, and 150 are between 500 angstroms and 2000 angstroms for each layer. Of course, other thicknesses are possible while remaining within the scope of the invention.
  • FIG. 1B shows the semiconductor structure after [0017] trenches 200, 210 and vias 220, 230 have been formed. The formation of trenches and vias to create a damascene structure is well known to those skilled in the art, and will not be described in detail in order to provide a clear and concise description of the present invention. With the structure as shown in FIG. 1B, an H2/N2 plasma treatment is performed, as, for example, in a manner as described in related co-pending U.S. patent application Ser. No. 09/157,240, filed Sep. 18, 1998, which is incorporated in its entirety herein by reference. As explained in detail in the related co-pending application, the plasma treatment involves treating a surface of the FSG layers 120, 140 with a plasma containing hydrogen. For example, the plasma may be pure hydrogen, or it may be diluted H2 plasma, such as, for example, H2/N2 plasma. The purpose of the hydrogen plasma is to make the hydrogen less volatile. That is, the activation energy of the hydrogen is too high to bond with the fluorine atoms if it is not in a plasma form.
  • The hydrogen in the plasma will bond with the fluorine atoms in the outer portions of the FSG layers [0018] 120, 140 in the sidewalls of the trenches 200, 210 and the vias 220, 230. The hydrogen in the plasma will bond to the fluorine atoms to form HF, which will vaporize and be evacuated, thereby forming a fluorine-depleted region in each of the FSG layers 120, 140. In the preferred embodiment, the hydrogen treatment is performed in a CVD chamber (in-situ), such as, for example, an Applied Materials′ CVD chamber. The CVD chamber may be the same chamber used to deposit a subsequent barrier metal layer, such as Ti, TiN, Ta, or TaN, as will be discussed below.
  • Preferably, the CVD chamber for the hydrogen plasma treatment should have a slightly elevated temperature (5 - 50° C. higher) relative to the temperature used to deposit the subsequent barrier metal layer. For example, if a temperature falling in the range of 375° C. to 450° C. is to be used to deposit a subsequent barrier metal layer, then a slightly elevated temperature that is 5 - 50° C. higher than a barrier metal layer CVD temperature is used during the plasma treatment step. The barrier metal layer is deposited in a step that occurs after the step of treating of the FSG layers with a hydrogen plasma. The purpose of this elevated temperature is to lower the probability that heating during a subsequent barrier metal deposition step will drive the fluorine atoms from the FSG layers [0019] 120, 140 and into the barrier metal layers.
  • The other parameters for the hydrogen plasma treatment step will be determined empirically. Typically, the pressure in the CVD chamber will be in the millitorr to torr range, the energy parameter will be in the several hundred watt range, and plasma treatment time will range from 20 seconds to several minutes. Optimized parameters will be determined based on the desired thickness of the fluorine-depleted region. [0020]
  • After the hydrogen plasma treatment, a nitrogen plasma treatment is performed to create a nitrided region adjacent to the fluorine-depleted region. The nitrided region corresponds to a passivation layer, and may be an SiON layer, for example. In the present invention, the passivation layer is formed by providing a nitrogen-rich plasma to the trenches and vias, to thereby form the passivation layer. FIG. 1C is a blow-up of a sidewall of the [0021] trench 200, where the fluorine-depleted region 310 and the nitrided region 320 are shown, as well as a barrier metal layer 340 that is subsequently provided for the sidewalls of the trenches 200, 210 and vias 220, 230. The sidewall structure of the trench 200 as shown in FIG. 1C is the same as the sidewall structure for the other trenches and vias.
  • The step of forming the fluorine-depleted [0022] region 310 may be done by way of a first step of providing an H2/N2 plasma, which has a heavy concentration of H2, in a CVD chamber to thereby cause the fluorine in the FSG layers 120, 140 to react with the H2 and vaporize to result in a fluorine-depleted region 310. Then, the step of forming the nitrided region 320 may be done by way of a second step of providing an H2/N2 plasma, which has a heavy concentration of N2 (relative to that provided in the first step), deposited at a higher power than the first step in order to ensure that enough energy is present for the nitrogen in the H2/N2 plasma to bond with the fluorine-depleted region 310.
  • That is, the [0023] passivation layer 320 acts as a barrier with respect to the non-volatile atoms in the fluorine-depleted region, to thereby lessen the probability of fluorine atoms from the FSG layer 120, 140 and their corresponding fluorine-depleted regions 310 diffusing up into the barrier metal layer 340 that will be subsequently formed on the sidewalls of the trenches 200, 210 and vias 220, 230. That is, the nitrogen-rich plasma causes a nitriding of the oxide in the FSG layers 120, 140 to thereby obtain a silicon oxynitride region, which corresponds to the passivation layer 320.
  • If the fluorine atoms were to be allowed to diffuse up into the [0024] barrier metal layer 340, this would cause impurities in that layer, which would lessen the effectiveness of that layer in keeping the copper or aluminum that would be subsequently deposited into the trenches and vias from interacting with neighboring regions. This interacting of fluorine atoms with a barrier metal layer is undesirable, and lessens the barrier strength of the barrier metal layer.
  • The passivation step may alternatively be carried out by switching to a pure N[0025] 2 plasma in a CVD chamber to form SiON to a thickness that is less than the thickness of the fluorine-depleted region 310. In this alternative process, a first step of providing a pure H2 plasma is utilized to form the fluorine-depleted region 310, and then a second step of providing a pure N2 plasma is utilized to form the nitrided passivation layer 320. As explained above, the passivation layer 320 lessens the probability that fluorine atoms will diffuse out from the fluorine-depleted region 310, and thereafter react, for example, with a Ti or TiN barrier metal layer 340 deposited thereabove.
  • In the plasma treatment steps discussed above, the sidewalls of the [0026] trenches 200, 210 and the vias 220, 230 are treated with the plasma, in order to form the fluorine-depleted regions 310 and nitrided regions 320 on the sidewalls of the trenches and vias. Since vias that are created for state-of-the-art (e.g., sub-micron) semiconductor devices have a high aspect ratio, the present invention provides for a low pressure, high bias condition during the CVD in-situ plasma treatments in order for the plasma to be provided to the sidewalls of the vias. For the trenches, a high pressure, low bias condition in-situ CVD plasma treatment may be utilized to cover the sidewalls of the trenches with the H2/N2 plasma, due to the larger sizes of the trenches relative to the vias. For example, a bias power of less than 100 watts may be utilized for treating the sidewalls of the trenches. One purpose of the low bias power is to make the plasma less directional so that it will affect the sidewalls of the trenches.
  • In the present invention, the fluorine-depleted [0027] region 310 is about 100 angstroms in thickness, and the nitrided region that forms the passivation layer 320 is about 50 angstroms in thickness. Of course, other sizes may be contemplated while remaining within the scope of the invention as described herein. The exact thickness of the passivation layer 320 may be optimized empirically. A SIMS analysis may be performed to measure the thickness of the fluorine-depleted region and the thickness of the passivation layer. Ultimately, optimization is achieved when the thickness of the fluorine-depleted layer 310 and the passivation layer 320 are such that it can be assumed that any subsequent layer applied thereto will not peel off and will not receive much if any fluorine atoms from these regions. In the present invention, a CVD chamber is utilized in the plasma treatment steps, but other types of plasma chambers may be utilized while remaining within the scope of the invention.
  • FIG. 1D shows the semiconductor device after the trenches and vias have been coated with a barrier metal layer (not shown, but see [0028] layer 340 in FIG. 1C), and then filled with a conductor, for example, copper or aluminum 182 (hatched area in FIG. 1D). The barrier metal deposition (BMD) uses either tantalum (Ta) or tantalum nitride (TaN) for copper fill, or titanium (Ti) or titanium nitride (TiN) for aluminum fill. Physical vapor deposition (PVD, or sputtering) is the preferably method of deposition for Ta or TaN, but in the future CVD may be utilized. For Ti or TiN, the preferably method of deposition is in a CVD chamber. Also, PVD may be utilized instead of CVD for Ti or TiN deposition.
  • Once the barrier metal layer is deposited, the barrier-metal-coated trenches and vias are filled with a conductor, such as, for example, copper (Cu) or aluminum (Al). A copper-fill step may be performed by either PVD, CVD or electro-plating, while an aluminum-fill step may be performed by either PVD or CVD. [0029]
  • FIG. 1E shows a semiconductor device having multiple layers, in which the processes as shown in FIGS. 1A - [0030] 1D are repeated to form the top two layers above the already-formed bottom two layers. As a result, a four-stack semiconductor device 410 of FIG. 1E is obtained, which includes first through fourth FSG layers 120, 140, 160, 180, and first through fifth etch stop layers 110, 130, 150, 170, 190. This process described above can be repeated to form a multi-stack damascene structure having any desired number of layers or stacks (e.g., odd or even number), in accordance with the teachings of the present invention.
  • As a final step, an oxide cap (not shown) may be applied to the top layer of the multi-layer stack, in a manner known to those skilled in the art. For example, an oxide cap may be used such as the one described in a related co-pending U.S. patent application Ser. No. ______, Attorney Docket Number 039153/0132, entitled “Integration of Low-K SiOF as Inter-Layer Dielectric”, which is incorporated in its entirety herein by reference. Also, the top layer of FIG. 1E may be planarized in a well-known manner, and a conducting layer may be provided thereabove. Furthermore, the top FSG layer of FIG. 1E may have its top surface treated with an H[0031] 2/N2 plasma prior to (or in place of) an oxide cap formation, in a manner described in co-pending patent application Ser. No. 09/157,240, discussed above. That way, there is a lessened possibility for fluorine atoms in the top FSG layer from leeching into any conducting layer that is located adjacent to the top FSG layer.
  • FIG. 2 shows a structure having a [0032] bulk substrate 12, a first conducting layer 14 formed on the substrate 12, a SiOF layer 16 that is formed on the first conducting layer 14 and that includes a depleted layer 18 and an SiON passivation layer 21, a second conducting layer 22 formed on the passivation layer 21, and a tungsten-deposition layer 24 formed on the conducting layer 22. FIG. 2 is taken from the related co-pending U.S. patent application Ser. No. 09/157,240, discussed above. FIG. 3 is also taken from the related co-pending U.S. patent application Ser. No. 09/157,240, and shows the three steps involved in creating a fluorine-depleted region. In a first step 410, an SiOF layer or other type of fluorine-based layer is deposited. Then, in a step 420, either H2 or H2/N2 plasma treatment is performed, to thereby create a fluorine-depleted region 18 in the SiOF layer. Next, in a step 430, the surface of the fluorine-depleted region 18 is passivated, by, for example, a nitrogen-rich plasma to thereby form a passivation layer 20, The passivation layer 20 provides additional protection in keeping fluorine atoms in the SiOF layer from moving into a conducting layer formed above the SiOF layer.
  • While the present invention is particularly advantageous when used to form an SiOF (or FSG) intermetal dielectric layer for a damascene structure, it has application in any situation where the fluorine atoms in an intermetal dielectric are causing interaction and/or adhesion problems with other neighboring layers. [0033]
  • While a preferred embodiment has been described herein, modification of the described embodiment may become apparent to those of ordinary skill in the art, following the teachings of the invention, without departing from the spirit and scope of the invention as set forth in the appended claims. For example, while FIGS. 1A - [0034] 1E show trenches that have an etch stop layer at the bottom of each trench, that etch stop layer can be etched away, leaving a top surface of an FSG layer as a bottom surface of each trench. Depending upon the circumstances, it may or may not be better to keep the etch stop layer at the bottom of each trench. Furthermore, although the present invention has been described with reference to the sidewalls of trenches and vias, the topmost FSG layer may be treated in a manner described in the related co-pending applications, and then planarized, so as to provide a fluorine barrier to any conducting layers provided thereabove.
  • Still further, while the present invention has been described with reference to a dual damascene structure, the teachings of the present invention are equally application to a single damascene structure. [0035]
  • Moreover, in relation to the claims, a substrate for the dielectric layer may generally be defined as any layer or material that lies underneath and supports the dielectric layer. [0036]

Claims (22)

What is claimed is:
1. A method of forming an interlayer dielectric formed on a substrate, comprising:
forming an interlayer dielectric layer on the substrate, wherein the interlayer dielectric layer contains fluorine;
forming at least one opening in the interlayer dielectric layer to provide a conductive path to the substrate;
forming a fluorine-depleted region in the interlayer dielectric layer at least in a region adjacent to the at least one opening; and
forming a nitrided region adjacent to the fluorine-depleted region.
2. A method according to
claim 1
, wherein the fluorine-depleted region forming step utilizes a plasma containing hydrogen and nitrogen.
3. A method according to
claim 1
, wherein the nitrided region corresponds to a side surface of the at least one opening.
4. A method according to
claim 1
, wherein the interlayer dielectric is formed for a damascene structure.
5. A method of forming an interlayer dielectric formed on a substrate, comprising:
forming a first etch stop layer on the substrate;
forming a first interlayer dielectric layer on the first etch stop layer, wherein the first interlayer dielectric layer contains fluorine;
forming a second etch stop layer on the first interlayer dielectric layer;
forming a second interlayer dielectric layer on the second etch stop layer, wherein the second interlayer dielectric layer contains fluorine;
etching the first and second interlayer dielectric layers and the first and second etch stop layers to form at least one trench and at least one via; and
treating the at least one trench and the at least one via with a plasma containing hydrogen and nitrogen,
wherein a fluorine-depleted region in the first and second interlayer dielectric layers is formed, and
wherein a nitrided region is formed adjacent the fluorine-depleted region, with the nitrided region corresponding to a side surface of the at least one trench and the at least one via.
6. The method according to
claim 5
, wherein the first interlayer dielectric layer is formed by deposition using one of a plasma-enhanced chemical vapor deposition and a high deposition pressure chemical vapor deposition.
7. The method according to
claim 5
, wherein the treating step is performed in-situ in a chemical vapor deposition chamber.
8. The method according to
claim 7
, wherein the treating step is performed with an H2/N2 plasma.
9. The method according to
claim 7
, wherein the treating step comprises:
providing an H2 plasma in-situ to thereby form the fluorine-depleted region; and
thereafter providing an N2 plasma in-situ to thereby form the nitrided region.
10. The method according to
claim 8
, wherein the treating step comprises:
providing the H2/N2 plasma with a higher concentration of hydrogen;
thereafter providing the H2/N2 plasma with a higher concentration of nitrogen.
11. The method according to
claim 5
, further comprising:
depositing a barrier metal layer in the at least one trench and the at least one via,
wherein the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer.
12. The method according to
claim 9
, further comprising:
depositing a barrier metal layer in the at least one trench and the at least one via,
wherein the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer.
13. The method according to
claim 10
, further comprising:
depositing a barrier metal layer in the at least one trench and the at least one via,
wherein the nitrided region provides a passivation layer by which fluorine in the fluorine-depleted region is kept from leeching into the barrier metal layer.
14. The method according to
claim 11
, further comprising:
filling the at least one trench and the at least one via with one of copper and aluminum.
15. The method according to
claim 12
, further comprising:
filling the at least one trench and the at least one via with one of copper and aluminum.
16. The method according to
claim 13
, further comprising:
filling the at least one trench and the at least one via with one of copper and aluminum.
17. The method according to
claim 14
, wherein the filling step is performed by one of physical vapor deposition and chemical vapor deposition.
18. The method according to
claim 11
, wherein the barrier metal layer is one of titanium, titanium nitride, tantalum, and tantalum nitride.
19. A semiconductor device, comprising:
a first etch stop layer formed on a substrate;
a first fluorosilicate glass layer formed on the first etch stop layer, the first fluosilicate glass layer including at least one via;
a second etch stop layer formed on the first fluosilicate glass layer; and
a second fluorosilicate glass layer formed on the second etch stop layer, the second fluosilicate glass layer including at least one via that provides a conductive path to the substrate and to the at least one trench,
wherein sidewalls of the at least one trench and the at least one via include a barrier metal layer that forms an outer surface of the sidewalls, a nitrided region formed adjacent to the barrier metal layer, and a fluorine-depleted region formed adjacent to the nitrided region, with the nitrided region being disposed between the fluorine-depleted region and the barrier metal layer to thereby provide a barrier for preventing fluorine atoms in the fluorine-depleted region from moving into the barrier metal layer.
20. The semiconductor device according to
claim 19
, wherein the barrier metal layer is one of titanium, titanium nitride, tantalum, and tantalum nitride.
21. The semiconductor device according to
claim 20
, further comprising:
one of copper and aluminum disposed within the at least one via and the at least one trench.
22. The semiconductor device according to
claim 19
, further comprising:
a third etch stop layer formed on the second fluorosilicate glass layer;
a third fluorosilicate glass layer formed on the third etch stop layer, the third fluosilicate glass layer including at least another via that is connected to the at least one trench;
a fourth etch stop layer formed on the third fluosilicate glass layer; and
a fourth fluorosilicate glass layer formed on the fourth etch stop layer, the fourth fluosilicate glass layer including at least another trench that provides a conductive path to the substrate by way of the least another via, the at least one trench, and the at least one via,
wherein sidewalls of the at least another trench and the at least another via include the barrier metal layer that forms an outer surface of the sidewalls, the nitrided region formed adjacent to the barrier metal layer, and the nitrided region formed adjacent to the nitrided region, with the nitrided region being disposed between the fluorine-depleted region and the barrier metal layer to thereby provide a barrier for preventing fluorine atoms in the fluorine-depleted region from moving into the barrier metal layer.
US09/765,666 1998-12-02 2001-01-22 Integration of low-k SiOF for damascene structure Expired - Lifetime US6400023B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/765,666 US6400023B2 (en) 1998-12-02 2001-01-22 Integration of low-k SiOF for damascene structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/203,754 US6177364B1 (en) 1998-12-02 1998-12-02 Integration of low-K SiOF for damascene structure
US09/203,572 US6252303B1 (en) 1998-12-02 1998-12-02 Intergration of low-K SiOF as inter-layer dielectric
US09/765,666 US6400023B2 (en) 1998-12-02 2001-01-22 Integration of low-k SiOF for damascene structure

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US09/203,572 Division US6252303B1 (en) 1998-12-02 1998-12-02 Intergration of low-K SiOF as inter-layer dielectric
US09/203,754 Division US6177364B1 (en) 1998-12-02 1998-12-02 Integration of low-K SiOF for damascene structure

Publications (2)

Publication Number Publication Date
US20010016419A1 true US20010016419A1 (en) 2001-08-23
US6400023B2 US6400023B2 (en) 2002-06-04

Family

ID=22754527

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/203,572 Expired - Lifetime US6252303B1 (en) 1998-12-02 1998-12-02 Intergration of low-K SiOF as inter-layer dielectric
US09/765,666 Expired - Lifetime US6400023B2 (en) 1998-12-02 2001-01-22 Integration of low-k SiOF for damascene structure
US09/886,032 Expired - Fee Related US6489230B1 (en) 1998-12-02 2001-06-22 Integration of low-k SiOF as inter-layer dielectric

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/203,572 Expired - Lifetime US6252303B1 (en) 1998-12-02 1998-12-02 Intergration of low-K SiOF as inter-layer dielectric

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/886,032 Expired - Fee Related US6489230B1 (en) 1998-12-02 2001-06-22 Integration of low-k SiOF as inter-layer dielectric

Country Status (1)

Country Link
US (3) US6252303B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521533B1 (en) * 1999-09-14 2003-02-18 Commissariat A L'energie Atomique Method for producing a copper connection
US20030162384A1 (en) * 2002-02-28 2003-08-28 Smith Patricia Beauregard Semiconductor devices and methods of manufacturing such semiconductor devices
EP1432023A1 (en) * 2001-08-29 2004-06-23 Tokyo Electron Limited Semiconductor device and production method therefor
US6828255B2 (en) * 2002-11-22 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd Crack inhibited composite dielectric layer

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6727588B1 (en) * 1999-08-19 2004-04-27 Agere Systems Inc. Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics
JP2001223269A (en) * 2000-02-10 2001-08-17 Nec Corp Semiconductor device and manufacturing method therefor
US6818990B2 (en) * 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
WO2002013234A2 (en) * 2000-08-04 2002-02-14 Applied Materials, Inc. Stabilized surface between a fluorosilicate glass dielectric and a liner/barrier layer
KR100346843B1 (en) * 2000-12-07 2002-08-03 삼성전자 주식회사 Method of forming interlayer dielectric film and method of manufacturing semiconductor device
TW465042B (en) * 2001-01-29 2001-11-21 Macronix Int Co Ltd Method for forming metal/dielectric multi-level connects
US7001854B1 (en) 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP4257051B2 (en) * 2001-08-10 2009-04-22 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
DE10141352A1 (en) * 2001-08-23 2003-06-05 Osram Opto Semiconductors Gmbh Process for the surface treatment of a semiconductor
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6586347B1 (en) * 2001-10-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method and structure to improve the reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and metal layers in semiconductor integrated circuits
US6713831B1 (en) * 2001-12-04 2004-03-30 Cypress Semiconductor Corp. Borderless contact architecture
US7247252B2 (en) * 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
KR20050001199A (en) * 2003-06-27 2005-01-06 삼성전자주식회사 Apparatus of measuring energy resolution power of X-ray monochromator and solid sample using in the same
US6924242B2 (en) * 2003-10-23 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. SiOC properties and its uniformity in bulk for damascene applications
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
KR100835423B1 (en) * 2006-08-29 2008-06-04 동부일렉트로닉스 주식회사 Method for forming dual damascene pattern in semiconductor manufacturing process
US9121843B2 (en) 2007-05-08 2015-09-01 Trustees Of Boston University Chemical functionalization of solid-state nanopores and nanopore arrays and applications thereof
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
AU2010301128B2 (en) 2009-09-30 2014-09-18 Quantapore, Inc. Ultrafast sequencing of biological polymers using a labeled nanopore
US9651539B2 (en) 2012-10-28 2017-05-16 Quantapore, Inc. Reducing background fluorescence in MEMS materials by low energy ion beam treatment
US9862997B2 (en) 2013-05-24 2018-01-09 Quantapore, Inc. Nanopore-based nucleic acid analysis with mixed FRET detection
ES2789000T3 (en) 2014-10-10 2020-10-23 Quantapore Inc Nanopore-based polynucleotide analysis with mutually inactivating fluorescent labels
JP6757316B2 (en) 2014-10-24 2020-09-16 クアンタポール, インコーポレイテッド Efficient optical analysis of polymers using nanostructured arrays
US20190078145A1 (en) 2015-12-08 2019-03-14 Quantapore, Inc. Method of translocating nucleic acids through nanopores
WO2017123647A1 (en) 2016-01-15 2017-07-20 Quantapore, Inc. Optically-based nanopore analysis with reduced background
CN109219664A (en) 2016-05-31 2019-01-15 昆塔波尔公司 Double-colored nano-pore sequencing
WO2018009346A1 (en) 2016-07-05 2018-01-11 Quantapore, Inc. Optically based nanopore sequencing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3679863B2 (en) * 1995-06-12 2005-08-03 セイコーエプソン株式会社 Inkjet recording head
JP3979687B2 (en) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
JP3019021B2 (en) * 1997-03-31 2000-03-13 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5920790A (en) 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US5994997A (en) * 1997-11-24 1999-11-30 Motorola, Inc. Thick-film resistor having concentric terminals and method therefor
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US5994778A (en) 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521533B1 (en) * 1999-09-14 2003-02-18 Commissariat A L'energie Atomique Method for producing a copper connection
EP1432023A1 (en) * 2001-08-29 2004-06-23 Tokyo Electron Limited Semiconductor device and production method therefor
US20050003660A1 (en) * 2001-08-29 2005-01-06 Shigemi Murakawa Semiconductor device and production method therefor
EP1432023A4 (en) * 2001-08-29 2005-12-28 Tokyo Electron Ltd Semiconductor device and production method therefor
US20030162384A1 (en) * 2002-02-28 2003-08-28 Smith Patricia Beauregard Semiconductor devices and methods of manufacturing such semiconductor devices
US7101788B2 (en) * 2002-02-28 2006-09-05 Texas Instruments Incorporated Semiconductor devices and methods of manufacturing such semiconductor devices
US6828255B2 (en) * 2002-11-22 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd Crack inhibited composite dielectric layer

Also Published As

Publication number Publication date
US6489230B1 (en) 2002-12-03
US6400023B2 (en) 2002-06-04
US6252303B1 (en) 2001-06-26

Similar Documents

Publication Publication Date Title
US6400023B2 (en) Integration of low-k SiOF for damascene structure
US6177364B1 (en) Integration of low-K SiOF for damascene structure
US7265038B2 (en) Method for forming a multi-layer seed layer for improved Cu ECP
US7064056B2 (en) Barrier layer stack to prevent Ti diffusion
US6355558B1 (en) Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US7030023B2 (en) Method for simultaneous degas and baking in copper damascene process
US6074942A (en) Method for forming a dual damascene contact and interconnect
US6255217B1 (en) Plasma treatment to enhance inorganic dielectric adhesion to copper
US7259090B2 (en) Copper damascene integration scheme for improved barrier layers
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US7094705B2 (en) Multi-step plasma treatment method to improve CU interconnect electrical performance
US6995471B2 (en) Self-passivated copper interconnect structure
US20070197023A1 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US6686662B2 (en) Semiconductor device barrier layer
US7071100B2 (en) Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US6335273B2 (en) Surface treatment of low-K SiOF to prevent metal interaction
US6146996A (en) Semiconductor device with conductive via and method of making same
JPWO2008078649A1 (en) Semiconductor device and manufacturing method thereof
US6713407B1 (en) Method of forming a metal nitride layer over exposed copper
US6730591B2 (en) Method of using silicon rich carbide as a barrier material for fluorinated materials
US7223692B2 (en) Multi-level semiconductor device with capping layer for improved adhesion
US20050277292A1 (en) Method for fabricating low resistivity barrier for copper interconnect
US6573147B2 (en) Method of forming a semiconductor device having contact using crack-protecting layer
US7176141B2 (en) Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
US20040251550A1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMD TECHNOLOGIES HOLDINGS, INC.;REEL/FRAME:022764/0544

Effective date: 20090302

Owner name: AMD TECHNOLOGIES HOLDINGS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:022764/0488

Effective date: 20090302

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117