US20010014522A1 - Forming a conductive structure in a semiconductor device - Google Patents

Forming a conductive structure in a semiconductor device Download PDF

Info

Publication number
US20010014522A1
US20010014522A1 US09/397,763 US39776399A US2001014522A1 US 20010014522 A1 US20010014522 A1 US 20010014522A1 US 39776399 A US39776399 A US 39776399A US 2001014522 A1 US2001014522 A1 US 2001014522A1
Authority
US
United States
Prior art keywords
layer
forming
vapor
metal
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/397,763
Other versions
US6362086B2 (en
Inventor
Ronald A. Weimer
Yongjun Jeff Hu
Pai Hung Pan
Deepa Ratakonda
James Beck
Randhir P.S. Thakur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/397,763 priority Critical patent/US6362086B2/en
Priority to US09/620,442 priority patent/US6596595B1/en
Publication of US20010014522A1 publication Critical patent/US20010014522A1/en
Application granted granted Critical
Publication of US6362086B2 publication Critical patent/US6362086B2/en
Priority to US10/454,218 priority patent/US6849544B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers

Definitions

  • the invention relates to forming a conductive structure in a semiconductor device.
  • Interconnecting lines are typically made of metal, e.g., aluminum, titanium.
  • metal e.g., aluminum, titanium.
  • other materials are also widely used to form interconnecting lines, e.g., doped polysilicon or N+ or P+ diffused regions.
  • interconnecting lines exhibit different resistivities, with metal generally having the lowest resistivity.
  • Polysilicon which is also typically used as the conductive electrode at the gate of an N-channel or P-channel metal-oxide-silicon field effect transistor (MOSFET), exhibits a higher resistivity than metal.
  • MOSFET metal-oxide-silicon field effect transistor
  • One approach to reduce the resistivity of a polysilicon interconnect line is to use a polycide structure, in which a low resistance silicide (e.g., WSix) is formed on top of a doped polysilicon layer. This effectively forms a two-layer interconnect line in which the silicide layer provides a low resistivity conductive path.
  • a low resistance silicide e.g., WSix
  • Three-layer interconnecting lines have also been proposed, including a polymetal composite structure having tungsten (W) as the top layer, tungsten silicide nitride (WSiN) as the intermediate layer, and polysilicon as the bottom layer.
  • the polymetal structure has a resistivity lower than the polycide structure that includes tungsten silicide on polysilicon.
  • a method of forming a conductive structure in a semiconductor device includes forming a lower electrically conductive layer on a support surface and forming an electrically conductive barrier over the lower layer. Further, an upper conductive layer is formed over the barrier layer, the upper conductive layer including metal silicide.
  • FIG. 1 is an enlarged, cross-sectional view of a transistor in a semiconductor device.
  • FIG. 2 is a flow diagram of the fabrication steps for forming portions of the transistor structure of FIG. 1.
  • FIGS. 3, 4, and 5 are block diagrams of single-wafer rapid thermal processing systems for performing selective oxidation.
  • FIG. 6 is graph of an X-ray photoelectron spectrometer (XPS) surface analysis showing the selective oxidation region for a multilayer conductive structure.
  • XPS X-ray photoelectron spectrometer
  • the conductive structure can be used as part of the gate structure of a MOS transistor (as shown) or as an interconnecting line to connect circuits, such as a wordline used to connect transistors connected to memory cells in a memory array.
  • a transistor 12 is formed on a base 10 , which includes a semiconductor (e.g., silicon) substrate or multilayer substrate (e.g., silicon-on-insulator or SOI substrate).
  • the transistor 12 is adjacent a field oxide layer 110 .
  • the transistor includes source and drain regions 108 A and 108 B and a channel region 109 . If the transistor 12 is used in a memory array, the source would be connected to a memory cell capacitor structure (not shown), while the drain would be connected to a digit line (not shown).
  • the transistor 12 can also have many other uses in a semiconductor device.
  • a gate oxide layer 106 is formed above the channel region 109 .
  • a gate stack 112 is then formed over the gate oxide layer 106 .
  • the gate structure 112 includes three electrically conductive layers ( 100 , 102 , 104 ) to reduce the resistivity of the gate.
  • the three-layer structure can also be used to form an interconnecting line separate from the transistor 12 , in which case the gate oxide layer 106 beneath the stack 112 would be replaced with other insulating layers.
  • the bottom conductive layer 104 is formed of a material that includes silicon, e.g., doped or undoped polysilicon, silicon germanium (SiGex), or amorphous silicon.
  • the top conductive layer 100 includes a low resistivity material, which can be metal or metal silicide. Sandwiched between the top layer 100 and the bottom layer 104 is a conductive diffusion barrier layer 102 that is resistant to oxidation, agglomeration, and silicidation.
  • the barrier layer 102 acts to prevent agglomeration of silicon from the bottom layer 104 into the top conductive layer 100 .
  • titanium silicide (TiSix) formed on polysilicon may result in agglomeration of silicon into the TiSix grains, which can increase resistivity.
  • Silicidation can also occur between certain top layer and bottom layer materials, such as tungsten (W) formed on polysilicon, in which formation of higher resistivity Wsix can occur.
  • the barrier layer 102 is formed of a material that includes metal silicide, metal nitride, or metal silicide nitride, such as tungsten silicide (Wsix), tungsten silicide nitride (WSixNy), titanium silicide nitride (TiSixNy), tantalum silicide (TaSix), tantalum silicide nitride (TaSixNy), molybdenum silicide (MoSix), and molybdenum silicide nitride (MoSixNy), hafnium silicide (HfSix), hafnium silicide nitride (HfSixNy), zirconium silicide nitride (ZrSixNy), niobium silicide (NbSix), niobium silicide nitride (NbSixNy), and titanium nitride (TiNy).
  • a silicide, nitride, or silicide nitride composition is resistant to oxidation and is stable at high temperatures.
  • the ability to withstand high temperatures is particularly important since the gate structure or interconnecting line is put through various subsequent high temperature process steps, including source-drain implant anneal, oxidation, and glass flow/reflow.
  • Metal silicides, nitrides, or silicide nitrides also have other desirable properties, including low resistivity, ease of formation, controlled oxidation properties, and stability in an oxidizing ambient. These materials also have excellent adhesion characteristics and exhibit low stress contacts.
  • the resistivity of a metal silicide, metal nitride, or metal silicide nitride barrier layer 102 is dependent on several factors, including the method of formation, annealing times and temperatures, and chemical purity.
  • the stoichiometry of the refractory metal silicide, metal nitride, or metal silicide nitride composition can also affect resistivity; e.g., the x value of an MSix composition and the x and y values of an MSixNy composition can affect the resistivity.
  • the value of x for silicon can be set at a value greater than 2, while the value of y for nitrogen is set in the range between about 0.1 and 0.9, preferably between about 0.5-0.6. With higher y values, the MSixNy compositions are more stable at higher temperatures, but the compositions also have higher resistivities.
  • the top conductive layer 100 can be made from low resistivity materials, e.g., metal or metal suicides, such as tungsten (W), cobalt (Co), titanium silicide (TiSix), cobalt silicide (CoSix), nickel silicide (NiSix), or other metal or metal silicide layers.
  • metal or metal suicides such as tungsten (W), cobalt (Co), titanium silicide (TiSix), cobalt silicide (CoSix), nickel silicide (NiSix), or other metal or metal silicide layers.
  • W tungsten
  • Co cobalt
  • TiSix titanium silicide
  • CoSix cobalt silicide
  • NiSix nickel silicide
  • the metal silicide compositions are more stable at high temperatures than tungsten or cobalt, which tend to have relatively high oxidation rates.
  • the gate stack 112 and the gate oxide 106 are electrically insulated by side insulating spacers 114 A and 114 B and a top insulating layer 116 .
  • the insulating materials can be formed using silicon nitride (Si 3 N 4 ) or silicon dioxide (SiO 2 ).
  • the fabrication flow of portions of the transistor 12 shown in FIG. 1 is illustrated.
  • the gate oxide 106 is formed on the surface of the base 10 (step 202 ) by thermal growth or deposition such as chemical vapor deposition (CVD).
  • the thickness of the gate oxide 106 for current generation technologies, can typically range from about 30 angstroms (A) to 150 A.
  • the bottom conductive layer 104 (which can include polysilicon, SiGex, or amorphous silicon) is formed, such as by deposition using a low pressure chemical vapor deposition (LPCVD) process.
  • the bottom conductive layer 104 can have an exemplary thickness ranging from about 100 A to 3,000 A.
  • the polysilicon, SiGex, or amorphous silicon layer 104 can also be doped with a dopant such as phosphorous or boron to improve electrical conductivity.
  • the barrier layer 102 is formed (step 206 ) to a thickness between about 50 A to 500 A, for example.
  • Various methods can be used to form the diffusion barrier layer 102 , including using chemical vapor deposition (CVD), physical vapor deposition (PVD), or deposition followed by a high temperature anneal of a metal (e.g., tungsten or titanium) in an ambient containing nitrogen, ammonia (NH 3 ), or hydrazine (N 2 H 4 ) in a rapid thermal processing (RTP) system.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • RTP rapid thermal processing
  • Formation of metal silicide barrier layers using CVD may involve deposition of the metal onto the bottom conductive layer 104 followed by subsequent heating, which causes the metal and silicon containing material in the layer 104 to react to form a silicide. This type of silicide formation can yield low resistivity silicide layers.
  • a barrier layer 102 having metal silicide nitride (MSixNy) or metal nitride (MNy) the metal deposition onto the bottom conductive layer step is followed by a high temperature (e.g., 600-1000° C.) anneal in an ambient including NH 3 or N 2 H 4 .
  • the NH 3 or N 2 H 4 anneal can be performed in a rapid thermal processing (RTP) system for a predetermined amount of time, e.g., between about 1-60 seconds.
  • RTP rapid thermal processing
  • the anneal step forms a barrier layer that contains Wsix and SiN, with no formation (or very little formation) of tungsten nitride (WN), as illustrated by the X-ray photoelectron spectrometer (XPS) profile graph shown in FIG. 6.
  • Wsix and SiN are more oxidation resistant than WN or tungsten.
  • the high temperature anneal can also be performed with a titanium on a silicon-containing material structure.
  • the anneal in the NH 3 or N 2 H 4 ambient forms a TiNy barrier layer.
  • FIG. 6 shows the percentage of materials present in a Wsix-polysilicon stack after anneal of a W/poly-Si structure in an NH 3 ambient at 750° C.
  • the depth (as defined by an axis generally projecting perpendicularly from the surface of the base 10 ) begins at the top surface (0 ⁇ ) of the stack 112 (without the top conductive layer 100 ) and continues downwardly into the stack.
  • a layer of tungsten is initially deposited on polysilicon. After anneal in the NH 3 , the layers formed include a tungsten layer 100 at the top and a Wsixny barrier layer 102 between the top tungsten layer and the bottom conductive layer 104 .
  • PVD by sputtering or evaporation can also be used to form metal silicides and metal silicide nitrides.
  • the evaporation method utilizes simultaneous deposition of the metal and silicon (or metal, silicon and nitrogen) under high vacuum or sputtering of a metal silicide composite target. Sputtering of the metal and silicon (or metal, Si, and N) can be performed using RF or magnetron sputtering.
  • the metal or metal silicide conductive layer 100 is formed over the barrier layer 102 (step 208 ), using either CVD or PVD techniques, for example.
  • the conductive layer 100 can be formed to a thickness between about 200 A to 2000 A.
  • the electrically insulating cap layer 116 is formed over the conductive layer 100 as an etch stop and oxidation barrier (step 210 ).
  • the insulating layer 116 can be formed using vapor deposited SiO 2 or Si 3 N 4 .
  • a dry etch process (such as reactive ion etching) is then used to form the gate stack (step 212 ).
  • nitride insulating spacers 114 A and 114 B can optionally be formed using known methods, such as by LPCVD or PECVD nitride (step 214 ).
  • Oxide spacers can also be used, such as those formed using CVD with tetraethylorthosilicate (TEOS). Whether this step is performed depends on the type of materials used in forming the stack 112 .
  • the spacers are useful as etch barriers as well as oxidation barriers in subsequent processing steps.
  • the spacers 116 A and 116 B may also be used with other stacks that contain a metal conductor on a metal silicide or nitride barrier.
  • the spacer forming step 214 may not be needed when a stack having a metal silicide conductor on a metal silicide or metal silicide nitride barrier (e.g., TiSix conductor on Wsix barrier) is used. With such stacks, the spacer formation is performed after the source-drain re-oxidation step ( 216 ).
  • a metal silicide conductor on a metal silicide or metal silicide nitride barrier e.g., TiSix conductor on Wsix barrier
  • the source-drain re-oxidation step ( 216 ) is performed to remove damage caused by reactive ion etching and patterning of the gate electrode.
  • the re-oxidation step causes oxide to be thermally grown on the sidewall of the etched polysilicon, SiGex, or amorphous silicon electrode, which also serves to thicken the gate oxide 106 at the gate edge to improve the gate oxide dielectric strength.
  • the source-drain re-oxidation can be performed in the following environment: O 2 ; O 2 /H 2 ; N 2 O; NO; or a selective ambient, such as an H 2 O/H 2 ambient in which the ratio of H 2 O to H 2 is controlled to predetermined ranges.
  • the top conductive layer 100 is formed of a metal silicide material
  • the barrier layer 102 is formed of a metal silicide or metal silicide nitride material
  • the two layers are relatively resistant to oxidation and any of the source-drain re-oxidation techniques listed above can be used.
  • certain materials that can be used in the top conduction layer 100 such as tungsten (W) or titanium (Ti)
  • certain materials in the barrier layer 102 such as a metal nitride (e.g., TiN)
  • a metal nitride e.g., TiN
  • tungsten oxidation rate is much faster than that of silicon, and typical oxidation processes can cause the volume of tungsten oxide (WO 3 ) to be much larger than that of W. If WO 3 formation occurs, peeling or morphological degradation of the tungsten film may occur.
  • a selective oxidation process is preferred over conventional oxidation or wet oxidation. Similar issues exist when titanium is used as the top conduction layer 100 .
  • Possible metal nitride materials include tungsten nitride (WN) or titanium nitride (TiN), which are susceptible to relatively fast oxidation. If such barrier layers are used, then selective oxidation may be particularly advantageous. However, even if metal silicide top conductive layers 100 or metal silicide or metal silicide nitride barrier layers 102 are used, the selective oxidation process may also help to reduce the rate of oxidation of those materials.
  • Selective source drain re-oxidation can be performed in a water vapor ambient with controlled amounts of hydrogen added in a single-wafer rapid thermal processing (RTP) system (e.g., an AG8108 Heat Pulse RTP system) for selective oxidation of silicon over the metal, metal silicide, metal silicide nitride, or metal nitride top conducting layer 100 or barrier layer 102 .
  • RTP rapid thermal processing
  • a single-wafer system such as the three types shown in FIGS. 3 - 5 , provide several advantages over use of a furnace that can receive multiple wafers. In a single-wafer RTP system, better control of process conditions can be achieved.
  • better uniformity of temperature can be achieved over the entire surface of the wafer, which is particularly advantageous when large wafers (e.g., 300 mm wafers) are used.
  • better ambient control and uniformity of oxidation over the entire processed surface of a wafer can be achieved.
  • an RTP single-wafer system uses an ambient of argon-hydrogen (Ar—H 2 ) and water vapor.
  • Ar—H 2 argon-hydrogen
  • Other inert gases besides Ar can also be used.
  • this ambient can reduce oxidation of a metal (e.g., tungsten) top conductive layer 100 and WN, Wsixny, TiN, and other metal nitride conductive diffusion barrier layers 102 .
  • a quartz vessel 300 (which can contain 20 liters of liquid) is filled approximately half full of deionized water through a liquid flow controller 304 from a source 306 .
  • the vessel 300 is then heated and maintained at an elevated temperature, e.g., between about 95-98° C., through use of an external heating blanket 314 affixed to the outside of the quartz vessel 300 .
  • the elevated temperature evaporates portions of the deionized water.
  • the temperature of the deionized water in the vessel 300 is monitored by a thermocouple 308 inserted into a sheath in the quartz vessel 300 .
  • the over temperature trip level of the thermocouple 308 can be set at about 120° C.
  • the thermocouple 308 is connected to a temperature and water level controller 310 for monitoring.
  • the controller 310 adjusts the temperature of the blanket 314 as the liquid temperature in the vessel 300 varies.
  • Over temperature thermocouples (not shown) can also monitor the heater blanket 314 temperature to prevent heater blanket temperature runaway.
  • the level of the deionized water in the vessel 300 is monitored by a liquid level sensor 312 , also connected to the controller 310 .
  • the controller 310 adjusts the flow rate through the flow controller 304 to maintain the level of the liquid in the vessel 300 .
  • the autofill feature for the deionized water is disabled during a process run (to perform the source drain re-oxidation) so as not to disturb the water vapor delivery.
  • Delivery of the deionized water vapor is achieved by injecting an Ar—H 2 mixture into the vessel 300 through a mass flow controller 322 at a predetermined rate.
  • the Ar—H 2 comes from a source 318 .
  • the H 2 can originate from a pure hydrogen source or from a breakdown of a hydrogen containing compound such as NH 3 or N 2 H 4 .
  • the resulting water and argon vapor is transported through a line 316 (which can be a ⁇ fraction (1/2) ⁇ inch heat taped stainless steel line) maintained at a predetermined temperature, e.g., about 110° C., to prevent recondensation of the vapor.
  • the vapor in the line 316 flows through a vapor flow controller 324 to a single-wafer process chamber 302 .
  • Other conventional RTP process gases are also provided through flow controllers 326 to the processor chamber 302 .
  • the flow of H 2 O can be selected to be in the range between about 1 SCCM (standard cubic centimeters per minute) and 50 SLM (standard liters per minute), with a preferred range of about 1 SCCM to 10 SLM.
  • the flow rate of H 2 can be selected to a value in the range between about 1 SCCM and 50 SLM, with a preferred range of about 1 SCCM to 20 SLM.
  • the H 2 O/H 2 partial pressure ratio can be maintained between about 2.3 and 2.8.
  • the processing temperature in the single-wafer processing chamber can be maintained between about 950° C. and 1100° C.
  • Selective oxidation of silicon over tungsten of about 2:1 can be achieved using the system of FIG. 3.
  • an alternative single-wafer RTP system performs source-drain selective re-oxidation using a different transport system. Elements that are the same as in the RTP system of FIG. 1 have common reference numerals.
  • a predetermined amount of deionized water is kept in the vessel 300 (e.g., half full in a 20-liter vessel).
  • delivery of the deionized water vapor is achieved through the use of a vapor flow controller 330 , rather than through use of the mass flow controller 322 to pump argon 318 into the vessel 300 to move vapor through the line 316 .
  • the pressure in the water vessel 300 can be approximately 960 Torr, which is sufficient to generate ample flow through the vapor flow controller 330 .
  • the vapor is then transported through the line 316 to the process chamber 302 , with the line temperature maintained at about 110° C. to prevent recondensation of the vapor.
  • Hydrogen is passed through one of the vapor flow controllers 326 to the process chamber 302 to perform selective oxidation of silicon over other materials.
  • an RTP system with an external torch assembly is used to create the water vapor flow for selective oxidation.
  • Hydrogen (H 2 ) and oxygen (O 2 ) are delivered through vapor flow controllers 350 and 352 , respectively, from sources 354 and 356 , respectively.
  • the H 2 and O 2 are delivered to an injector 360 inserted into the external torch assembly 358 .
  • the torch assembly 358 is maintained at a temperature of about 900° C. to provide ignition energy.
  • the resulting water vapor and H 2 mixture is delivered to the single-wafer process chamber 302 via a quartz tube interface 362 .
  • the RTP systems of FIGS. 4 and 5 also maintain a predetermined H 2 O/H 2 partial pressure ratio and temperature.
  • safety measures are provided by an external controller 364 , which monitors and maintains the external torch element temperature and provides over temperature and runaway safeties.
  • the controller 364 can also implement steps to ensure safety associated with using H 2 as a process gas.
  • the safety mechanisms implemented include maintaining a particular H 2 /O 2 ratio.
  • H 2 flow is disabled if no O 2 is present; H 2 is disabled if the torch temperature is below 700° C.; an initial nitrogen (N 2 ) purge is performed of the injector 360 before the flow of H 2 is turned on; and H 2 leak detectors are used to determine when to turn off and purge the H 2 line if an H 2 leak is detected.
  • the controller 364 also monitors the temperature of the process chamber 302 to prevent water vapor from being formed if the process chamber 302 is below 700° C. to prevent recondensation of the water vapor.
  • the layers in the multilayer conductive structure have been described with certain thicknesses for each layer, it is contemplated that the layer thicknesses can be varied and still achieve desirable results.
  • the conductive structure described can be formed with a stack having more than three layers. Further, various systems and processes have been described with particular parameters; these parameters can also be varied. The systems described have components associated with certain, specific parameters and values, which can be varied.

Abstract

A conductive structure for use in a semiconductor device includes a multilayer structure. A first layer includes a material containing silicon, e.g., polysilicon and silicon germanide. A barrier layer is formed over the first layer, with the barrier layer including metal silicide or metal silicide nitride. A top conductive layer is formed over the barrier layer. The top conductive layer can include metal or metal silicide. Selective oxidation can be performed to reduce the amount of oxidation of selected materials in a structure containing multiple layers, such as the multilayer conductive structure. The selective oxidation is performed in a single-wafer rapid thermal processing system, in which a selected ambient, including hydrogen, is used to ensure low oxidation of a selected material, such as tungsten or a metal nitride.

Description

  • This is a divisional of co-pending and commonly assigned U.S. patent application Ser. No. 09/031,407, entitled “Forming a Conductive Structure in a Semiconductor Device,” filed Feb. 26, 1998. [0001]
  • BACKGROUND
  • The invention relates to forming a conductive structure in a semiconductor device. [0002]
  • Advanced integrated circuits in a semiconductor device require high speed interconnecting lines between circuits for improved device speed. Interconnecting lines are typically made of metal, e.g., aluminum, titanium. However, in some parts of a semiconductor device, particularly in regions where components are densely packed (such as the array of a memory device), or in regions where further heat steps are needed after formation of the interconnecting line during the manufacturing process, other materials are also widely used to form interconnecting lines, e.g., doped polysilicon or N+ or P+ diffused regions. [0003]
  • The different types of interconnecting lines exhibit different resistivities, with metal generally having the lowest resistivity. Polysilicon, which is also typically used as the conductive electrode at the gate of an N-channel or P-channel metal-oxide-silicon field effect transistor (MOSFET), exhibits a higher resistivity than metal. [0004]
  • As the speed requirements of semiconductors increase, the resistance of interconnecting lines, especially those formed of a higher resistivity material (such as polysilicon) reduces switching speeds of circuits in the device. The resistance of a line increases proportionately with its length. Thus, a polysilicon line running over a long length and connected to a large capacitive load, such as a wordline in a memory array connected to multiple transistors in the array, would cause a high RC delay during circuit switching. [0005]
  • One approach to reduce the resistivity of a polysilicon interconnect line is to use a polycide structure, in which a low resistance silicide (e.g., WSix) is formed on top of a doped polysilicon layer. This effectively forms a two-layer interconnect line in which the silicide layer provides a low resistivity conductive path. [0006]
  • Three-layer interconnecting lines have also been proposed, including a polymetal composite structure having tungsten (W) as the top layer, tungsten silicide nitride (WSiN) as the intermediate layer, and polysilicon as the bottom layer. The polymetal structure has a resistivity lower than the polycide structure that includes tungsten silicide on polysilicon. [0007]
  • SUMMARY
  • In general, according to one embodiment, a method of forming a conductive structure in a semiconductor device includes forming a lower electrically conductive layer on a support surface and forming an electrically conductive barrier over the lower layer. Further, an upper conductive layer is formed over the barrier layer, the upper conductive layer including metal silicide. [0008]
  • Other features and embodiments will become apparent from the following description and from the claims. [0009]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an enlarged, cross-sectional view of a transistor in a semiconductor device. [0010]
  • FIG. 2 is a flow diagram of the fabrication steps for forming portions of the transistor structure of FIG. 1. [0011]
  • FIGS. 3, 4, and [0012] 5 are block diagrams of single-wafer rapid thermal processing systems for performing selective oxidation.
  • FIG. 6 is graph of an X-ray photoelectron spectrometer (XPS) surface analysis showing the selective oxidation region for a multilayer conductive structure. [0013]
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a multilayer, low resistivity conductive structure is described. The conductive structure can be used as part of the gate structure of a MOS transistor (as shown) or as an interconnecting line to connect circuits, such as a wordline used to connect transistors connected to memory cells in a memory array. [0014]
  • In FIG. 1, a [0015] transistor 12 is formed on a base 10, which includes a semiconductor (e.g., silicon) substrate or multilayer substrate (e.g., silicon-on-insulator or SOI substrate). The transistor 12 is adjacent a field oxide layer 110. The transistor includes source and drain regions 108A and 108B and a channel region 109. If the transistor 12 is used in a memory array, the source would be connected to a memory cell capacitor structure (not shown), while the drain would be connected to a digit line (not shown). The transistor 12 can also have many other uses in a semiconductor device.
  • A [0016] gate oxide layer 106 is formed above the channel region 109. A gate stack 112 is then formed over the gate oxide layer 106. In one embodiment, the gate structure 112 includes three electrically conductive layers (100, 102, 104) to reduce the resistivity of the gate. The three-layer structure can also be used to form an interconnecting line separate from the transistor 12, in which case the gate oxide layer 106 beneath the stack 112 would be replaced with other insulating layers.
  • The bottom [0017] conductive layer 104 is formed of a material that includes silicon, e.g., doped or undoped polysilicon, silicon germanium (SiGex), or amorphous silicon. The top conductive layer 100 includes a low resistivity material, which can be metal or metal silicide. Sandwiched between the top layer 100 and the bottom layer 104 is a conductive diffusion barrier layer 102 that is resistant to oxidation, agglomeration, and silicidation.
  • The [0018] barrier layer 102 acts to prevent agglomeration of silicon from the bottom layer 104 into the top conductive layer 100. For example, titanium silicide (TiSix) formed on polysilicon may result in agglomeration of silicon into the TiSix grains, which can increase resistivity. Silicidation can also occur between certain top layer and bottom layer materials, such as tungsten (W) formed on polysilicon, in which formation of higher resistivity Wsix can occur.
  • The [0019] barrier layer 102 is formed of a material that includes metal silicide, metal nitride, or metal silicide nitride, such as tungsten silicide (Wsix), tungsten silicide nitride (WSixNy), titanium silicide nitride (TiSixNy), tantalum silicide (TaSix), tantalum silicide nitride (TaSixNy), molybdenum silicide (MoSix), and molybdenum silicide nitride (MoSixNy), hafnium silicide (HfSix), hafnium silicide nitride (HfSixNy), zirconium silicide nitride (ZrSixNy), niobium silicide (NbSix), niobium silicide nitride (NbSixNy), and titanium nitride (TiNy).
  • Generally, a silicide, nitride, or silicide nitride composition is resistant to oxidation and is stable at high temperatures. The ability to withstand high temperatures is particularly important since the gate structure or interconnecting line is put through various subsequent high temperature process steps, including source-drain implant anneal, oxidation, and glass flow/reflow. [0020]
  • Metal silicides, nitrides, or silicide nitrides also have other desirable properties, including low resistivity, ease of formation, controlled oxidation properties, and stability in an oxidizing ambient. These materials also have excellent adhesion characteristics and exhibit low stress contacts. [0021]
  • The resistivity of a metal silicide, metal nitride, or metal silicide [0022] nitride barrier layer 102 is dependent on several factors, including the method of formation, annealing times and temperatures, and chemical purity. The stoichiometry of the refractory metal silicide, metal nitride, or metal silicide nitride composition can also affect resistivity; e.g., the x value of an MSix composition and the x and y values of an MSixNy composition can affect the resistivity.
  • In the [0023] barrier layer 102 containing an MSixNy composition, the value of x for silicon can be set at a value greater than 2, while the value of y for nitrogen is set in the range between about 0.1 and 0.9, preferably between about 0.5-0.6. With higher y values, the MSixNy compositions are more stable at higher temperatures, but the compositions also have higher resistivities.
  • The top [0024] conductive layer 100 can be made from low resistivity materials, e.g., metal or metal suicides, such as tungsten (W), cobalt (Co), titanium silicide (TiSix), cobalt silicide (CoSix), nickel silicide (NiSix), or other metal or metal silicide layers. Typically, the value of x may be greater than or equal to 2, with the exception of NiSix, where x can be equal to one. Generally, the metal silicide compositions are more stable at high temperatures than tungsten or cobalt, which tend to have relatively high oxidation rates.
  • The [0025] gate stack 112 and the gate oxide 106 are electrically insulated by side insulating spacers 114A and 114B and a top insulating layer 116. The insulating materials can be formed using silicon nitride (Si3N4) or silicon dioxide (SiO2).
  • Referring to FIG. 2, the fabrication flow of portions of the [0026] transistor 12 shown in FIG. 1 is illustrated. After the field oxide 110 and source- drain regions 108A and 108B are formed in the base 10, the gate oxide 106 is formed on the surface of the base 10 (step 202) by thermal growth or deposition such as chemical vapor deposition (CVD). The thickness of the gate oxide 106, for current generation technologies, can typically range from about 30 angstroms (A) to 150 A.
  • Next, the bottom conductive layer [0027] 104 (which can include polysilicon, SiGex, or amorphous silicon) is formed, such as by deposition using a low pressure chemical vapor deposition (LPCVD) process. The bottom conductive layer 104 can have an exemplary thickness ranging from about 100 A to 3,000 A. The polysilicon, SiGex, or amorphous silicon layer 104 can also be doped with a dopant such as phosphorous or boron to improve electrical conductivity.
  • Next, the [0028] barrier layer 102 is formed (step 206) to a thickness between about 50 A to 500 A, for example. Various methods can be used to form the diffusion barrier layer 102, including using chemical vapor deposition (CVD), physical vapor deposition (PVD), or deposition followed by a high temperature anneal of a metal (e.g., tungsten or titanium) in an ambient containing nitrogen, ammonia (NH3), or hydrazine (N2H4) in a rapid thermal processing (RTP) system.
  • Formation of metal silicide barrier layers using CVD may involve deposition of the metal onto the bottom [0029] conductive layer 104 followed by subsequent heating, which causes the metal and silicon containing material in the layer 104 to react to form a silicide. This type of silicide formation can yield low resistivity silicide layers.
  • To form a [0030] barrier layer 102 having metal silicide nitride (MSixNy) or metal nitride (MNy), the metal deposition onto the bottom conductive layer step is followed by a high temperature (e.g., 600-1000° C.) anneal in an ambient including NH3 or N2H4. The NH3 or N2H4 anneal can be performed in a rapid thermal processing (RTP) system for a predetermined amount of time, e.g., between about 1-60 seconds. If the metal deposited is tungsten on a polysilicon, SiGex, or amorphous silicon layer, the anneal step forms a barrier layer that contains Wsix and SiN, with no formation (or very little formation) of tungsten nitride (WN), as illustrated by the X-ray photoelectron spectrometer (XPS) profile graph shown in FIG. 6. Wsix and SiN are more oxidation resistant than WN or tungsten. The high temperature anneal can also be performed with a titanium on a silicon-containing material structure. The anneal in the NH3 or N2H4 ambient forms a TiNy barrier layer.
  • FIG. 6 shows the percentage of materials present in a Wsix-polysilicon stack after anneal of a W/poly-Si structure in an NH[0031] 3 ambient at 750° C. The depth (as defined by an axis generally projecting perpendicularly from the surface of the base 10) begins at the top surface (0 Å) of the stack 112 (without the top conductive layer 100) and continues downwardly into the stack. A layer of tungsten is initially deposited on polysilicon. After anneal in the NH3, the layers formed include a tungsten layer 100 at the top and a Wsixny barrier layer 102 between the top tungsten layer and the bottom conductive layer 104.
  • PVD by sputtering or evaporation can also be used to form metal silicides and metal silicide nitrides. The evaporation method utilizes simultaneous deposition of the metal and silicon (or metal, silicon and nitrogen) under high vacuum or sputtering of a metal silicide composite target. Sputtering of the metal and silicon (or metal, Si, and N) can be performed using RF or magnetron sputtering. [0032]
  • After formation of the [0033] barrier layer 102, the metal or metal silicide conductive layer 100 is formed over the barrier layer 102 (step 208), using either CVD or PVD techniques, for example. The conductive layer 100 can be formed to a thickness between about 200 A to 2000 A. Following formation of the top conductive layer 100, the electrically insulating cap layer 116 is formed over the conductive layer 100 as an etch stop and oxidation barrier (step 210). The insulating layer 116 can be formed using vapor deposited SiO2 or Si3N4. A dry etch process (such as reactive ion etching) is then used to form the gate stack (step 212).
  • After the gate stack is formed, [0034] nitride insulating spacers 114A and 114B can optionally be formed using known methods, such as by LPCVD or PECVD nitride (step 214). Oxide spacers can also be used, such as those formed using CVD with tetraethylorthosilicate (TEOS). Whether this step is performed depends on the type of materials used in forming the stack 112.
  • For example, if a stack having a tungsten conductor on a titanium nitride barrier is used, then the spacers are useful as etch barriers as well as oxidation barriers in subsequent processing steps. The spacers [0035] 116A and 116B may also be used with other stacks that contain a metal conductor on a metal silicide or nitride barrier.
  • The [0036] spacer forming step 214 may not be needed when a stack having a metal silicide conductor on a metal silicide or metal silicide nitride barrier (e.g., TiSix conductor on Wsix barrier) is used. With such stacks, the spacer formation is performed after the source-drain re-oxidation step (216).
  • The source-drain re-oxidation step ([0037] 216) is performed to remove damage caused by reactive ion etching and patterning of the gate electrode. The re-oxidation step causes oxide to be thermally grown on the sidewall of the etched polysilicon, SiGex, or amorphous silicon electrode, which also serves to thicken the gate oxide 106 at the gate edge to improve the gate oxide dielectric strength. The source-drain re-oxidation can be performed in the following environment: O2; O2/H2; N2O; NO; or a selective ambient, such as an H2O/H2 ambient in which the ratio of H2O to H2 is controlled to predetermined ranges.
  • If the top [0038] conductive layer 100 is formed of a metal silicide material, and the barrier layer 102 is formed of a metal silicide or metal silicide nitride material, then the two layers are relatively resistant to oxidation and any of the source-drain re-oxidation techniques listed above can be used. However, certain materials that can be used in the top conduction layer 100, such as tungsten (W) or titanium (Ti), and certain materials in the barrier layer 102, such as a metal nitride (e.g., TiN), have relatively high oxidation rates.
  • For example, tungsten oxidation rate is much faster than that of silicon, and typical oxidation processes can cause the volume of tungsten oxide (WO[0039] 3) to be much larger than that of W. If WO3 formation occurs, peeling or morphological degradation of the tungsten film may occur. Thus, in cases where the top conduction layer 100 has a relatively high oxidation rate, a selective oxidation process is preferred over conventional oxidation or wet oxidation. Similar issues exist when titanium is used as the top conduction layer 100.
  • Possible metal nitride materials (in addition to the materials discussed above) for the [0040] barrier layer 102 include tungsten nitride (WN) or titanium nitride (TiN), which are susceptible to relatively fast oxidation. If such barrier layers are used, then selective oxidation may be particularly advantageous. However, even if metal silicide top conductive layers 100 or metal silicide or metal silicide nitride barrier layers 102 are used, the selective oxidation process may also help to reduce the rate of oxidation of those materials.
  • Selective source drain re-oxidation can be performed in a water vapor ambient with controlled amounts of hydrogen added in a single-wafer rapid thermal processing (RTP) system (e.g., an AG8108 Heat Pulse RTP system) for selective oxidation of silicon over the metal, metal silicide, metal silicide nitride, or metal nitride [0041] top conducting layer 100 or barrier layer 102. In addition, use of a single-wafer system, such as the three types shown in FIGS. 3-5, provide several advantages over use of a furnace that can receive multiple wafers. In a single-wafer RTP system, better control of process conditions can be achieved. For example, better uniformity of temperature can be achieved over the entire surface of the wafer, which is particularly advantageous when large wafers (e.g., 300 mm wafers) are used. In addition, better ambient control and uniformity of oxidation over the entire processed surface of a wafer can be achieved.
  • The described selective oxidation processes (shown in FIGS. 3, 4, and [0042] 5) can also be applied to multi-wafer furnace systems.
  • Referring to FIG. 3, an RTP single-wafer system uses an ambient of argon-hydrogen (Ar—H[0043] 2) and water vapor. Other inert gases besides Ar can also be used. By controlling the ratio of H2O to O2, use of this ambient can reduce oxidation of a metal (e.g., tungsten) top conductive layer 100 and WN, Wsixny, TiN, and other metal nitride conductive diffusion barrier layers 102.
  • A quartz vessel [0044] 300 (which can contain 20 liters of liquid) is filled approximately half full of deionized water through a liquid flow controller 304 from a source 306. The vessel 300 is then heated and maintained at an elevated temperature, e.g., between about 95-98° C., through use of an external heating blanket 314 affixed to the outside of the quartz vessel 300. The elevated temperature evaporates portions of the deionized water.
  • The temperature of the deionized water in the [0045] vessel 300 is monitored by a thermocouple 308 inserted into a sheath in the quartz vessel 300. The over temperature trip level of the thermocouple 308 can be set at about 120° C. The thermocouple 308 is connected to a temperature and water level controller 310 for monitoring. The controller 310 adjusts the temperature of the blanket 314 as the liquid temperature in the vessel 300 varies. Over temperature thermocouples (not shown) can also monitor the heater blanket 314 temperature to prevent heater blanket temperature runaway.
  • The level of the deionized water in the [0046] vessel 300 is monitored by a liquid level sensor 312, also connected to the controller 310. The controller 310 adjusts the flow rate through the flow controller 304 to maintain the level of the liquid in the vessel 300. The autofill feature for the deionized water is disabled during a process run (to perform the source drain re-oxidation) so as not to disturb the water vapor delivery.
  • Delivery of the deionized water vapor is achieved by injecting an Ar—H[0047] 2 mixture into the vessel 300 through a mass flow controller 322 at a predetermined rate. The Ar—H2 comes from a source 318. The H2 can originate from a pure hydrogen source or from a breakdown of a hydrogen containing compound such as NH3 or N2H4. The resulting water and argon vapor is transported through a line 316 (which can be a {fraction (1/2)} inch heat taped stainless steel line) maintained at a predetermined temperature, e.g., about 110° C., to prevent recondensation of the vapor.
  • The vapor in the [0048] line 316 flows through a vapor flow controller 324 to a single-wafer process chamber 302. Other conventional RTP process gases are also provided through flow controllers 326 to the processor chamber 302. The flow of H2O can be selected to be in the range between about 1 SCCM (standard cubic centimeters per minute) and 50 SLM (standard liters per minute), with a preferred range of about 1 SCCM to 10 SLM. The flow rate of H2 can be selected to a value in the range between about 1 SCCM and 50 SLM, with a preferred range of about 1 SCCM to 20 SLM. In the AG8108 system, the H2O/H2 partial pressure ratio can be maintained between about 2.3 and 2.8. However, the ratios vary according to the specific types of RTP systems used. The processing temperature in the single-wafer processing chamber can be maintained between about 950° C. and 1100° C. Selective oxidation of silicon over tungsten of about 2:1 can be achieved using the system of FIG. 3.
  • Referring to FIG. 4, an alternative single-wafer RTP system performs source-drain selective re-oxidation using a different transport system. Elements that are the same as in the RTP system of FIG. 1 have common reference numerals. As in the RTP system of FIG. 1, a predetermined amount of deionized water is kept in the vessel [0049] 300 (e.g., half full in a 20-liter vessel). However, delivery of the deionized water vapor is achieved through the use of a vapor flow controller 330, rather than through use of the mass flow controller 322 to pump argon 318 into the vessel 300 to move vapor through the line 316. The pressure in the water vessel 300 can be approximately 960 Torr, which is sufficient to generate ample flow through the vapor flow controller 330. The vapor is then transported through the line 316 to the process chamber 302, with the line temperature maintained at about 110° C. to prevent recondensation of the vapor. Hydrogen is passed through one of the vapor flow controllers 326 to the process chamber 302 to perform selective oxidation of silicon over other materials.
  • Referring to FIG. 5, an RTP system with an external torch assembly is used to create the water vapor flow for selective oxidation. Hydrogen (H[0050] 2) and oxygen (O2) are delivered through vapor flow controllers 350 and 352, respectively, from sources 354 and 356, respectively. The H2 and O2 are delivered to an injector 360 inserted into the external torch assembly 358. The torch assembly 358 is maintained at a temperature of about 900° C. to provide ignition energy. The resulting water vapor and H2 mixture is delivered to the single-wafer process chamber 302 via a quartz tube interface 362.
  • As is the case with the RTP system of FIG. 3, the RTP systems of FIGS. 4 and 5 also maintain a predetermined H[0051] 2O/H2 partial pressure ratio and temperature.
  • In the RTP system of FIG. 5, safety measures are provided by an [0052] external controller 364, which monitors and maintains the external torch element temperature and provides over temperature and runaway safeties. The controller 364 can also implement steps to ensure safety associated with using H2 as a process gas. The safety mechanisms implemented include maintaining a particular H2/O2 ratio. Thus, H2 flow is disabled if no O2 is present; H2 is disabled if the torch temperature is below 700° C.; an initial nitrogen (N2) purge is performed of the injector 360 before the flow of H2 is turned on; and H2 leak detectors are used to determine when to turn off and purge the H2 line if an H2 leak is detected. The controller 364 also monitors the temperature of the process chamber 302 to prevent water vapor from being formed if the process chamber 302 is below 700° C. to prevent recondensation of the water vapor.
  • Other embodiments are also within the scope of the following claims. Although the layers in the multilayer conductive structure have been described with certain thicknesses for each layer, it is contemplated that the layer thicknesses can be varied and still achieve desirable results. The conductive structure described can be formed with a stack having more than three layers. Further, various systems and processes have been described with particular parameters; these parameters can also be varied. The systems described have components associated with certain, specific parameters and values, which can be varied. [0053]

Claims (43)

What is claimed is:
1. A method of forming a multi-layer conductive structure in a semiconductor device, the method comprising:
forming a first layer containing silicon;
depositing a metal layer over the first layer; and
annealing the metal layer in an ambient having a composition selected from a group consisting of nitrogen, NH3, and hydrazine,
wherein a second layer containing silicide is formed over the first layer after annealing.
2. The method of
claim 1
, wherein the second layer contains a metal silicide nitride composition.
3. The method of
claim 1
, further comprising forming a top conductive layer over the second layer.
4. The method of
claim 3
, wherein the first layer, second layer, and top conductive layer form the multi-layer conductive structure.
5. A method of forming a conductive structure in a semiconductor device, the method comprising:
forming a lower electrically conductive layer on a support surface;
forming an electrically conductive barrier layer over the lower layer; and
forming an upper conductive layer over the barrier layer, the upper conductive layer including metal silicide.
6. The method of
claim 5
, wherein the lower layer includes silicon.
7. The method of
claim 5
, wherein the lower layer includes a material selected from the group consisting of polysilicon, SiGex, and amorphous silicon.
8. The method of
claim 5
, wherein the barrier layer includes a metal silicide composition.
9. The method of
claim 5
, wherein the barrier layer includes a metal silicide nitride composition.
10. The method of
claim 5
, wherein the upper conductive layer includes a material selected from the group consisting of TiSix, CoSix, NiSix, and PdSix.
11. A method of forming a conductive structure in a semiconductor device, comprising:
forming a first electrically conductive layer on a base;
forming a barrier layer over the first layer, the barrier layer including a metal silicide; and
forming a second electrically conductive layer over the barrier layer.
12. The method of
claim 11
, wherein the barrier layer includes tungsten silicide.
13. The method of
claim 11
, wherein forming the barrier layer includes using chemical vapor deposition.
14. The method of
claim 11
, wherein forming the barrier layer includes using physical vapor deposition.
15. The method of
claim 11
, wherein forming the barrier layer includes depositing a metal on a layer containing silicon and controlling the metal-silicon structure in a preselected ambient.
16. The method of
claim 15
, wherein the preselected ambient includes NH3.
17. The method of
claim 15
, wherein the preselected ambient includes hydrazine.
18. The method of
claim 15
, wherein the preselected ambient includes nitrogen.
19. A method of oxidizing layers formed on a base of a semiconductor device, the layers including a first layer containing silicon, the method comprising:
generating a predetermined mixture of H2 and H2O;
providing the mixture to a single-wafer thermal processing chamber; and
heating the chamber to selectively oxidize the first layer over one or more other layers.
20. The method of
claim 19
, further comprising:
generating a flow of a mixture containing H2O vapor and H2 by injecting an inert gas.
21. The method of
claim 20
, wherein the inert gas includes Argon.
22. The method of
claim 19
, further comprising:
filling a vessel with deionized water; and
heating the vessel to evaporate a portion of the water.
23. The method of
claim 22
, wherein injecting the inert gas includes injecting the inert gas into the vessel.
24. The method of
claim 19
, wherein heating the processing chamber includes heating the processing chamber to a temperature between about 950° C. and 1100° C.
25. The method of
claim 19
, wherein generating the mixture of H2 and H2O includes injecting H2 and O2 vapor into a heating element.
26. The method of
claim 25
, wherein the heating element includes a torch heater assembly.
27. The method of
claim 26
, further comprising maintaining the torch heater assembly at a temperature above about 900° C. to provide ignition energy.
28. The method of
claim 19
, wherein the one or more other layers include tungsten.
29. The method of
claim 19
, wherein the one or more other layers include a metal nitride.
30. The method of
claim 34
, wherein the one or more other layers include a stack containing second and third layers formed over the first layer, the second and third layers both being electrically conductive.
31. An oxidation system for oxidizing layers formed on a base of a semiconductor device, a first layer containing silicon, the oxidation system comprising:
a source of H2 vapor;
a source of H2O vapor;
a flow controller connected to deliver a mixture of H2O and H2; and
a process chamber in which the semiconductor device is placed, the process chamber connected to receive the mixture of H2O and H2 to perform selective oxidation of the first layer over the other layers.
32. The system of
claim 31
, wherein the source of H2O vapor includes a vessel containing water heated to evaporate a portion of the water.
33. The system of
claim 32
, wherein the inert gas is injected into the vessel.
34. The system of
claim 32
, wherein the H2 vapor is injected with the inert gas.
35. The system of
claim 31
, wherein the H2O/H2 mixture has a partial pressure ratio of between about 2.3 and 2.8.
36. The system of
claim 35
, wherein the process chamber is heated to a temperature between about 950° C. and 1100° C.
37. The system of
claim 31
, wherein the process chamber is a single-wafer process chamber.
38. The method of
claim 31
, wherein the other layers include tungsten.
39. The method of
claim 31
, wherein the other layers include a metal nitride.
40. A selective oxidation system for oxidizing layers formed on a base of a semiconductor device, a first layer containing silicon, the system comprising:
a source of H2 vapor;
a source of O2 vapor;
a heating element connected to receive H2 vapor and O2 vapor, the heating element being heated to generate a mixture of H2O and H2 having a predetermined partial pressure ratio; and
a process chamber in which the semiconductor device is place, the process chamber connected to receive the mixture of H2O and H2 to perform selective oxidation of the first layer over the other layers.
41. The system of
claim 40
, wherein the heating element is heated to above a predetermined temperature to generate H2O vapor.
42. The system of
claim 40
, wherein the heating element includes a torch heater assembly.
43. The system of
claim 42
, wherein the torch heater assembly is maintained at a temperature above about 700° C. to provide ignition energy.
US09/397,763 1998-02-26 1999-09-15 Forming a conductive structure in a semiconductor device Expired - Fee Related US6362086B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/397,763 US6362086B2 (en) 1998-02-26 1999-09-15 Forming a conductive structure in a semiconductor device
US09/620,442 US6596595B1 (en) 1998-02-26 2000-07-20 Forming a conductive structure in a semiconductor device
US10/454,218 US6849544B2 (en) 1998-02-26 2003-06-04 Forming a conductive structure in a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/031,407 US6291868B1 (en) 1998-02-26 1998-02-26 Forming a conductive structure in a semiconductor device
US09/397,763 US6362086B2 (en) 1998-02-26 1999-09-15 Forming a conductive structure in a semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/031,407 Division US6291868B1 (en) 1998-02-26 1998-02-26 Forming a conductive structure in a semiconductor device

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/620,442 Continuation US6596595B1 (en) 1998-02-26 2000-07-20 Forming a conductive structure in a semiconductor device
US09/620,442 Division US6596595B1 (en) 1998-02-26 2000-07-20 Forming a conductive structure in a semiconductor device

Publications (2)

Publication Number Publication Date
US20010014522A1 true US20010014522A1 (en) 2001-08-16
US6362086B2 US6362086B2 (en) 2002-03-26

Family

ID=21859302

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/031,407 Expired - Lifetime US6291868B1 (en) 1998-02-26 1998-02-26 Forming a conductive structure in a semiconductor device
US09/397,763 Expired - Fee Related US6362086B2 (en) 1998-02-26 1999-09-15 Forming a conductive structure in a semiconductor device
US09/620,442 Expired - Lifetime US6596595B1 (en) 1998-02-26 2000-07-20 Forming a conductive structure in a semiconductor device
US10/454,218 Expired - Fee Related US6849544B2 (en) 1998-02-26 2003-06-04 Forming a conductive structure in a semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/031,407 Expired - Lifetime US6291868B1 (en) 1998-02-26 1998-02-26 Forming a conductive structure in a semiconductor device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/620,442 Expired - Lifetime US6596595B1 (en) 1998-02-26 2000-07-20 Forming a conductive structure in a semiconductor device
US10/454,218 Expired - Fee Related US6849544B2 (en) 1998-02-26 2003-06-04 Forming a conductive structure in a semiconductor device

Country Status (1)

Country Link
US (4) US6291868B1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423632B1 (en) * 2000-07-21 2002-07-23 Motorola, Inc. Semiconductor device and a process for forming the same
US20030071316A1 (en) * 2001-08-30 2003-04-17 Fernando Gonzalez Method to chemically remove metal impurities from polycide gate sidewalls
WO2004023529A2 (en) * 2002-08-12 2004-03-18 Mattson Thermal Products Gmbh Device and method for thermally treating semiconductor wafers
US20060024959A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration
US20060289951A1 (en) * 2001-08-22 2006-12-28 Micron Technology Inc. Method of composite gate formation
US20070163997A1 (en) * 2005-06-28 2007-07-19 Micron Technology, Inc. Poly etch without separate oxide decap
US20070211189A1 (en) * 2003-01-15 2007-09-13 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
US20100025782A1 (en) * 2008-07-31 2010-02-04 Uwe Griebenow Technique for reducing silicide non-uniformities in polysilicon gate electrodes by an intermediate diffusion blocking layer
US20130341709A1 (en) * 2012-06-21 2013-12-26 Elpida Memory, Inc. Semiconductor device with electrode including intervention film
US20150376791A1 (en) * 2014-05-15 2015-12-31 International Business Machines Corporation Gas cluster reactor for anisotropic film growth
US20220093763A1 (en) * 2020-09-24 2022-03-24 Winbond Electronics Corp. Memory device and method of forming the same

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080645A (en) 1996-10-29 2000-06-27 Micron Technology, Inc. Method of making a doped silicon diffusion barrier region
US5926730A (en) * 1997-02-19 1999-07-20 Micron Technology, Inc. Conductor layer nitridation
TW471068B (en) * 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JPH10335652A (en) * 1997-05-30 1998-12-18 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JPH10340909A (en) 1997-06-06 1998-12-22 Hitachi Ltd Manufacture of semiconductor integrated circuit device
US6291868B1 (en) 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6150706A (en) 1998-02-27 2000-11-21 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US6682970B1 (en) * 1998-02-27 2004-01-27 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US7034353B2 (en) 1998-02-27 2006-04-25 Micron Technology, Inc. Methods for enhancing capacitors having roughened features to increase charge-storage capacity
JPH11330468A (en) * 1998-05-20 1999-11-30 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP2000183313A (en) * 1998-12-21 2000-06-30 Hitachi Ltd Semiconductor integrated circuit device and manufacture of the same
DE19901210A1 (en) * 1999-01-14 2000-07-27 Siemens Ag Semiconductor component and method for its production
JP3059150B1 (en) * 1999-02-02 2000-07-04 沖電気工業株式会社 Gate electrode structure and method of manufacturing the same
US6436848B1 (en) * 1999-03-30 2002-08-20 Cypress Semiconductor Corp. Method for forming nitrogen-rich silicon oxide-based dielectric materials
KR100313943B1 (en) * 1999-04-22 2001-11-15 김영환 Method for forming gate of semiconductor device
JP2000349285A (en) * 1999-06-04 2000-12-15 Hitachi Ltd Manufacture of semiconductor integrated circuit device and the semiconductor integrated circuit device
TW434704B (en) * 1999-06-11 2001-05-16 Univ Nat Yunlin Sci & Tech Device of amorphous WO3 ion sensitive field effect transistor (ISFET) and method for making the same
JP2001298186A (en) * 2000-04-14 2001-10-26 Hitachi Ltd Semiconductor device and manufacturing method thereof
DE10021871A1 (en) * 2000-05-05 2001-11-15 Infineon Technologies Ag Method for producing a barrier layer in an electronic component and method for producing an electronic component with a barrier layer
JP2001326348A (en) * 2000-05-16 2001-11-22 Mitsubishi Electric Corp Method for manufacturing semiconductor device and semiconductor device
KR100456314B1 (en) * 2000-06-30 2004-11-10 주식회사 하이닉스반도체 Method for forming gate electrode in semiconductor deivce
KR100331861B1 (en) * 2000-07-21 2002-04-09 Hynix Semiconductor Inc Method for fabricating gate electrode of semiconductor device
US6436749B1 (en) * 2000-09-08 2002-08-20 International Business Machines Corporation Method for forming mixed high voltage (HV/LV) transistors for CMOS devices using controlled gate depletion
US6642590B1 (en) * 2000-10-19 2003-11-04 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer and barrier layer for CMOS devices and method of making with a replacement gate process
US7129128B2 (en) * 2001-08-29 2006-10-31 Micron Technology, Inc. Method of improved high K dielectric-polysilicon interface for CMOS devices
US6455406B1 (en) * 2001-11-28 2002-09-24 Micron Technology, Inc. Semiconductor processing method of forming a conductive connection through WxSiyNz material with specific contact opening etching
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US6835659B2 (en) * 2002-06-04 2004-12-28 Micron Technology, Inc. Electrical coupling stack and processes for making same
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
US6884736B2 (en) * 2002-10-07 2005-04-26 Taiwan Semiconductor Manufacturing Co, Ltd. Method of forming contact plug on silicide structure
US6686637B1 (en) * 2002-11-21 2004-02-03 International Business Machines Corporation Gate structure with independently tailored vertical doping profile
JP4275395B2 (en) * 2002-12-11 2009-06-10 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
KR100634163B1 (en) * 2003-02-19 2006-10-16 삼성전자주식회사 Method of forming a semiconductor device having a metal gate electrode
US20060186491A1 (en) * 2003-02-19 2006-08-24 Park Hee-Sook Methods of forming semiconductor devices having metal gate electrodes and related devices
US6844082B2 (en) * 2003-04-28 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate with anodized alumnium coating
US7282443B2 (en) * 2003-06-26 2007-10-16 Micron Technology, Inc. Methods of forming metal silicide
KR100471407B1 (en) * 2003-06-30 2005-03-14 주식회사 하이닉스반도체 Method for fabricating transistor with polymetal gate electrode
US6917537B2 (en) * 2003-08-11 2005-07-12 Northrop Grumman Corporation RSFQ Batcher-banyan switching network
US7012024B2 (en) * 2003-08-15 2006-03-14 Micron Technology, Inc. Methods of forming a transistor with an integrated metal silicide gate electrode
JP4345410B2 (en) * 2003-08-29 2009-10-14 東京エレクトロン株式会社 Oxidation method
MXPA06007048A (en) * 2003-12-18 2007-04-17 Afg Ind Inc Protective layer for optical coatings with enhanced corrosion and scratch resistance.
US7241674B2 (en) * 2004-05-13 2007-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7015126B2 (en) 2004-06-03 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US20060121501A1 (en) * 2004-10-22 2006-06-08 Stanislaw Burzynski Method for immobilizing molecular probes to a semiconductor oxide surface
US7208793B2 (en) * 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
KR100700926B1 (en) * 2005-07-11 2007-03-28 삼성전자주식회사 Method of manufacturing semiconductor device
JP2007123669A (en) * 2005-10-31 2007-05-17 Elpida Memory Inc Method for manufacturing semiconductor device
JP2007134413A (en) * 2005-11-08 2007-05-31 Toshiba Corp Method of manufacturing semiconductor device
JP2007149801A (en) * 2005-11-25 2007-06-14 Nec Electronics Corp Resistive element and its manufacturing method
US7510956B2 (en) * 2006-01-30 2009-03-31 Fressscale Semiconductor, Inc. MOS device with multi-layer gate stack
US20080000882A1 (en) * 2006-06-01 2008-01-03 Vanderlick Stephen W Method and apparatus for a foil to control heat flow from welding a device case
US20080093631A1 (en) * 2006-10-05 2008-04-24 Chi Dong Z Contact structure for semiconductor devices
US8652912B2 (en) 2006-12-08 2014-02-18 Micron Technology, Inc. Methods of fabricating a transistor gate including cobalt silicide
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
KR101994820B1 (en) * 2012-07-26 2019-07-02 에스케이하이닉스 주식회사 Semiconductor with stacked silicon containing layer and metal containing layer and method for fabricating the same
US9461137B1 (en) * 2015-09-11 2016-10-04 Applied Materials, Inc. Tungsten silicide nitride films and methods of formation

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4521952A (en) * 1982-12-02 1985-06-11 International Business Machines Corporation Method of making integrated circuits using metal silicide contacts
US5234501A (en) 1987-09-01 1993-08-10 Tokyo Electron Sagami Limited Oxidation metod
FR2636472B1 (en) * 1988-09-09 1990-11-30 France Etat SELF-ALIGNED FORMATION PROCESS FOR TUNGSTEN SILICIDE
US5083190A (en) * 1989-09-05 1992-01-21 Motorola, Inc. Shared gate CMOS transistor
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
EP0463870B1 (en) 1990-06-26 1999-02-24 Fujitsu Limited Method of plasma treating a resist using hydrogen gas
US5393565A (en) * 1992-06-08 1995-02-28 Fujitsu Limited Method for deposition of a refractory metal nitride and method for formation of a conductive film containing a refractory metal nitride
JP2560178B2 (en) 1992-06-29 1996-12-04 九州電子金属株式会社 Method for manufacturing semiconductor wafer
TW239164B (en) 1992-08-12 1995-01-21 Tokyo Electron Co Ltd
JP3231426B2 (en) 1992-10-28 2001-11-19 富士通株式会社 Hydrogen plasma downflow processing method and hydrogen plasma downflow processing apparatus
JP3207943B2 (en) 1992-11-17 2001-09-10 忠弘 大見 Low temperature oxide film forming apparatus and low temperature oxide film forming method
JP2804700B2 (en) 1993-03-31 1998-09-30 富士通株式会社 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US5381302A (en) * 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US6531730B2 (en) * 1993-08-10 2003-03-11 Micron Technology, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5498562A (en) 1993-04-07 1996-03-12 Micron Technology, Inc. Semiconductor processing methods of forming stacked capacitors
US5341016A (en) * 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
JPH0710935U (en) 1993-07-24 1995-02-14 ヤマハ株式会社 Vertical heat treatment furnace
US5777300A (en) 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
US5492597A (en) 1994-05-13 1996-02-20 Micron Semiconductor, Inc. Method of etching WSix films
US5467424A (en) 1994-07-11 1995-11-14 Gasonics, Inc. Apparatus and method for generating steam
KR0161380B1 (en) * 1994-12-28 1998-12-01 김광호 Transistor of semiconductor device and their manufacturing method
US5576579A (en) * 1995-01-12 1996-11-19 International Business Machines Corporation Tasin oxygen diffusion barrier in multilayer structures
US5597756A (en) 1995-06-21 1997-01-28 Micron Technology, Inc. Process for fabricating a cup-shaped DRAM capacitor using a multi-layer partly-sacrificial stack
US5861340A (en) * 1996-02-15 1999-01-19 Intel Corporation Method of forming a polycide film
US5798296A (en) * 1996-05-17 1998-08-25 Micron Technology, Inc. Method of fabricating a gate having a barrier of titanium silicide
US6067931A (en) 1996-11-04 2000-05-30 General Electric Company Thermal processor for semiconductor wafers
JPH10154711A (en) * 1996-11-25 1998-06-09 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
JP3155487B2 (en) 1997-02-12 2001-04-09 株式会社日立国際電気 Wet oxidation apparatus and wet oxidation method
US6262458B1 (en) * 1997-02-19 2001-07-17 Micron Technology, Inc. Low resistivity titanium silicide structures
US5958508A (en) * 1997-03-31 1999-09-28 Motorlola, Inc. Process for forming a semiconductor device
US6100149A (en) 1997-07-01 2000-08-08 Steag Rtp Systems Method for rapid thermal processing (RTP) of silicon substrates
US5861347A (en) 1997-07-03 1999-01-19 Motorola Inc. Method for forming a high voltage gate dielectric for use in integrated circuit
US6406743B1 (en) * 1997-07-10 2002-06-18 Industrial Technology Research Institute Nickel-silicide formation by electroless Ni deposition on polysilicon
US6159866A (en) * 1998-03-02 2000-12-12 Applied Materials, Inc. Method for insitu vapor generation for forming an oxide on a substrate
US6037273A (en) 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100240880B1 (en) * 1997-08-16 2000-01-15 윤종용 Method for forming gate electrode of semiconductor device
US5952701A (en) * 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5962904A (en) * 1997-09-16 1999-10-05 Micron Technology, Inc. Gate electrode stack with diffusion barrier
US5935650A (en) 1997-10-17 1999-08-10 Lerch; Wilfried Method of oxidation of semiconductor wafers in a rapid thermal processing (RTP) system
US6147388A (en) * 1997-11-24 2000-11-14 Lucent Technologies, Inc. Polycide gate structure with intermediate barrier
US6074910A (en) * 1998-01-27 2000-06-13 Integrated Silicon Solution, Inc. Self-aligned storage node definition in a DRAM that exceeds the photolithography limit
US6100160A (en) 1998-02-17 2000-08-08 Texas Instruments Incorporated Oxide etch barrier formed by nitridation
US6291868B1 (en) 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6207561B1 (en) * 1998-09-29 2001-03-27 Texas Instruments Incorporated Selective oxidation methods for metal oxide deposition on metals in capacitor fabrication
US6245605B1 (en) * 1998-09-29 2001-06-12 Texas Instruments Incorporated Method to protect metal from oxidation during poly-metal gate formation in semiconductor device manufacturing
US6114258A (en) 1998-10-19 2000-09-05 Applied Materials, Inc. Method of oxidizing a substrate in the presence of nitride and oxynitride films
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
JP4150154B2 (en) * 2000-08-21 2008-09-17 株式会社ルネサステクノロジ Semiconductor integrated circuit device
US6642112B1 (en) * 2001-07-30 2003-11-04 Zilog, Inc. Non-oxidizing spacer densification method for manufacturing semiconductor devices

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423632B1 (en) * 2000-07-21 2002-07-23 Motorola, Inc. Semiconductor device and a process for forming the same
US7576398B2 (en) 2001-08-22 2009-08-18 Micron Technology, Inc. Method of composite gate formation
US7323755B2 (en) 2001-08-22 2008-01-29 Micron Technology, Inc. Method of composite gate formation
US7323756B2 (en) 2001-08-22 2008-01-29 Micron Technology, Inc. Method of composite gate formation
US7247920B2 (en) 2001-08-22 2007-07-24 Micron Technology, Inc. Method of composite gate formation
US20060289949A1 (en) * 2001-08-22 2006-12-28 Micron Technology Inc. Method of composite gate formation
US20060289952A1 (en) * 2001-08-22 2006-12-28 Micron Technology Inc. Method of composite gate formation
US20060289950A1 (en) * 2001-08-22 2006-12-28 Micron Technology Inc. Method of composite gate formation
US20060289951A1 (en) * 2001-08-22 2006-12-28 Micron Technology Inc. Method of composite gate formation
US20060261500A1 (en) * 2001-08-30 2006-11-23 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
US20050032335A1 (en) * 2001-08-30 2005-02-10 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
US7678678B2 (en) 2001-08-30 2010-03-16 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
US20030071316A1 (en) * 2001-08-30 2003-04-17 Fernando Gonzalez Method to chemically remove metal impurities from polycide gate sidewalls
US7358171B2 (en) * 2001-08-30 2008-04-15 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
US20060261415A1 (en) * 2001-08-30 2006-11-23 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
WO2004023529A3 (en) * 2002-08-12 2004-05-13 Mattson Thermal Products Gmbh Device and method for thermally treating semiconductor wafers
WO2004023529A2 (en) * 2002-08-12 2004-03-18 Mattson Thermal Products Gmbh Device and method for thermally treating semiconductor wafers
US7151060B2 (en) 2002-08-12 2006-12-19 Mattson Thermal Products Gmbh Device and method for thermally treating semiconductor wafers
US20060105584A1 (en) * 2002-08-12 2006-05-18 Georg Roters Device and method for thermally treating semiconductor wafers
US20070211189A1 (en) * 2003-01-15 2007-09-13 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US20100167437A1 (en) * 2003-01-15 2010-07-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US9299879B2 (en) 2003-01-15 2016-03-29 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US9013650B2 (en) 2003-01-15 2015-04-21 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US8830413B2 (en) 2003-01-15 2014-09-09 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US8508682B2 (en) 2003-01-15 2013-08-13 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US8228454B2 (en) * 2003-01-15 2012-07-24 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method for manufacturing display device using the peeling method
US7714950B2 (en) * 2003-01-15 2010-05-11 Semiconductor Energy Laboratory Co., Ltd Peeling method and method for manufacturing display device using the peeling method
WO2006019603A2 (en) * 2004-07-30 2006-02-23 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration
WO2006019603A3 (en) * 2004-07-30 2006-07-13 Applied Materials Inc Thin tungsten silicide layer deposition and gate metal integration
US20060024959A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration
US20070178705A1 (en) * 2005-06-28 2007-08-02 Micron Technology, Inc. Poly etch without separate oxide decap
US7927500B2 (en) 2005-06-28 2011-04-19 Micron Technology, Inc. Poly etch without separate oxide decap
US7935633B2 (en) 2005-06-28 2011-05-03 Micron Technology, Inc. Poly etch without separate oxide decap
US7442319B2 (en) 2005-06-28 2008-10-28 Micron Technology, Inc. Poly etch without separate oxide decap
US20070163997A1 (en) * 2005-06-28 2007-07-19 Micron Technology, Inc. Poly etch without separate oxide decap
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
DE102008035809B3 (en) * 2008-07-31 2010-03-25 Advanced Micro Devices, Inc., Sunnyvale A technique for reducing the silicide inequalities in polysilicon gate electrodes through an intervening diffusion blocking layer
US20100025782A1 (en) * 2008-07-31 2010-02-04 Uwe Griebenow Technique for reducing silicide non-uniformities in polysilicon gate electrodes by an intermediate diffusion blocking layer
US20130341709A1 (en) * 2012-06-21 2013-12-26 Elpida Memory, Inc. Semiconductor device with electrode including intervention film
US20150376791A1 (en) * 2014-05-15 2015-12-31 International Business Machines Corporation Gas cluster reactor for anisotropic film growth
US20220093763A1 (en) * 2020-09-24 2022-03-24 Winbond Electronics Corp. Memory device and method of forming the same
US11705495B2 (en) * 2020-09-24 2023-07-18 Winbond Electronics Corp. Memory device and method of forming the same

Also Published As

Publication number Publication date
US6596595B1 (en) 2003-07-22
US6362086B2 (en) 2002-03-26
US20030207556A1 (en) 2003-11-06
US6849544B2 (en) 2005-02-01
US6291868B1 (en) 2001-09-18

Similar Documents

Publication Publication Date Title
US6596595B1 (en) Forming a conductive structure in a semiconductor device
US6373114B1 (en) Barrier in gate stack for improved gate dielectric integrity
KR100530401B1 (en) Semiconductor device having a low-resistance gate electrode
JP3132750B2 (en) Multilayer structure, semiconductor structure, capacitor of semiconductor device, method of preventing oxidation of silicon structure, and method of preventing diffusion of dopant
JP3851752B2 (en) Manufacturing method of semiconductor device
US5760474A (en) Capacitor, integrated circuitry, diffusion barriers, and method for forming an electrically conductive diffusion barrier
US6743668B2 (en) Process for forming a metal oxy-nitride dielectric layer by varying the flow rate of nitrogen into the chamber
US6613654B1 (en) Fabrication of semiconductor devices with transition metal boride films as diffusion barriers
US9064854B2 (en) Semiconductor device with gate stack structure
US6514841B2 (en) Method for manufacturing gate structure for use in semiconductor device
KR100755121B1 (en) Method for forming electrode structure and method for fabricating semiconductor device
KR100530431B1 (en) Process for forming a semiconductor device
KR20010014828A (en) Method of manufacturing semiconductor device
JP4347479B2 (en) Field effect transistor
JP2908774B2 (en) Bit line of semiconductor device and method of manufacturing the same
US6432801B1 (en) Gate electrode in a semiconductor device and method for forming thereof
KR20020016312A (en) The method of fabricating tungsten-gate
JPH05291567A (en) Semiconductor device and its manufacture
KR20010011553A (en) method of forming a gate electrode in semiconductor device
JPH09237769A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20140326