US20010003271A1 - Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film - Google Patents

Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film Download PDF

Info

Publication number
US20010003271A1
US20010003271A1 US09/731,722 US73172200A US2001003271A1 US 20010003271 A1 US20010003271 A1 US 20010003271A1 US 73172200 A US73172200 A US 73172200A US 2001003271 A1 US2001003271 A1 US 2001003271A1
Authority
US
United States
Prior art keywords
gas
chamber
film
processing apparatus
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/731,722
Inventor
Hayashi Otsuki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OTSUKI, HAYASHI
Publication of US20010003271A1 publication Critical patent/US20010003271A1/en
Priority to US10/444,957 priority Critical patent/US7846291B2/en
Priority to US11/980,570 priority patent/US20080069966A1/en
Priority to US11/980,596 priority patent/US7879179B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Definitions

  • a present invention relates to a processing chamber having a mounted chamber having a high-corrosion-resistant film and applied to a film forming apparatus, heat processing apparatus and etching apparatus as used in a CVD (Chemical Vapor Deposition), etc.
  • CVD Chemical Vapor Deposition
  • a barrier layer is formed on the bottom and inner wall of the hole before forming a buried layer in the contact hole or via hole and then such a buried layer is formed.
  • a TiN film is known as a barrier layer.
  • a high dielectric constant material such as Ta 2 O 5 is used as a capacitor gate material to obtain a higher capacitance without changing its scale.
  • a higher dielectric constant material is not stabler in characteristic than SiO 2 conventionally used as the capacitor gate material. If a poly-Si is used on the overlying electrode, it is oxidized due to the chemical reaction after the formation of the capacitance, thus failing to manufacture a device element of stable characteristics. It is, therefore, necessary that a less-oxidized TiN film be used as an overlying electrode.
  • the TiN film has been formed by using a physical vapor deposition (PVD) technique and a demand has been made for a finer and higher integration device in particular.
  • PVD physical vapor deposition
  • the design rules are particularly stringent.
  • CVD chemical vapor deposition
  • a thermal CVD is used, in which TiCl 4 and NH 3 (ammonia) or MMH (monomethylhydrazine) is applied, as a reaction gas, to a heated substrate.
  • the TiN film being a columnar crystal, is liable to be boundary-diffused and involves a lower barrier characteristic.
  • the lower barrier characteristic presents a problem in the case where the TiN film is used as a barrier layer for a Cu connection line or an oxygen diffusion barrier for Ta 2 O 5 connection line of an electrode overlying the capacitor. That is, a problem occurs due to the corrosion of the Cu connection line by the residual chlorine or a lowering of a capacitance of Ta 2 O 5 by the diffusion of oxygen.
  • An amount of Cl in the formed film can be indeed reduced by making a film formation temperature higher.
  • a high temperature process is not preferable due to a problem, such as thermal resistance and the corrosion, of a connection line material such as Cu and Al.
  • ICP Inductively Coupled Plasma
  • an antenna member such as a coil is provided around a bell jar (chamber).
  • an inductive electromagnetic field is created to provide plasma.
  • the TiN film becomes low-resistance and low in chlorine, and even a film formed at a relatively low temperature is made low in an amount of residual chlorine.
  • a deposit is formed on the inner wall of the chamber due to the introduction of a process gas from above the chamber and a foreign deposit is liable to be formed.
  • the plasma created is attenuated due to the deposit of a conductive film on the inner wall of the upper chamber, thus making it difficult to form a film.
  • An object of the present invention is to provide a processing apparatus having a chamber applied to a film forming apparatus, heat processing apparatus and etching apparatus and having a high-corrosion-resistant property and less liable to deposit a product by a process gas or a product, such as an etching product, on its inner wall thereof.
  • the thus constructed processing apparatus has a mounted chamber holding a to-be-processed substrate and having members for work-processing the substrate by any of heating, plasma, process gas or a combination thereof, in which a film of Al 2 O 3 and Y 2 O 3 is formed on the inner wall surface of the chamber and on the exposed surfaces of the members within the chamber, the Al 2 O 3 /Y 2 O 3 weight ratio being above 0.5.
  • the Al 2 O 3 /Y 2 O 3 weight ratio is in a range above 0.5 but below 4.
  • the thickness of the formed film is above 50 ⁇ m.
  • FIG. 1 is a view showing a practical structure of a plasma CVD apparatus according to a first embodiment of the present invention
  • FIGS. 2A and 2B are views showing a sample of a test by etching and evaluation standard
  • FIG. 3 is a view showing a relation of members in an etching time to an etched amount
  • FIG. 7 is a view showing a rate of a composite oxide when the Al 2 O 3 /Y 2 O 3 weight ratio of a sprayed film varies;
  • FIG. 8 is a view showing a relation of an Al 2 O 3 /Y 2 O 3 weight ratio of a sprayed film to an etched amount
  • FIG. 9 is a view showing an X-ray diffraction pattern of a sprayed film when sprayed is made using a YAG;
  • FIG. 10 is a view showing a practical form of a plasma CVD apparatus according to a second embodiment
  • FIG. 11 is a view showing a practical form of a plasma CVD apparatus according to a third embodiment
  • FIG. 12 is a view showing a practical structure of a plasma CVD apparatus according to a fourth embodiment
  • FIGS. 13A and 13B show a practical structure of a shower head in the fourth embodiment
  • FIGS. 14A and 14B are a view showing a modified structure of the shower head in the fourth embodiment
  • FIG. 15 is a view showing practical structures of head section
  • FIG. 16 is a perspective view showing a first modified structure of a gas discharge member
  • FIG. 17 is a perspective view showing a second modified structure of a gas discharge member
  • FIG. 18 is a view showing one form of a lower-height thin type chamber
  • FIG. 19 is a view showing one form of a semi-spherical type chamber
  • FIG. 20 is a view showing one form of a dome-type chamber
  • FIG. 21 is a view showing a practical structure of a heat processing apparatus
  • FIG. 22 is a view showing a practical structure of an ashing apparatus
  • FIG. 23 is a view showing a practical structure of an etching apparatus.
  • FIG. 24 is a view showing a relation of a breakdown voltage to the thickness of the sprayed film in the respective embodiment of the present invention.
  • FIG. 1 is a cross-sectional view showing a practical example applied to a CVD film forming apparatus as a first embodiment of the present invention in a processing apparatus having a mounted chamber having a high corrosion-resistant thermal sprayed film.
  • the film forming apparatus of the present invention is directed to forming, for example, a TiN thin film.
  • the film forming apparatus 10 includes a chamber 11 having a lower chamber 11 a and upper chamber 11 formed as an integral unit in a hermetically sealable way.
  • the upper chamber 11 b is made smaller in diameter than the lower chamber 11 a .
  • the lower chamber 11 a is comprised of an electric conductor 12 , such as aluminum, whose surface is anadigation processed for example.
  • the upper chamber 11 b comprises a base material 13 of, for example, a ceramic material and a sprayed film 14 .
  • the film 14 may contain oxide of Y, Sc, La, Ce, Eu, Dy or the like, or fluoride of one of these metals.
  • the film 14 may be made of a compound of a III-a element of the periodic table, such as Y 2 O 3 . Needless to say, the film 14 may be made of such a compound and any other material.
  • the film 14 containing a compound of a III-a element is a sprayed film that substantially comprises Al2O3 and Y 2 O 3 .
  • the material of the chamber use can be made of ceramic (Al 2 O 3 , SiO 2 , AlN etc.,), aluminum or stainless steel, metal or metal alloy.
  • a weight ratio of Al 2 O 3 /Y 2 O 3 of the sprayed film 14 is preferably 0.5 to 4. It is to be noted that FIG. 8 simply shows data of the weight ratio of up to 1.5.
  • the sprayed film 14 it may be possible to spray an Al 2 O 3 and Y 2 O 3 compound or to spray, for example, yttrium-aluminum-garnet (YAG) in a composite oxide state of the above-mentioned composition range.
  • the thickness of the sprayed film is based on the insulation withstand characteristic for plasma generation and, from the standpoint of the breakdown voltage characteristic shown in, for example, FIG. 2, may be of the order of at least 50 ⁇ m and preferably of the order of 50 ⁇ m but not above 300 ⁇ m from the standpoint of a manufacturing process and cost.
  • the ceramics of the base material 13 may be Al 2 O 3 , SiO 2 , such as silica glass and quartz, AlN, alternatively, rigid plastic may be used, and, here, the sprayed film as set out above is not formed on the inner wall of the upper chamber 11 b but it may be formed there.
  • an insulating plate 15 of ceramic, etc., and support base 16 are provided and a substantially cylindrical susceptor 17 is provided on the support base 16 to place a semiconductor wafer (hereinafter referred to as a wafer) as a to-be-processed object on it.
  • a wafer semiconductor wafer
  • a coolant chamber 18 is provided in the interior of the support base 16 to receive the coolant via a coolant introducing tube 19 .
  • the coolant is discharged out of a discharge tube 20 .
  • a cold is conducted through the susceptor 17 to the wafer W.
  • a heating element 21 is buried in the susceptor 17 to heat the wafer W to a predetermined temperature by supplying power from a power source 22 .
  • a controller 23 is connected to the power source 22 . And the temperature of the wafer W is controlled by a cold of the coolant and heat of the heating element.
  • an electrostatic chuck 24 is provided which is substantially the same in configuration as the wafer W.
  • the electrostatic chuck 24 is so formed as to have an electrode 26 buried in an insulating material 25 .
  • the wafer W is electrostatically attracted by a Coulomb force, etc., generated by applying a DC voltage from a DC power source 27 to the electrode 26 .
  • a focusing ring 28 is provided at the outer peripheral portion of an upper surface of the electrostatic chuck 24 to surround the wafer W.
  • the above-mentioned sprayed film 14 is formed on those exposed surfaces of the support base 16 , susceptor 17 , electrostatic chuck 24 and focusing ring 28 within the chamber.
  • a shower head member 30 is provided above the chamber 11 b .
  • many gas discharge holes 30 a (Ar, ClF 3 ), 30 b (Ar, TiCl 4 ) and 30 c (NH 3 ) are alternately formed to discharge gases in a down direction within the chamber.
  • the pipes of a gas supply system 40 are connected to the shower head member 30 .
  • a pipe 55 for supplying a gas (Ar, ClF 3 ) is connected to the gas discharge holes 30 a
  • a pipe 56 for supplying a gas (Ar, TiCl 4 ) is connected to the gas discharge holes 30 b
  • a pipe 57 for supplying a gas (NH 3 ) is connected to the gas discharge holes 30 c .
  • the shower head member 30 is comprised of, for example, a three-layers-stacked structure of three gas dispersion plate and has discharge plate having the gas discharge holes.
  • the respective gas discharge plate has a groove and holes for allowing one kind of gas to be dispersed evenness to a whole gas discharge surface area in the head.
  • the discharge holes of the gas dispersion plate are so formed as not be overlapped with the discharge holes of the other gas dispersion plate.
  • the gas discharge holes are arranged in a matrix array upon viewing the gas discharge hole surface side from below. And a post-mixing system is adopted according to which TiCl 4 gas and NH 3 gas are discharged from the alternately formed different discharge holes and these gases are mixed as a process gas after being discharged.
  • the gas supply system 40 has a ClF 3 supply source 41 for supplying ClF 3 as a cleaning gas, Ar supply sources 42 and 43 for supplying Ar, a TiCl 4 supply source 44 for supplying TiCl 4 as a process gas and an NH 3 supply source 45 for supplying NH 3 as a process gas.
  • a gas line 46 is connected to the ClF 3 supply source 41
  • gas lines 47 and 48 are connected to the Ar supply sources 42 and 43 , respectively
  • a gas line 49 is connected to the TiCl 4 supply source 44 and a gas line 50 is connected to the NH 3 supply source 45 .
  • a valve 51 ( 51 a , 51 b ) and mass flow controller 52 are provided at these gas lines.
  • the gas line 46 extending from the ClF 3 supply source 41 is joined and the gas line 46 extending form the ClF 3 supply source 41 is joined.
  • the gas line 46 extending form the ClF 3 supply source 41 is joined into a gas line 53 .
  • ClF 3 serving as a cleaning gas is passed through the gas line 46 and pipe 53 and reaches the shower head 30 to allow it to be introduced via the gas discharge holes 30 a into the upper chamber 11 b .
  • Ar alone is supplied from the Ar supply source 42 .
  • the gas line 49 extending from the TiCl 4 supply source 44 is joined.
  • TiCl 4 gas passed through the gas line 49 and pipe 54 is carried by the argon gas and reaches the shower head 30 .
  • the TiCl 4 gas is introduced from the gas discharge holes 30 b into the chamber 11 .
  • the NH 3 gas is supplied from the NH 3 supply source 45 past the gas line 50 and pipe 55 to the shower head 30 and introduced from the gas discharge holes 30 c into the upper chamber 11 b .
  • monomethylhydrazine MMH may be used.
  • the exhaust tube 61 is provided which is connected to an exhaust apparatus 62 including a vacuum pump. By operating the exhaust apparatus 62 it is possible to reduce pressure in the chamber 11 to a predetermined vacuum level.
  • a gate valve 63 is provided at the sidewall of the lower chamber 11 a and, in its open state, allows the wafer W to be passed into and out of an outside, for example, an adjacent load lock chamber, not shown.
  • a coil 65 as an antenna member is wound around the upper chamber 11 b and a high frequency power source 66 is connected to the coil 65 .
  • the high frequency power source 66 has a frequency of, for example, 13.65 MHz.
  • a cooling mechanism 67 using a cooling medium such as a coolant and a cooling source 68 for driving this are provided.
  • the gate valve 63 is opened and, in its open state, a wafer W is loaded into the chamber 11 and placed onto the electrostatic chuck 24 .
  • the wafer W is attracted to the electrostatic chuck 24 by applying a voltage to the electrode 26 .
  • the gate valve 63 is closed, and the interior of the chamber 11 is evacuated by the exhaust system 62 to a predetermined vacuum level.
  • a high frequency power is supplied from the high frequency power source 66 to the coil 65 to create an inductive electromagnetic field within the upper chamber 11 b . Plasma is generated under this high frequency electric field.
  • a predetermined amount of NH 2 gas and TiCl 4 gas are introduced into the upper chamber 11 b from the NH 3 supply source 45 and TiCl 4 supply source 44 to generate plasma and are brought to the lower chamber 11 a side.
  • a TiN thin film is formed onto the wafer W.
  • the formation of the TiN thin film is effected at a temperature of about 300 to 450° C. by controlling an output to the heating element 21 and an amount of flow of a coolant.
  • the wafer W is unloaded out of the chamber 11 and the ClF 3 gas serving as a cleaning gas is introduced into the chamber 11 to clean the interior of the chamber.
  • the inner wall of the upper chamber 11 b is attacked by the plasma generated in the upper chamber 11 b and exposed to the ClF 3 gas (etching gas) at a cleaning time.
  • ClF 3 gas etching gas
  • no adequate corrosion resistance was not obtained in a conventional chamber made of quartz and Al 2 O 3 with the resultant disadvantage of a short life.
  • the sprayed film 14 has insulating property because it contains 6 a III-a group element of the periodic table. Use can be made of, as the basic material, various kinds of materials such as ceramic herein used, aluminum, stainless steel, rigid plastic (engineering plastic) etc. Further, the sprayed film 14 is lower in cost than a sintered product and has a greater merit of forming a film for a short period of time. It is to be noted that such sprayed film may be formed on the inner wall of the lower chamber 11 a and can enhance a corrosion resistance of the lower chamber 11 a.
  • Eight types of samples were used, each comprised a 20 ⁇ 20 ⁇ 2 mm aluminum base, a 200 ⁇ m-thick first sprayed film made of Al 2 O 3 and Y 2 O 3 and formed on the aluminum base, and a 200 ⁇ m-thick second sprayed film formed on the first sprayed film.
  • the first sprayed film is made of Y 2 O 3 in the first type sample, Sc 2 O 3 in the second type sample, Sc 2 F 3 in the third type sample, YF 3 in the fourth type sample, La 2 O 3 in the fifth fifty type sample, CeO 2 in the sixth type sample, Eu 2 O 3 in the seventh type sample, and DyO 3 in the eighth type sample.
  • the sample was, while leaving a central area of 10 mm square, masked at its outer peripheral area with a polyimide film and irradiated with plasma.
  • a plasma-resistant property was evaluated with an etched amount.
  • the etched amount was evaluated with its depth, as shown in FIG. 2B, with the use of a surface roughness meter.
  • samples of other materials were also evaluated in terms of the corrosion-resistant property.
  • the result of evaluation is as shown in FIG. 3.
  • the etched amount is shown with the etched amount of alumina standardized as “1”.
  • the films containing a III-a element of the periodic table had higher corrosion resistance to plasma than the other materials.
  • the sprayed film made of Al 2 O 3 and Y 2 O 3 exhibited a particularly high corrosion resistance since it was of YAG composition.
  • FIGS. 4, 5 and 6 show and X-ray diffraction pattern each. As shown in these figures, for any of the sprayed films, diffraction peaks corresponding to the Al 2 O 3 and Y 2 O 3 crystals are dominant but the diffraction peaks of composite oxides, such as YAlO 3 and Y 4 Al 2 O 9 were also confirmed. It is found that the producing rate of these composite oxides is increased with an increase in the weight ratio of Al 2 O 3 /Y 2 O 3 as shown in FIG. 7.
  • FIG. 10 is a cross-sectional view showing a CVD apparatus according to the second embodiment.
  • a chamber, shower head and gas supply system are different in structure from those of the first embodiment.
  • the same reference numerals are employed to designate parts or elements corresponding in structure to those shown in FIG. 1 and any further explanation is, therefore, omitted.
  • the pipes of the gas supply system 40 are connected to the shower head member 70 .
  • a pipe 81 for supplying an Ar gas and ClF 2 gas is connected to the gas discharge holes 70 a
  • a pipe 82 for supplying a TiCl 4 gas and Ar gas is connected to the gas discharge holes 70 b
  • a pipe 83 for supplying an NH 3 gas is connected to the gas discharge holes 70 c .
  • Pipe-like gas discharge members 71 and 72 extending from the upper chamber 11 c toward the upper zone of the lower chamber 11 a are connected to the gas discharge holes 70 b and 70 c .
  • a gas discharge hole 71 a is formed in the gas discharge member 71 and a gas discharge hole 72 a is formed in the gas discharge member 72 .
  • a gas line 47 extending from an Ar supply source 42 and gas line 46 extending from a ClF 3 supply source 41 are connected to the pipe 81 .
  • the Ar gas and ClF 3 gas are introduced from the pipe 81 into the upper chamber 11 c via the gas discharge hole 70 a , noting that the Ar gas alone is sometimes supplied there.
  • a gas line 49 extending from a TiCl 4 supply source 44 and gas line 48 extending from an Ar supply source 43 are connected to the pipe 82 .
  • the TiCl 4 gas using an Ar gas as a carrier gas is introduced from the pipe 82 through the gas discharge hole 70 b and gas discharge hole 71 a in the gas discharge member 71 into the upper zone of the lower chamber 11 a .
  • a gas line 50 extending from an NH 3 supply source 43 is connected to the pipe 83 and the NH 3 gas is introduced from the pipe 83 through a gas discharge hole 70 c and gas discharge hole 72 a in the gas discharge member 72 into the upper zone of the lower chamber 11 a.
  • the TiCl 4 gas and NH 3 gas are supplied directly into the upper zone of the lower chamber 11 a without passing through the upper chamber 11 c . After so discharged, these gases are mixed within the lower chamber 11 a .
  • the gas line 46 extending from the ClF 3 supply source 41 is joined into the gas line 81 and, by opening a valve 51 on the gas line 46 , the ClF 3 as a cleaning gas is supplied past the gas line 46 and then the pipe 81 to the shower head 70 to allow the ClF 3 gas to be introduced via the discharge hole 70 a into the upper chamber 11 c.
  • a wafer W is loaded into the chamber 11 and the Ar gas as a plasma generation gas is introduced via the gas discharge holes 70 a in the shower head into the upper chamber 11 c .
  • the Ar gas as a plasma generation gas is introduced via the gas discharge holes 70 a in the shower head into the upper chamber 11 c .
  • the film-formed semiconductor wafer is externally unloaded out of the chamber 11 and a ClF 3 gas serving as a cleaning gas is introduced into the chamber 11 to clean the inner wall of the chamber.
  • the Ar gas alone for plasma generation is supplied into the upper chamber 11 c and the TiCl 4 gas and NH 3 gas, serving as a process gas, are supplied directly into the lower chamber 11 a via the gas discharge members 71 and 72 , so that the process gas almost never reaches the inner wall of the upper chamber 11 c . As a result, almost no deposit resulting from the process gas is formed on the inner wall of the upper chamber 11 c.
  • FIG. 11 is a cross-sectional view showing a CVD apparatus according to a third embodiment of the present invention.
  • This embodiment constitutes a combined structure of a lower chamber 11 a similar to that in the first embodiment shown in FIG. 1 and an upper chamber 11 c similar to that of the second embodiment shown in FIG. 10.
  • a sprayed film 14 of mainly Al 2 O 3 and Y 2 O 3 having an insulating property and high corrosion resistance as set out above is formed on the inner wall of the upper chamber 11 b .
  • the same reference numerals are employed to designate parts or elements corresponding in structure to those shown in FIGS. 1 and 10 and any further explanation is, therefore, omitted.
  • a high-corrosion-resistant sprayed film 14 is formed on the inner wall of the upper chamber 11 c and, even if plasma and cleaning gas are contacted with the inner wall, is hard to be etched to provide a longer service life to the chamber. In addition, almost no deposit resulting from the process gas is formed on the upper chamber 11 b . As a result, unlike the prior art technique, there is no inconvenience of the plasma being attenuated by a conductive film deposited on the inner wall of the chamber and hence no difficulty is encountered in the formation of a film.
  • a sprayed film may be formed on the inner wall of the lower chamber 11 a and, by forming such a sprayed film, it is possible to improve a high corrosion resistance to the lower chamber 11 a.
  • a gas supplied from the gas supply system 40 is discharged and directed toward a central area at the upper zone of the lower chamber 11 a and diffused onto a wafer W.
  • a deposit resulting from a process gas is almost hardly formed on the inner wall of the upper chamber 11 d .
  • a sprayed film 14 is formed on the inner wall of the upper chamber and, even if plasma and cleaning gas are contacted with the inner wall, etching is less liable to occur and it is possible to extend the service life of the chamber.
  • FIGS. 13A and 13B each shows a practical structure of a shower head 81 in this embodiment.
  • the shower head 81 is comprised of a ring-type three-layered structure and so designed as to collect gases in the respective outer head sections into a middle-layer head section. That is, ring-like diffusion channels 84 a , 85 a and 86 a are provided in the head sections 84 , 85 and 86 to allow the process gas to be introduced into the chamber.
  • a plurality of discharge holes 84 b (NH 3 gas), discharge holes 85 b (Ar gas) and discharge holes 86 b (TiCl 4 gas or ClF 3 gas) leading to the diffusion channels 84 a , 85 a and 86 a are provided in a middle layer of the head section 85 in one array to allow a corresponding gas to be discharged in a horizontal direction.
  • these discharge holes are so arranged as to allow different kinds of process gases to be sequentially discharged with an argon gas in between.
  • the discharge holes 85 b , 86 b , 85 b , 84 b , 85 b , 86 b , . . . that is, an Ar gas, TiCl 4 gas or ClF 3 gas, Ar gas, NH 3 gas, Ar gas, TiCl 4 gas or ClF 3 gas, . . . are used.
  • FIG. 15 Various practical structures as shown in FIG. 15 are realized depending upon the order in which these head sections are stacked. In these structures, six cases are shown in FIG. 15. It is to be noted that the TiCl 4 gas and ClF 3 gas are switchingly used at a film forming time and cleaning time but that independent corresponding discharge holes may be provided for these gases.
  • the shower heads for introducing the gases into the chamber can be variously changed or modified in the embodiment of the present invention.
  • a shower head is so configured as to have one gas introducing member 95 for allowing a process gas to be supplied from the top side of an upper bell jar onto a wafer W within a lower chamber and a gas discharge section 96 connected to the lower end of the gas introducing member 95 and having a plurality of discharge holes to allow a gas to be spirally supplied down toward the wafer W.
  • a shower head comprises one gas introducing member 97 similar to the gas introducing member 95 and a plurality of gas discharge sections 98 connected to the lower end of the gas introducing member 97 , provided in an outwardly branched fashion and having a plurality of discharge holes so provided as to allow a gas to be discharged down onto a wafer W.
  • two kinds of process gases are separately individually introduced into the chamber, these gases may be introduced together.
  • the present invention is not restricted thereto and other films may be formed.
  • a Cl-containing gas is used as a feed gas and the present invention is effective to the formation of a film using these materials.
  • TiN, Ti, TiSiN, SiN, Ta, TaN, Ta 2 O 5 , PZT, BST, RuO and ZrO As such materials, use is made of, for example, TiN, Ti, TiSiN, SiN, Ta, TaN, Ta 2 O 5 , PZT, BST, RuO and ZrO; a LOWK material, such as SiOF recently used as an insulating interlayer of a low dielectric constant; and Ta, TaN used as a barrier of Cu and SiN used as a etching stop.
  • FIGS. 18, 19 and 20 Those applied forms of chambers provided in the processing apparatus according to the above-mentioned embodiments will be explained below with reference to FIGS. 18, 19 and 20 .
  • the lower chamber 11 a shown in FIG. 1 is taken as an example and, as a gas supply system, a gas supply system for supplying a gas from the sidewall of the chamber shown in FIG. 12 is taken as an example.
  • a gas supply system for supplying a gas from the sidewall of the chamber shown in FIG. 12 is taken as an example.
  • FIG. 18 is one example of a lower-height thin type chamber.
  • This thin type chamber 11 e is thin and has a height (depth) H of, for example, about 0.65 to 10 cm and, preferably, 0.65 to 5 cm.
  • the distance from the inner top surface of the chamber to a wafer W is about 3.8 to 30 cm and, preferably, 3.8 to 20 cm. Since, in this way, the chamber has a lower-volume shape, an exposed area within the chamber is smaller and hence the apparatus is smaller and ensures an easier maintenance. It is, therefore, possible to alleviate an evacuation load on the system 62 .
  • An upper chamber 11 f shown in FIG. 19 is of a semi-spherical type, that is, a bell jar type and an upper chamber 11 g shown in FIG. 20 is of a dome-shaped type. According to these upper chambers, the distribution of an electric field by an antenna relative to the wafer W is made uniform compared with that of a box-type chamber and hence the thickness profile of a formed film, etching, etc., are made uniform.
  • FIG. 21 is a view showing a practical structure applied to a heat processing apparatus as a fifth embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention.
  • the heat processing apparatus 101 can perform an anneal process for promoting recrystallization of a formed film and a thermal oxidation process.
  • This heat processing apparatus 101 has a susceptor 103 located within a chamber 102 to support a wafer W, a wafer lifting mechanism 105 provided below the susceptor 103 to allow the wafer W to be lifted up by a plurality of lift pins 104 at a transfer of the wafer W, a heater 106 provided in the susceptor 103 and a gas flow chamber 107 for hermetically supplying a gas such as an Ar gas and oxygen gas onto the wafer W.
  • a gas flow chamber 107 for hermetically supplying a gas such as an Ar gas and oxygen gas onto the wafer W.
  • a sprayed film 14 of Al 2 O 3 /Y 2 O 3 is formed on the inner surface of the gas flow chamber 107 and on the surface of a guide section 108 provided on the susceptor 103 to guide a wafer mounting position and, by doing so, it is possible to obtain the same effect as in the above-mentioned respective embodiments.
  • FIG. 22 is a view showing a practical structure applied to an ashing apparatus as a sixth embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention.
  • the ashing apparatus comprises a hermetically sealable chamber 111 having a lower chamber 111 a and upper chamber 111 b , a susceptor 112 on which a wafer W is placed, a heater 113 provided in the susceptor 112 to heat the wafer W, a gas supply system, not shown, for supplying a process gas such as oxygen, and an evacuation apparatus for evacuating the interior of the chamber 111 .
  • a high-corrosion-resistant sprayed film is formed on the whole inner surface of the upper chamber 111 b and on the sidewall of the lower chamber 111 a except the inner bottom surface and, by doing so, it is possible to obtain the same effect as in the above-mentioned respective embodiment.
  • FIG. 23 is a view showing a practical structure applied to an etching apparatus as a seventh embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention.
  • a sprayed film 14 is formed, as the above-mentioned case, on exposed surfaces of the susceptor 123 , focusing ring 130 , shower head 122 and shield ring 128 within the chamber and further on the inner upper surface and inner bottom surface of the chamber 121 .
  • a sprayed film of substantially Al 2 O 3 /Y 2 O 3 whose weight ratio is above 0.5 is formed on the inner wall of the chamber and, by doing so, the chamber is less liable to be etched under a plasma and cleaning gas due to the presence of the high-corrosion resistant sprayed film.
  • the present invention can be preferably applied to the film formation, etching, ashing and heat treatment on a less-etching thermal sprayed film of the chamber.
  • the gas supply system supplies a process gas near the upper zone of a wafer within the chamber, the gas hardly reaches the inner wall of the chamber and almost no product is deposited on the wall.
  • a material for the chamber use can be made of a ceramic (Al 2 O 3 , SiO 2 , AlN, etc.), aluminum, stainless steel, metal or alloy.

Abstract

A processing apparatus of the present invention has a mounted chamber holding a semiconductor wafer and having members for work-processing the substrate under any of heating, plasma and process gas or a combination of them, in which a film of Al2O3 and Y2O3 is formed on an inner wall surface of the chamber and on those exposed surface of the members within the chamber and has a high-corrosion resistance and insulating property and, when the process gas is introduced onto a processing surface of a semiconductor wafer and diffused into it, any product is less liable to be deposited on a plasma generation area and on those members held within the chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 11-352018, filed Dec. 10, 1999, the entire contents of which are incorporated herein by reference. [0001]
  • BACKGROUND OF THE INVENTION
  • A present invention relates to a processing chamber having a mounted chamber having a high-corrosion-resistant film and applied to a film forming apparatus, heat processing apparatus and etching apparatus as used in a CVD (Chemical Vapor Deposition), etc. [0002]
  • In response to a recent demand for a high density and high integration unit, a semiconductor device is progressed from a two-dimensional connection structure toward a three-dimensional multi-connection structure. For this reason, the burying technique for electrical interlayer connection using a contact hole for connection between an underlying circuit element and an overlying connection layer and a via hole for connection between an underlying connection layer and an overlying connection layer, and so on, is becoming important. For the burying of the contact hole and via hole, use is made of Al (aluminum), W (tungsten) or an alloy including these as a main component. [0003]
  • At the burying step using aluminum or aluminum alloy, a heating step and so on are involved during a manufacture. If the aluminum connection line and underlying silicon (Si) substrate are directly contacted with each other, there is a risk that there will occur a “Si-sucking-up” effect of aluminum, etc., at its boundary area and an alloy will be newly formed there. The alloy thus formed is greater in the value of a resistance and is not desirable from the standpoint of a power saving and high-speed operation demanded of a resultant device. Further, when tungsten or tungsten alloy is used as a burying layer in the contact hole, WF[0004] 6 gas intrudes into the silicon substrate, thus offering a possibility of deteriorating the electrical characteristic, etc., of the device. This is, therefore, not preferable.
  • In order to prevent the occurrence of such a problem, a barrier layer is formed on the bottom and inner wall of the hole before forming a buried layer in the contact hole or via hole and then such a buried layer is formed. Generally, a TiN film is known as a barrier layer. [0005]
  • With a trend toward the high-density integration, on the other hand, a high dielectric constant material such as Ta[0006] 2O5 is used as a capacitor gate material to obtain a higher capacitance without changing its scale. However, such a higher dielectric constant material is not stabler in characteristic than SiO2 conventionally used as the capacitor gate material. If a poly-Si is used on the overlying electrode, it is oxidized due to the chemical reaction after the formation of the capacitance, thus failing to manufacture a device element of stable characteristics. It is, therefore, necessary that a less-oxidized TiN film be used as an overlying electrode.
  • The TiN film has been formed by using a physical vapor deposition (PVD) technique and a demand has been made for a finer and higher integration device in particular. In addition, the design rules are particularly stringent. Hence, in PVD that can hardly achieve high coverage. Therefore, a chemical vapor deposition (CVD) technique is used by which it is possible to form a TiN film of a better quality. Stated in more detail, a thermal CVD is used, in which TiCl[0007] 4 and NH3 (ammonia) or MMH (monomethylhydrazine) is applied, as a reaction gas, to a heated substrate. In the case where the TiN film is formed by such a thermal CVD, chlorine is liable to be retained in a formed film, thus presenting a problem. The retaining of such chlorine results in a higher specific resistance and it is not possible to obtain a proper characteristic if the film is applied to an electrode overlying a capacitor.
  • Further, the TiN film, being a columnar crystal, is liable to be boundary-diffused and involves a lower barrier characteristic. The lower barrier characteristic presents a problem in the case where the TiN film is used as a barrier layer for a Cu connection line or an oxygen diffusion barrier for Ta[0008] 2O5 connection line of an electrode overlying the capacitor. That is, a problem occurs due to the corrosion of the Cu connection line by the residual chlorine or a lowering of a capacitance of Ta2O5 by the diffusion of oxygen.
  • An amount of Cl in the formed film can be indeed reduced by making a film formation temperature higher. However, a high temperature process is not preferable due to a problem, such as thermal resistance and the corrosion, of a connection line material such as Cu and Al. [0009]
  • As one technique of plasma CVD, there is an ICP (Inductively Coupled Plasma)—CVD according to which an antenna member such as a coil is provided around a bell jar (chamber). By applying a high frequency power to it, an inductive electromagnetic field is created to provide plasma. In the case where the TiN film is formed using this technique, the formed TiN film becomes low-resistance and low in chlorine, and even a film formed at a relatively low temperature is made low in an amount of residual chlorine. [0010]
  • Although a chamber made of quartz or alumina is used in the formation of the TiN film by the ICP-CVD, it is not good in a plasma-resistant characteristic and a corrosion resistance to an etching gas such as ClF[0011] 3 used for cleaning the interior of the apparatus after the formation of the TiN film is not better, thus presenting a problem.
  • Further, in this type of CVD film formation apparatus, a deposit is formed on the inner wall of the chamber due to the introduction of a process gas from above the chamber and a foreign deposit is liable to be formed. In the case of forming the TiN film, the plasma created is attenuated due to the deposit of a conductive film on the inner wall of the upper chamber, thus making it difficult to form a film. [0012]
  • BRIEF SUMMARY OF THE INVENTION
  • An object of the present invention is to provide a processing apparatus having a chamber applied to a film forming apparatus, heat processing apparatus and etching apparatus and having a high-corrosion-resistant property and less liable to deposit a product by a process gas or a product, such as an etching product, on its inner wall thereof. [0013]
  • The thus constructed processing apparatus has a mounted chamber holding a to-be-processed substrate and having members for work-processing the substrate by any of heating, plasma, process gas or a combination thereof, in which a film of Al[0014] 2O3 and Y2O3 is formed on the inner wall surface of the chamber and on the exposed surfaces of the members within the chamber, the Al2O3/Y2O3 weight ratio being above 0.5. The Al2O3/Y2O3 weight ratio is in a range above 0.5 but below 4. The thickness of the formed film is above 50 μm.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter. [0015]
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate presently preferred embodiments of the invention, and together with the general description given above and the detailed description of the preferred embodiments given below, serve to explain the principles of the invention. [0016]
  • FIG. 1 is a view showing a practical structure of a plasma CVD apparatus according to a first embodiment of the present invention; [0017]
  • FIGS. 2A and 2B are views showing a sample of a test by etching and evaluation standard; [0018]
  • FIG. 3 is a view showing a relation of members in an etching time to an etched amount; [0019]
  • FIG. 4 is a view showing an X-ray diffraction pattern of a sprayed film when an Al[0020] 2O3/Y2O3 weight ratio=0.43;
  • FIG. 5 is a view showing an X-ray diffraction pattern of a sprayed film when an Al[0021] 2O3/Y2O3 weight ratio=0.66;
  • FIG. 6 is a view showing an X-ray diffraction pattern of a sprayed film when an Al[0022] 2O3/Y2O3 weight ratio=1.50;
  • FIG. 7 is a view showing a rate of a composite oxide when the Al[0023] 2O3/Y2O3 weight ratio of a sprayed film varies;
  • FIG. 8 is a view showing a relation of an Al[0024] 2O3/Y2O3 weight ratio of a sprayed film to an etched amount;
  • FIG. 9 is a view showing an X-ray diffraction pattern of a sprayed film when sprayed is made using a YAG; [0025]
  • FIG. 10 is a view showing a practical form of a plasma CVD apparatus according to a second embodiment; [0026]
  • FIG. 11 is a view showing a practical form of a plasma CVD apparatus according to a third embodiment; [0027]
  • FIG. 12 is a view showing a practical structure of a plasma CVD apparatus according to a fourth embodiment; [0028]
  • FIGS. 13A and 13B show a practical structure of a shower head in the fourth embodiment; [0029]
  • FIGS. 14A and 14B are a view showing a modified structure of the shower head in the fourth embodiment; [0030]
  • FIG. 15 is a view showing practical structures of head section; [0031]
  • FIG. 16 is a perspective view showing a first modified structure of a gas discharge member; [0032]
  • FIG. 17 is a perspective view showing a second modified structure of a gas discharge member; [0033]
  • FIG. 18 is a view showing one form of a lower-height thin type chamber; [0034]
  • FIG. 19 is a view showing one form of a semi-spherical type chamber; [0035]
  • FIG. 20 is a view showing one form of a dome-type chamber; [0036]
  • FIG. 21 is a view showing a practical structure of a heat processing apparatus; [0037]
  • FIG. 22 is a view showing a practical structure of an ashing apparatus; [0038]
  • FIG. 23 is a view showing a practical structure of an etching apparatus; and [0039]
  • FIG. 24 is a view showing a relation of a breakdown voltage to the thickness of the sprayed film in the respective embodiment of the present invention. [0040]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The embodiments of the present invention will be described below with reference to the accompanying drawings. [0041]
  • FIG. 1 is a cross-sectional view showing a practical example applied to a CVD film forming apparatus as a first embodiment of the present invention in a processing apparatus having a mounted chamber having a high corrosion-resistant thermal sprayed film. [0042]
  • The film forming apparatus of the present invention is directed to forming, for example, a TiN thin film. The [0043] film forming apparatus 10 includes a chamber 11 having a lower chamber 11 a and upper chamber 11 formed as an integral unit in a hermetically sealable way. The upper chamber 11 b is made smaller in diameter than the lower chamber 11 a. The lower chamber 11 a is comprised of an electric conductor 12, such as aluminum, whose surface is anadigation processed for example. The upper chamber 11 b comprises a base material 13 of, for example, a ceramic material and a sprayed film 14. The film 14 may contain oxide of Y, Sc, La, Ce, Eu, Dy or the like, or fluoride of one of these metals. The film 14 may be made of a compound of a III-a element of the periodic table, such as Y2O3. Needless to say, the film 14 may be made of such a compound and any other material. In the present invention, the film 14 containing a compound of a III-a element is a sprayed film that substantially comprises Al2O3 and Y2O3. As the material of the chamber use can be made of ceramic (Al2O3, SiO2, AlN etc.,), aluminum or stainless steel, metal or metal alloy.
  • A weight ratio of Al[0044] 2O3/Y2O3 of the sprayed film 14 is preferably 0.5 to 4. It is to be noted that FIG. 8 simply shows data of the weight ratio of up to 1.5. In the formation of the sprayed film 14 it may be possible to spray an Al2O3 and Y2O3 compound or to spray, for example, yttrium-aluminum-garnet (YAG) in a composite oxide state of the above-mentioned composition range. The thickness of the sprayed film is based on the insulation withstand characteristic for plasma generation and, from the standpoint of the breakdown voltage characteristic shown in, for example, FIG. 2, may be of the order of at least 50 μm and preferably of the order of 50 μm but not above 300 μm from the standpoint of a manufacturing process and cost.
  • The ceramics of the [0045] base material 13 may be Al2O3, SiO2, such as silica glass and quartz, AlN, alternatively, rigid plastic may be used, and, here, the sprayed film as set out above is not formed on the inner wall of the upper chamber 11 b but it may be formed there.
  • On the inner bottom of the [0046] lower chamber 11 a an insulating plate 15 of ceramic, etc., and support base 16 are provided and a substantially cylindrical susceptor 17 is provided on the support base 16 to place a semiconductor wafer (hereinafter referred to as a wafer) as a to-be-processed object on it.
  • A [0047] coolant chamber 18 is provided in the interior of the support base 16 to receive the coolant via a coolant introducing tube 19. The coolant is discharged out of a discharge tube 20. Through such a circulation, a cold is conducted through the susceptor 17 to the wafer W. A heating element 21 is buried in the susceptor 17 to heat the wafer W to a predetermined temperature by supplying power from a power source 22. To the power source 22, a controller 23 is connected. And the temperature of the wafer W is controlled by a cold of the coolant and heat of the heating element.
  • At the [0048] susceptor 17, an electrostatic chuck 24 is provided which is substantially the same in configuration as the wafer W. The electrostatic chuck 24 is so formed as to have an electrode 26 buried in an insulating material 25. The wafer W is electrostatically attracted by a Coulomb force, etc., generated by applying a DC voltage from a DC power source 27 to the electrode 26. At the outer peripheral portion of an upper surface of the electrostatic chuck 24 an area for achieving the uniformity of a film formation, for example, a focusing ring 28 is provided to surround the wafer W. The above-mentioned sprayed film 14 is formed on those exposed surfaces of the support base 16, susceptor 17, electrostatic chuck 24 and focusing ring 28 within the chamber.
  • A [0049] shower head member 30 is provided above the chamber 11 b. In the shower head member 30, many gas discharge holes 30 a (Ar, ClF3), 30 b (Ar, TiCl4) and 30 c (NH3) are alternately formed to discharge gases in a down direction within the chamber. The pipes of a gas supply system 40 are connected to the shower head member 30. That is, as will be set out below, a pipe 55 for supplying a gas (Ar, ClF3) is connected to the gas discharge holes 30 a, a pipe 56 for supplying a gas (Ar, TiCl4) is connected to the gas discharge holes 30 b and a pipe 57 for supplying a gas (NH3) is connected to the gas discharge holes 30 c. By doing so, the respective gases are introduced through the shower head member 30 into the upper chamber 11 b.
  • The [0050] shower head member 30 is comprised of, for example, a three-layers-stacked structure of three gas dispersion plate and has discharge plate having the gas discharge holes. The respective gas discharge plate has a groove and holes for allowing one kind of gas to be dispersed evenness to a whole gas discharge surface area in the head. In particular, the discharge holes of the gas dispersion plate are so formed as not be overlapped with the discharge holes of the other gas dispersion plate. Though being not illustrated in the Figure, the gas discharge holes are arranged in a matrix array upon viewing the gas discharge hole surface side from below. And a post-mixing system is adopted according to which TiCl4 gas and NH3 gas are discharged from the alternately formed different discharge holes and these gases are mixed as a process gas after being discharged.
  • The [0051] gas supply system 40 has a ClF3 supply source 41 for supplying ClF3 as a cleaning gas, Ar supply sources 42 and 43 for supplying Ar, a TiCl4 supply source 44 for supplying TiCl4 as a process gas and an NH3 supply source 45 for supplying NH3 as a process gas. A gas line 46 is connected to the ClF3 supply source 41, gas lines 47 and 48 are connected to the Ar supply sources 42 and 43, respectively, a gas line 49 is connected to the TiCl4 supply source 44 and a gas line 50 is connected to the NH3 supply source 45. A valve 51 (51 a, 51 b) and mass flow controller 52 are provided at these gas lines.
  • Into the [0052] gas line 47 extending from the Ar supply source 42, the gas line 46 extending from the ClF3 supply source 41 is joined and the gas line 46 extending form the ClF3 supply source 41 is joined. The gas line 46 extending form the ClF3 supply source 41 is joined into a gas line 53. By opening the valve 51 provided on the gas line 46, ClF3 serving as a cleaning gas is passed through the gas line 46 and pipe 53 and reaches the shower head 30 to allow it to be introduced via the gas discharge holes 30 a into the upper chamber 11 b. Needless to say, there is the case where Ar alone is supplied from the Ar supply source 42.
  • Into the [0053] gas line 48 extending from the Ar supply source 43, the gas line 49 extending from the TiCl4 supply source 44 is joined. TiCl4 gas passed through the gas line 49 and pipe 54 is carried by the argon gas and reaches the shower head 30. The TiCl4 gas is introduced from the gas discharge holes 30 b into the chamber 11.
  • Further, the NH[0054] 3 gas is supplied from the NH3 supply source 45 past the gas line 50 and pipe 55 to the shower head 30 and introduced from the gas discharge holes 30 c into the upper chamber 11 b. It is to be noted that, in place of NH3, monomethylhydrazine (MMH) may be used.
  • At the bottom wall of the [0055] lower chamber 11 a the exhaust tube 61 is provided which is connected to an exhaust apparatus 62 including a vacuum pump. By operating the exhaust apparatus 62 it is possible to reduce pressure in the chamber 11 to a predetermined vacuum level. A gate valve 63 is provided at the sidewall of the lower chamber 11 a and, in its open state, allows the wafer W to be passed into and out of an outside, for example, an adjacent load lock chamber, not shown.
  • A [0056] coil 65 as an antenna member is wound around the upper chamber 11 b and a high frequency power source 66 is connected to the coil 65. The high frequency power source 66 has a frequency of, for example, 13.65 MHz. By supplying a high frequency power from the high frequency power source 66 to the coil 65 an inductive electromagnetic field is created within the upper chamber 11 b. Further, a cooling mechanism 67 using a cooling medium such as a coolant and a cooling source 68 for driving this are provided.
  • With this apparatus, the [0057] gate valve 63 is opened and, in its open state, a wafer W is loaded into the chamber 11 and placed onto the electrostatic chuck 24. The wafer W is attracted to the electrostatic chuck 24 by applying a voltage to the electrode 26. Thereafter, the gate valve 63 is closed, and the interior of the chamber 11 is evacuated by the exhaust system 62 to a predetermined vacuum level. Then, while introducing an Ar gas from the Ar supply source 42 into the chamber 11, a high frequency power is supplied from the high frequency power source 66 to the coil 65 to create an inductive electromagnetic field within the upper chamber 11 b. Plasma is generated under this high frequency electric field.
  • Then, a predetermined amount of NH[0058] 2 gas and TiCl4 gas are introduced into the upper chamber 11 b from the NH3 supply source 45 and TiCl4 supply source 44 to generate plasma and are brought to the lower chamber 11 a side. By this plasma, a TiN thin film is formed onto the wafer W. At this time, the formation of the TiN thin film is effected at a temperature of about 300 to 450° C. by controlling an output to the heating element 21 and an amount of flow of a coolant. After the film formation, the wafer W is unloaded out of the chamber 11 and the ClF3 gas serving as a cleaning gas is introduced into the chamber 11 to clean the interior of the chamber.
  • In the above-mentioned processing, the inner wall of the [0059] upper chamber 11 b is attacked by the plasma generated in the upper chamber 11 b and exposed to the ClF3 gas (etching gas) at a cleaning time. Under such an environment, no adequate corrosion resistance was not obtained in a conventional chamber made of quartz and Al2O3 with the resultant disadvantage of a short life. According to the present invention, however, use is made mainly of Al2O3 and Y2O3 and a high-corrosion-resistant sprayed film 14 having Al2O3/Y2O3 weight ratio of above 0.5 is formed on the inner wall of the upper chamber 11 b. Hence, even if being contacted with plasma and cleaning gas, the inner wall of the chamber is less likely to be etched and ensures a longer service life.
  • The sprayed [0060] film 14 has insulating property because it contains 6 a III-a group element of the periodic table. Use can be made of, as the basic material, various kinds of materials such as ceramic herein used, aluminum, stainless steel, rigid plastic (engineering plastic) etc. Further, the sprayed film 14 is lower in cost than a sintered product and has a greater merit of forming a film for a short period of time. It is to be noted that such sprayed film may be formed on the inner wall of the lower chamber 11 a and can enhance a corrosion resistance of the lower chamber 11 a.
  • An explanation will be made below about the results of experiments by which the corrosion resistance of the sprayed film is confirmed. Here, a parallel flat type plasma etching apparatus was used by way of example. A strayed film was irradiated, with plasma, at an intra-chamber pressure of 133.3 Pa (1000m Torrs) and a gas flow rate of CF[0061] 4: Ar:O2=95: 950:10 (a total flow rate of 0.0633 m3/sec (1055 sccm)) for 20 hours through the application of a high frequency power of 13.56 MHz at 1300 W.
  • Eight types of samples were used, each comprised a 20×20×2 mm aluminum base, a 200 μm-thick first sprayed film made of Al[0062] 2O3 and Y2O3 and formed on the aluminum base, and a 200 μm-thick second sprayed film formed on the first sprayed film. The first sprayed film is made of Y2O3 in the first type sample, Sc2O3 in the second type sample, Sc2F3 in the third type sample, YF3 in the fourth type sample, La2O3 in the fifth fifty type sample, CeO2 in the sixth type sample, Eu2O3 in the seventh type sample, and DyO3 in the eighth type sample. Stated in more detail, use was made of a sprayed film having a weight ratio of Al2O3/Y2O3=0.5 and a film sprayed with a 99.9%-purity YAG (Y3Al5O12 a weight ratio of Al2O3/Y2O3=0.75). As shown in FIG. 2A, the sample was, while leaving a central area of 10 mm square, masked at its outer peripheral area with a polyimide film and irradiated with plasma. And a plasma-resistant property was evaluated with an etched amount. The etched amount was evaluated with its depth, as shown in FIG. 2B, with the use of a surface roughness meter. For comparison, samples of other materials were also evaluated in terms of the corrosion-resistant property. The result of evaluation is as shown in FIG. 3. Here, the etched amount is shown with the etched amount of alumina standardized as “1”.
  • It was confirmed that, as shown in FIG. 3, the films containing a III-a element of the periodic table had higher corrosion resistance to plasma than the other materials. Of these films, the sprayed film made of Al[0063] 2O3 and Y2O3 exhibited a particularly high corrosion resistance since it was of YAG composition.
  • Then, with an Al[0064] 2O3/Y2O3 weight ratio set to 0.43, 0.66, and 1.5, these mixed powders were sprayed onto an aluminum basic material to form a sprayed film. FIGS. 4, 5 and 6 show and X-ray diffraction pattern each. As shown in these figures, for any of the sprayed films, diffraction peaks corresponding to the Al2O3 and Y2O3 crystals are dominant but the diffraction peaks of composite oxides, such as YAlO3 and Y4Al2O9 were also confirmed. It is found that the producing rate of these composite oxides is increased with an increase in the weight ratio of Al2O3/Y2O3 as shown in FIG. 7.
  • In the same way as set out above, these samples were tested for corrosion resistance to plasma. The evaluation of the etched amount was made by measuring its depth at a central portion of the above-mentioned 10 mm square portion except the edge portion. The result is as shown in FIG. 8. From this Figure it is found that, with an Al[0065] 2O3/Y2O3 weight ratio of above 0.5, the corrosion resistance is better. Since, as set out above, with an increase in the weight ratio of Al2O3/Y2O3, the production rate of the composite oxide is increased, there is a possibility that the composite oxide contributes to the corrosion resistance.
  • On the other hand, the YAG sprayed film evaluated for the corrosion resistance at the first test was substantially amorphous as shown in FIG. 9. [0066]
  • From this it may be considered that the corrosion resistance is improved by making the sprayed film amorphous. [0067]
  • A second embodiment of the present invention will be explained below. [0068]
  • FIG. 10 is a cross-sectional view showing a CVD apparatus according to the second embodiment. In this embodiment, a chamber, shower head and gas supply system are different in structure from those of the first embodiment. In this Figure, the same reference numerals are employed to designate parts or elements corresponding in structure to those shown in FIG. 1 and any further explanation is, therefore, omitted. [0069]
  • In this apparatus, an [0070] upper chamber 11 c is provided above a lower chamber 11 a and it is made of a ceramic material, such as Al2O3, SiO2 and AlN. At a shower head member 70 of pipe type provided at the top of the upper chamber 11 c, gas discharge holes 70 a, 70 b and 70 c are alternately formed to discharge gases toward a lower zone within the chamber. A gas supply system 40 a comprises gas supply sources and valves 51 and mass flow controllers 52 as in the case of the gas supply system 40 as set out above. This embodiment is different from the first embodiment with respect to a pipe array from the gas supply system 40 to the shower head member 70.
  • That is, the pipes of the [0071] gas supply system 40 are connected to the shower head member 70. As will be set out below, a pipe 81 for supplying an Ar gas and ClF2 gas is connected to the gas discharge holes 70 a, a pipe 82 for supplying a TiCl4 gas and Ar gas is connected to the gas discharge holes 70 b, and a pipe 83 for supplying an NH3 gas is connected to the gas discharge holes 70 c. Pipe-like gas discharge members 71 and 72 extending from the upper chamber 11 c toward the upper zone of the lower chamber 11 a are connected to the gas discharge holes 70 b and 70 c. A gas discharge hole 71 a is formed in the gas discharge member 71 and a gas discharge hole 72 a is formed in the gas discharge member 72.
  • A [0072] gas line 47 extending from an Ar supply source 42 and gas line 46 extending from a ClF3 supply source 41 are connected to the pipe 81. The Ar gas and ClF3 gas are introduced from the pipe 81 into the upper chamber 11 c via the gas discharge hole 70 a, noting that the Ar gas alone is sometimes supplied there.
  • A [0073] gas line 49 extending from a TiCl4 supply source 44 and gas line 48 extending from an Ar supply source 43 are connected to the pipe 82. The TiCl4 gas using an Ar gas as a carrier gas is introduced from the pipe 82 through the gas discharge hole 70 b and gas discharge hole 71 a in the gas discharge member 71 into the upper zone of the lower chamber 11 a. A gas line 50 extending from an NH3 supply source 43 is connected to the pipe 83 and the NH3 gas is introduced from the pipe 83 through a gas discharge hole 70 c and gas discharge hole 72 a in the gas discharge member 72 into the upper zone of the lower chamber 11 a.
  • Thus, the TiCl[0074] 4 gas and NH3 gas are supplied directly into the upper zone of the lower chamber 11 a without passing through the upper chamber 11 c. After so discharged, these gases are mixed within the lower chamber 11 a. The gas line 46 extending from the ClF3 supply source 41 is joined into the gas line 81 and, by opening a valve 51 on the gas line 46, the ClF3 as a cleaning gas is supplied past the gas line 46 and then the pipe 81 to the shower head 70 to allow the ClF3 gas to be introduced via the discharge hole 70 a into the upper chamber 11 c.
  • In the thus structured CVD apparatus, a wafer W is loaded into the [0075] chamber 11 and the Ar gas as a plasma generation gas is introduced via the gas discharge holes 70 a in the shower head into the upper chamber 11 c. By supplying a high frequency power from a high frequency power source 66 to a coil 65, an inductive electromagnetic field is created within the upper chamber 11 c to generate a plasma of the Ar gas.
  • On the other hand, the TiCl[0076] 4 gas and NH3 gas serving as a process gas are directly introduced into the upper zone of the lower chamber 11 a via the discharge members 71 and 72 and these gases are excited by the plasma of the Ar gas diffused from the upper chamber 11 c into the lower chamber 11 a. By doing so, the gases generate a plasma at the upper zone of the lower chamber 11 a, so that a reaction occurs on the surface of the wafer W to form a TiN thin film on the wafer.
  • Even in this embodiment, the film-formed semiconductor wafer is externally unloaded out of the [0077] chamber 11 and a ClF3 gas serving as a cleaning gas is introduced into the chamber 11 to clean the inner wall of the chamber.
  • In this embodiment, as set out above, the Ar gas alone for plasma generation is supplied into the [0078] upper chamber 11 c and the TiCl4 gas and NH3 gas, serving as a process gas, are supplied directly into the lower chamber 11 a via the gas discharge members 71 and 72, so that the process gas almost never reaches the inner wall of the upper chamber 11 c. As a result, almost no deposit resulting from the process gas is formed on the inner wall of the upper chamber 11 c.
  • Thus, unlike the prior art technique, a conductive film is not deposited, by the process gas, on the inner wall of the chamber and it is never difficult to form a film under the attenuation of plasma involved. [0079]
  • A third embodiment of the present invention will be described below. [0080]
  • FIG. 11 is a cross-sectional view showing a CVD apparatus according to a third embodiment of the present invention. [0081]
  • This embodiment constitutes a combined structure of a [0082] lower chamber 11 a similar to that in the first embodiment shown in FIG. 1 and an upper chamber 11 c similar to that of the second embodiment shown in FIG. 10. A sprayed film 14 of mainly Al2O3 and Y2O3 having an insulating property and high corrosion resistance as set out above is formed on the inner wall of the upper chamber 11 b. In this embodiment, the same reference numerals are employed to designate parts or elements corresponding in structure to those shown in FIGS. 1 and 10 and any further explanation is, therefore, omitted.
  • In the third embodiment, a high-corrosion-resistant sprayed [0083] film 14 is formed on the inner wall of the upper chamber 11 c and, even if plasma and cleaning gas are contacted with the inner wall, is hard to be etched to provide a longer service life to the chamber. In addition, almost no deposit resulting from the process gas is formed on the upper chamber 11 b. As a result, unlike the prior art technique, there is no inconvenience of the plasma being attenuated by a conductive film deposited on the inner wall of the chamber and hence no difficulty is encountered in the formation of a film.
  • It is to be noted that, even in the second and third embodiments, a sprayed film may be formed on the inner wall of the [0084] lower chamber 11 a and, by forming such a sprayed film, it is possible to improve a high corrosion resistance to the lower chamber 11 a.
  • A fourth embodiment of the present invention will be explained below. [0085]
  • FIG. 12 is a cross-sectional view showing a CVD apparatus according to the fourth embodiment of the present invention. [0086]
  • The apparatus structure of this embodiment comprises a combination of a [0087] lower chamber 11 a similar to that of the above-mentioned first embodiment and an upper chamber lid different in gas supply position above the lower chamber 11 a. In this embodiment, the same reference numerals are employed to designate parts or elements corresponding in structure to those shown in FIG. 1 and any further explanation is, therefore, omitted.
  • In this film forming apparatus, a [0088] shower head 81 for supplying a process gas into a chamber 11 is formed in an annular shape between the upper chamber 11 d and the lower chamber 11 a. A high corrosion-resistant and insulating sprayed film 14 is formed on the whole inner surface of the upper chamber 11 d. A gas supply system 40 is similar in structure to that of the first embodiment but a ClF3 gas serving as a cleaning gas and Ar gas can be introduced from the top side and sidewall side of the upper chamber 11 d by a switching operation of the valves 82 and 83.
  • By this structure, a gas supplied from the [0089] gas supply system 40 is discharged and directed toward a central area at the upper zone of the lower chamber 11 a and diffused onto a wafer W. In this embodiment, it is possible to obtain an effect similar to that of the above-mentioned embodiments. And a deposit resulting from a process gas is almost hardly formed on the inner wall of the upper chamber 11 d. Further, a sprayed film 14 is formed on the inner wall of the upper chamber and, even if plasma and cleaning gas are contacted with the inner wall, etching is less liable to occur and it is possible to extend the service life of the chamber.
  • FIGS. 13A and 13B each shows a practical structure of a [0090] shower head 81 in this embodiment.
  • The [0091] shower head 81 is comprised of a ring-type three-layered structure and so designed as to collect gases in the respective outer head sections into a middle-layer head section. That is, ring- like diffusion channels 84 a, 85 a and 86 a are provided in the head sections 84, 85 and 86 to allow the process gas to be introduced into the chamber. A plurality of discharge holes 84 b (NH3 gas), discharge holes 85 b (Ar gas) and discharge holes 86 b (TiCl4 gas or ClF3 gas) leading to the diffusion channels 84 a, 85 a and 86 a are provided in a middle layer of the head section 85 in one array to allow a corresponding gas to be discharged in a horizontal direction. For example, these discharge holes are so arranged as to allow different kinds of process gases to be sequentially discharged with an argon gas in between.
  • In the practical example shown in FIG. 13B, as a first combination the discharge holes [0092] 85 b, 86 b, 85 b, 84 b, 85 b, 86 b, . . . , that is, an Ar gas, TiCl4 gas or ClF3 gas, Ar gas, NH3 gas, Ar gas, TiCl4 gas or ClF3 gas, . . . are used. As a second combination, the discharge holes 85 b, 86 b, 84 b, 85 b, 85 b, 86 b, 84 b, 85 b, , that is, the Ar gas, TiCl4 gas or ClF3, NH3 gas, Ar gas, Ar gas, TiCl4 gas or ClF3 gas, NH3 gas, Ar gas, . . . are used. It is needless to say that these combinations may be properly set depending upon the chamber configuration and process condition, etc. Further, to the TiCl4 gas and ClF3 gas, respective independent discharge holes may be provided.
  • FIGS. 14A and 14B show a modified structure of the above-mentioned [0093] shower head 81. Although, in the above-mentioned shower head 81, the gases from the respective head sections are collected into the middle layer head section, this modified structure is of such a type that a plurality of discharge holes are formed in the respective head sections in a not-overlapped fashion in a stacked direction.
  • This [0094] shower head 90 is of a ring-type three-layered structure such that ring- like diffusion channels 91 a, 92 a and 93 a are provided in the corresponding head sections 91, 92 and 93 to allow a process gas to be introduced into the chamber. A plurality of discharge holes 91 b (NH3 gas), discharge holes 92 b (Ar gas) and discharge holes 93 b (TiCl4 gas or ClF3 gas) leading to the diffusion channels 91 a, 92 a and 93 a are formed in the corresponding head sections to allow these gases to be discharged in a horizontal direction.
  • Various practical structures as shown in FIG. 15 are realized depending upon the order in which these head sections are stacked. In these structures, six cases are shown in FIG. 15. It is to be noted that the TiCl[0095] 4 gas and ClF3 gas are switchingly used at a film forming time and cleaning time but that independent corresponding discharge holes may be provided for these gases.
  • Even in these embodiments it is possible to obtain the same advantage as set out in connection with the above-mentioned embodiment. [0096]
  • The shower heads for introducing the gases into the chamber can be variously changed or modified in the embodiment of the present invention. [0097]
  • Although, in the above-mentioned embodiment, a plurality of members are used to introduced the process gas into the [0098] chamber 11, as shown in FIG. 16, for example, a shower head is so configured as to have one gas introducing member 95 for allowing a process gas to be supplied from the top side of an upper bell jar onto a wafer W within a lower chamber and a gas discharge section 96 connected to the lower end of the gas introducing member 95 and having a plurality of discharge holes to allow a gas to be spirally supplied down toward the wafer W.
  • As shown in FIG. 17, a shower head comprises one [0099] gas introducing member 97 similar to the gas introducing member 95 and a plurality of gas discharge sections 98 connected to the lower end of the gas introducing member 97, provided in an outwardly branched fashion and having a plurality of discharge holes so provided as to allow a gas to be discharged down onto a wafer W. Further, although two kinds of process gases are separately individually introduced into the chamber, these gases may be introduced together.
  • Although, in the above-mentioned respective embodiment, the formation of the TiN thin film has been explained, the present invention is not restricted thereto and other films may be formed. In the case where a film is formed using a Ti-containing material or Si-containing material, a Cl-containing gas is used as a feed gas and the present invention is effective to the formation of a film using these materials. As such materials, use is made of, for example, TiN, Ti, TiSiN, SiN, Ta, TaN, Ta[0100] 2O5, PZT, BST, RuO and ZrO; a LOWK material, such as SiOF recently used as an insulating interlayer of a low dielectric constant; and Ta, TaN used as a barrier of Cu and SiN used as a etching stop.
  • Although, in the above-mentioned embodiment, use is made of the ICP-CVD apparatus using a coil as an antenna, if the plasma CVD apparatus uses a chamber and bell jar, it can use a TCP (Transformer Coupled Plasma) using a spiral type antenna and it is also possible to use a helicon wave plasma processing apparatus using a helicon wave. [0101]
  • Those applied forms of chambers provided in the processing apparatus according to the above-mentioned embodiments will be explained below with reference to FIGS. 18, 19 and [0102] 20. As a lower chamber of the processing apparatus as will be explained below the lower chamber 11 a shown in FIG. 1 is taken as an example and, as a gas supply system, a gas supply system for supplying a gas from the sidewall of the chamber shown in FIG. 12 is taken as an example. Here, only the featuring aspect is explained with the same reference numerals employed to designate corresponding parts or elements shown. And an explanation thereof is, therefore, omitted.
  • FIG. 18 is one example of a lower-height thin type chamber. This [0103] thin type chamber 11 e is thin and has a height (depth) H of, for example, about 0.65 to 10 cm and, preferably, 0.65 to 5 cm. And the distance from the inner top surface of the chamber to a wafer W is about 3.8 to 30 cm and, preferably, 3.8 to 20 cm. Since, in this way, the chamber has a lower-volume shape, an exposed area within the chamber is smaller and hence the apparatus is smaller and ensures an easier maintenance. It is, therefore, possible to alleviate an evacuation load on the system 62.
  • An upper chamber [0104] 11 f shown in FIG. 19 is of a semi-spherical type, that is, a bell jar type and an upper chamber 11 g shown in FIG. 20 is of a dome-shaped type. According to these upper chambers, the distribution of an electric field by an antenna relative to the wafer W is made uniform compared with that of a box-type chamber and hence the thickness profile of a formed film, etching, etc., are made uniform.
  • FIG. 21 is a view showing a practical structure applied to a heat processing apparatus as a fifth embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention. [0105]
  • The heat processing apparatus [0106] 101 can perform an anneal process for promoting recrystallization of a formed film and a thermal oxidation process.
  • This heat processing apparatus [0107] 101 has a susceptor 103 located within a chamber 102 to support a wafer W, a wafer lifting mechanism 105 provided below the susceptor 103 to allow the wafer W to be lifted up by a plurality of lift pins 104 at a transfer of the wafer W, a heater 106 provided in the susceptor 103 and a gas flow chamber 107 for hermetically supplying a gas such as an Ar gas and oxygen gas onto the wafer W. A sprayed film 14 of Al2O3/Y2O3 is formed on the inner surface of the gas flow chamber 107 and on the surface of a guide section 108 provided on the susceptor 103 to guide a wafer mounting position and, by doing so, it is possible to obtain the same effect as in the above-mentioned respective embodiments.
  • FIG. 22 is a view showing a practical structure applied to an ashing apparatus as a sixth embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention. [0108]
  • The ashing apparatus comprises a hermetically [0109] sealable chamber 111 having a lower chamber 111 a and upper chamber 111 b, a susceptor 112 on which a wafer W is placed, a heater 113 provided in the susceptor 112 to heat the wafer W, a gas supply system, not shown, for supplying a process gas such as oxygen, and an evacuation apparatus for evacuating the interior of the chamber 111.
  • In this ashing apparatus, a high-corrosion-resistant sprayed film is formed on the whole inner surface of the [0110] upper chamber 111 band on the sidewall of the lower chamber 111 a except the inner bottom surface and, by doing so, it is possible to obtain the same effect as in the above-mentioned respective embodiment.
  • FIG. 23 is a view showing a practical structure applied to an etching apparatus as a seventh embodiment in a processing apparatus with a mounted chamber having a high-corrosion-resistant sprayed film according to the present invention. [0111]
  • This etching apparatus comprises a hermetically [0112] sealable chamber 121, a process gas supplying shower head provided within the chamber 121 and functioning as an upper electrode for plasma generation, a susceptor 123 allowing a wafer W to be placed and functioning as an upper electrode for plasma generation, a process gas supply system 126 having a plurality of valves 124 and a plurality of process gas sources 125, a high frequency power source 127 for applying a high frequency power to the shower head 122, a shield ring 128 provided around the shower head 122, an electrostatic chuck system 129 provided on the top surface of the susceptor 123, a focusing ring 130 provided at an outer peripheral portion of the electrostatic chuck 129 to surround the wafer W, a gate valve 131 provided on the sidewall of the chamber 121 to allow the wafer to be loaded and unloaded into and out of the chamber 121, and a deposition shield 132 provided on the inner side surface of the chamber 121.
  • A sprayed [0113] film 14 is formed, as the above-mentioned case, on exposed surfaces of the susceptor 123, focusing ring 130, shower head 122 and shield ring 128 within the chamber and further on the inner upper surface and inner bottom surface of the chamber 121.
  • It is to be noted that the sprayed film formed in the above-mentioned respective embodiments need only have a thickness of above 50 μm. In the case where the thickness of the sprayed film is less than 50 μm, the insulating resistance and withstand voltage are lower. This is based on our empirically obtained data showing a relation of a breakdown voltage to the film thickness shown in FIG. 24. According to FIG. 24, out of the film thickness range of 50 to 300 μm is preferable. [0114]
  • Even in this embodiment, the same effect as set out in connection with the above-mentioned embodiment can be obtained by forming the sprayed film. [0115]
  • Although, in the above-mentioned respective embodiments, the semiconductor wafer as a substrate has been explained by way of example, the present invention is not restricted thereto and it may also be applied to the formation of a glass substrate for a liquid crystal display device (LCD). [0116]
  • According to the above-mentioned respective embodiments, a sprayed film of substantially Al[0117] 2O3/Y2O3 whose weight ratio is above 0.5 is formed on the inner wall of the chamber and, by doing so, the chamber is less liable to be etched under a plasma and cleaning gas due to the presence of the high-corrosion resistant sprayed film. Thus the present invention can be preferably applied to the film formation, etching, ashing and heat treatment on a less-etching thermal sprayed film of the chamber.
  • Further, since the gas supply system supplies a process gas near the upper zone of a wafer within the chamber, the gas hardly reaches the inner wall of the chamber and almost no product is deposited on the wall. As a material for the chamber, use can be made of a ceramic (Al[0118] 2O3, SiO2, AlN, etc.), aluminum, stainless steel, metal or alloy.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. [0119]

Claims (27)

What is claimed is:
1. A processing apparatus comprising:
a chamber for holding a substrate that is to be processed;
a sprayed film formed on an inner surface of the chamber and containing a compound of a III-a element of the periodic table; and
a processing mechanism for processing a substrate held in the chamber.
2. The processing apparatus according to
claim 1
, wherein the sprayed film contains Al2O3.
3. The processing apparatus according to
claim 1
, wherein the sprayed film comprises Al2O3 and Y2O3.
4. The processing apparatus according to
claim 1
, wherein the weight ratio of Al2O3 to Y2O3 is 0.5 or more in the sprayed film.
5. The processing apparatus according to
claim 1
, wherein a sprayed film containing a III-a element of the periodic table is formed on a part of the processing mechanism, which is exposed to the chamber.
6. The processing apparatus according to
claim 1
, wherein the sprayed film is made of Y2O3, Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, or DyO3.
7. The processing apparatus according to
claim 4
, wherein the sprayed film is made of Y2O3, Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, or DyO3.
8. The processing apparatus according to
claim 1
, wherein the processing mechanism performs plasma process on the substrate.
9. The processing apparatus according to
claim 1
, wherein the processing mechanism processes the substrate, while applying a corrosive gas into the chamber.
10. The processing apparatus according to
claim 1
, wherein the processing mechanism performs a heating process on the substrate.
11. A processing apparatus comprising:
a lower chamber containing a susceptor for holding a substrate that is to be processed;
an upper chamber provided above the lower chamber;
antenna means arranged around the upper chamber, for generating an induction magnetic field in the upper chamber and on the substrate;
high-frequency wave applying means for applying high-frequency power to the antenna means;
gas-supplying means for supplying a plurality of process gases onto the substrate in the lower chamber;
gas-exhausting means for exhausting the process gases from the upper and lower chambers; and
a film formed by sprayed on an inner surface of the upper chamber and containing a compound of a III-a element of the periodic table, for preventing corrosion from taking place while the substrate is being processed,
wherein an induction electromagnetic field is generated in the upper and lower chambers, generating a plasma, and the plasma is applied to the substrate, thereby to process the substrate.
12. A processing apparatus comprising:
a lower chamber containing a susceptor for holding a substrate that is to be processed;
an upper chamber provided above the lower chamber;
antenna means arranged around the upper chamber, for generating an induction magnetic field in the upper chamber and on the substrate;
high-frequency wave applying means for applying high-frequency power to the antenna means;
a gas-supplying means for shaped like a ring, surrounding an upper part of the lower chamber, and supplies the process gases and a plasma-generating gas into the lower chamber so that the gases may mix at a position above the substrate held on the susceptor; and
gas-exhausting means for exhausting the process gases from the upper and lower chambers,
wherein an induction electromagnetic field is generated in the upper chamber, generating a plasma, and the plasma is applied to the substrate, thereby to process the substrate.
13. The processing apparatus according to
claim 9
, wherein the gas-supplying means comprises a plurality of annular layers mounted one upon another, each having a gas-inlet port in the outer circumfrential surface and an inner passage connected to the gas-inlet port, one of the annular layers has a row of gas-outlet ports in the inner circumferential surface, every other gas-outlet port is connected to the inner passage of said one of the annular layers, and the remaining gas-outlet port are connected to the inner passages of the other annular layers alternately.
14. The processing apparatus according to
claim 9
, wherein the gas-supplying means comprises a plurality of annular layers mounted one upon another, each having a gas-inlet port made in the outer circumfrential surface, an inner passage connected to the gas-inlet port, and a plurality of gas-outlet holes made in the inner circumferntial surface and connected to the inner passage, and the gas-outlet holes are arranged in staggered fashion in the inner circumferential surface of the gas-supplying means.
15. The processing apparatus according to
claim 8
, wherein the sprayed film comprises an Al2O3 film and a Y2O3 film.
16. The processing apparatus according to
claim 8
, wherein the weight ratio of the Al2O3 film to the Y2O3 film is 0.5 or more in the sprayed film.
17. The processing apparatus according to
claim 2
, wherein the weight ratio of the Al2O3 film to the Y2O3 film is 0.5 or more in the sprayed film.
18. The processing apparatus according to
claim 6
, wherein the weight ratio of the Al2O3 film to the Y2O3 film is 0.5 or more in the sprayed film.
19. The processing apparatus according to
claim 8
, wherein the upper chamber has a base made of material selected from the group consisting of ceramic, metal, alloy containing the metal and hard plastic.
20. The processing apparatus according to
claim 19
, wherein the ceramic is one selected from the group consisting of Al2O3, SiO2 and AlN.
21. The processing apparatus according to
claim 8
, wherein the sprayed film has a thickness of 50 μm or more.
22. The processing apparatus according to
claim 8
, which further comprises a coolant source for decreasing a temperature in the upper chamber, and in which the lower chamber and the upper chamber are connected in airtight fashion, the induction electromagnetic field generates a plasma above the susceptor, the gas-supplying means supplies process gases to the plasma, thereby forming a film on the substrate.
23. The process apparatus according to
claim 8
, which further comprises a power supply for applying a high-frequency voltage between the lower and upper chambers, and in which the induction electromagnetic filed generates a plasma above the susceptor, the gas-supplying means supplies the process gases, and the high-frequency voltage is applied between the lower and upper chambers, thereby etching a surface of the substrate.
24. The process apparatus according to
claim 8
, wherein the upper chamber is a semi-spherical one, a dome-shaped one, or a thin-type one shorter in height than a plasma-generating area.
25. The process apparatus according to
claim 12
, wherein a weight ratio between Al2O3 to Y2O3 is 5:3 in the sprayed film.
26. The process apparatus according to
claim 21
, wherein the film containing Y2O3 is YAG.
27. The process apparatus according to
claim 8
, wherein the lower chamber is connected to the upper chamber and used as a heating chamber for performing heat processing on the substrate, an ashing chamber for removing a resist mask from the substrate or an etching chamber for etching the substrate.
US09/731,722 1999-12-10 2000-12-08 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film Abandoned US20010003271A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/444,957 US7846291B2 (en) 1999-12-10 2003-05-27 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,570 US20080069966A1 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,596 US7879179B2 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP35201899 1999-12-10
JP11-352018 1999-12-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/444,957 Continuation US7846291B2 (en) 1999-12-10 2003-05-27 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Publications (1)

Publication Number Publication Date
US20010003271A1 true US20010003271A1 (en) 2001-06-14

Family

ID=18421226

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/731,722 Abandoned US20010003271A1 (en) 1999-12-10 2000-12-08 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US10/444,957 Expired - Fee Related US7846291B2 (en) 1999-12-10 2003-05-27 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,570 Abandoned US20080069966A1 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,596 Expired - Fee Related US7879179B2 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Family Applications After (3)

Application Number Title Priority Date Filing Date
US10/444,957 Expired - Fee Related US7846291B2 (en) 1999-12-10 2003-05-27 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,570 Abandoned US20080069966A1 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US11/980,596 Expired - Fee Related US7879179B2 (en) 1999-12-10 2007-10-31 Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Country Status (4)

Country Link
US (4) US20010003271A1 (en)
JP (4) JP2001226773A (en)
KR (15) KR20010062209A (en)
TW (1) TW514996B (en)

Cited By (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1158072A2 (en) * 2000-04-18 2001-11-28 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
WO2002068129A1 (en) * 2000-12-29 2002-09-06 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
EP1245696A2 (en) * 2001-03-30 2002-10-02 Toshiba Ceramics Co., Ltd. Plasma resistant member
WO2003001559A1 (en) * 2001-06-25 2003-01-03 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US20030015291A1 (en) * 2001-07-18 2003-01-23 Jusung Engineering Co., Ltd. Semiconductor device fabrication apparatus having multi-hole angled gas injection system
WO2003030238A1 (en) * 2001-09-26 2003-04-10 Tokyo Electron Limited Processing method
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
WO2003060187A1 (en) * 2002-01-08 2003-07-24 Applied Materials, Inc. Process chamber having component with yttrium-aluminum coating
US20030141017A1 (en) * 2002-01-30 2003-07-31 Tokyo Electron Limited Plasma processing apparatus
EP1310466A3 (en) * 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
US20030200929A1 (en) * 1999-12-10 2003-10-30 Hayashi Otsuki Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20030226347A1 (en) * 2002-01-30 2003-12-11 Rory Smith Synthetic fiber rope for an elevator
US20040002221A1 (en) * 2002-06-27 2004-01-01 O'donnell Robert J. Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040060656A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060661A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040061447A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040067392A1 (en) * 2001-07-19 2004-04-08 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
WO2004030014A2 (en) * 2002-09-30 2004-04-08 Tokyo Electron Limited Optical window deposition shield in a plasma processing system
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20040168769A1 (en) * 2002-05-10 2004-09-02 Takaaki Matsuoka Plasma processing equipment and plasma processing method
EP1459351A2 (en) * 2001-12-19 2004-09-22 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040224128A1 (en) * 2000-12-29 2004-11-11 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20040255858A1 (en) * 2003-06-17 2004-12-23 Sang-Gon Lee Gas valve assembly and apparatus using the same
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050042483A1 (en) * 2003-08-22 2005-02-24 Saint-Gobain Ceramics & Plastics Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103268A1 (en) * 2002-09-30 2005-05-19 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20050126711A1 (en) * 2003-05-29 2005-06-16 Hideyuki Kazumi Plasma processing apparatus
US20050147852A1 (en) * 1999-12-10 2005-07-07 Tocalo Co., Ltd. Internal member for plasma-treating vessel and method of producing the same
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US20050235918A1 (en) * 2002-08-30 2005-10-27 Yasuhiko Kojima Substrate treating apparatus
US20050282034A1 (en) * 2004-01-29 2005-12-22 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060046450A1 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
EP1640474A1 (en) * 2003-06-02 2006-03-29 Shincron Co., Ltd. Thin film forming device and thin film forming method
US20060110320A1 (en) * 2004-11-22 2006-05-25 Isao Aoki Thermal spraying powder and manufacturing method thereof
US20060116274A1 (en) * 2004-11-30 2006-06-01 Junya Kitamura Thermal spraying powder, thermal spraying method, and method for forming thermal spray coating
US20060182969A1 (en) * 2005-02-15 2006-08-17 Junya Kitamura Thermal spraying powder
US20060183344A1 (en) * 2003-03-31 2006-08-17 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20070095284A1 (en) * 2004-06-04 2007-05-03 Iizuka Hachishiro Gas treating device and film forming device
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070151581A1 (en) * 2002-02-14 2007-07-05 Applied Materials, Inc. Cleaning method used in removing contaminants from a solid yttrium oxide-containing substrate
US20070157683A1 (en) * 2005-12-19 2007-07-12 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070246346A1 (en) * 2003-05-06 2007-10-25 Applied Materials, Inc. Electroformed sputtering target
EP1914788A1 (en) * 2006-10-17 2008-04-23 Tokyo Electron Limited Substrate stage and plasma processing apparatus
US20080169588A1 (en) * 2007-01-11 2008-07-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
EP1988187A2 (en) 2007-04-27 2008-11-05 Applied Materials, INC. Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20080292890A1 (en) * 2004-10-26 2008-11-27 Kyocera Corporation Corrosion Resistant Member and Method for Manufacturing the Same
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090104781A1 (en) * 2003-02-07 2009-04-23 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20090130335A1 (en) * 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090260569A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US20090260572A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US7611640B1 (en) * 2002-05-06 2009-11-03 Lam Research Corporation Minimizing arcing in a plasma processing chamber
US20100243165A1 (en) * 2007-11-01 2010-09-30 Pyung-Yong Um Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US20110000529A1 (en) * 2008-04-08 2011-01-06 Shimadzu Corporation Cathode Electrode for Plasma CVD and Plasma CVD Apparatus
US20110132542A1 (en) * 2009-12-03 2011-06-09 Tokyo Electron Limited Plasma processing apparatus
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US20110151599A1 (en) * 2009-12-23 2011-06-23 Heung-Yeol Na Vapor deposition apparatus having improved carrier gas supplying structure and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20120034394A1 (en) * 2010-08-06 2012-02-09 Ali Shajii Distributed multi-zone plasma source systems, methods and apparatus
US20120200051A1 (en) * 2002-09-20 2012-08-09 Tokyo Electron Limited Method for coating internal member having holes in vacuum processing apparatus and the internal member having holes coated by using the coating method
US20120217222A1 (en) * 2011-02-24 2012-08-30 Maolin Long Plasma processing systems including side coils and methods related to the plasma processing systems
US20130098293A1 (en) * 2011-10-20 2013-04-25 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130333620A1 (en) * 2012-06-14 2013-12-19 Zilan Li Feed-through apparatus for a chemical vapour deposition device
WO2014018835A1 (en) * 2012-07-26 2014-01-30 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US20140338601A1 (en) * 2013-05-15 2014-11-20 Asm Ip Holding B.V. Deposition apparatus
CN104178748A (en) * 2013-05-21 2014-12-03 东京毅力科创株式会社 Air supplying head, air supplying mechanism and substrate processing device
US20140363596A1 (en) * 2013-06-05 2014-12-11 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
WO2013116840A3 (en) * 2012-02-02 2015-06-25 Greene, Tweed Of Delaware, Inc. Gas dispersion plate for plasma reactor having extended lifetime
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20160049323A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US20160086773A1 (en) * 2014-09-18 2016-03-24 Tokyo Electron Limited Plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20160189931A1 (en) * 2014-12-25 2016-06-30 Tokyo Electron Limited Plasma processing apparatus and method for determining replacement of member of plasma processing apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
CN106935470A (en) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 A kind of plasma processor with temperature measuring equipment
US20170316942A1 (en) * 2016-05-02 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
TWI608516B (en) * 2012-11-27 2017-12-11 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method and memory media
US9869019B2 (en) 2012-01-04 2018-01-16 Eugene Technology Co., Ltd. Substrate processing apparatus including processing unit
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20190136360A1 (en) * 2014-05-16 2019-05-09 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10287212B2 (en) 2014-06-26 2019-05-14 Toto Ltd. Plasma-resistant member
JP2019153789A (en) * 2018-03-02 2019-09-12 ラム リサーチ コーポレーションLam Research Corporation Quartz part with protective coating
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20200325570A1 (en) * 2019-04-12 2020-10-15 Shin-Etsu Chemical Co., Ltd. Spraying material, spraying slurry, preparing method of spraying material, forming method of sprayed coating, sprayed coating, and sprayed member
US20200407848A1 (en) * 2019-06-25 2020-12-31 Tokyo Electron Limited Gas introduction structure, thermal processing apparatus and gas supply method
CN112447477A (en) * 2019-08-29 2021-03-05 吉佳蓝科技股份有限公司 Plasma processing apparatus including focus ring having improved plasma processing verticality
US20210193440A1 (en) * 2019-12-18 2021-06-24 Psk Inc. Substrate processing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11195731B2 (en) * 2016-12-28 2021-12-07 SCREEN Holdings Co., Ltd. Substrate processing device, substrate processing method, and substrate processing system
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN114068276A (en) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 Semiconductor component, plasma reaction apparatus, and coating layer forming method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN115244209A (en) * 2020-03-06 2022-10-25 东华隆株式会社 Novel tungsten-based spray coating film and spray coating material for obtaining same
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US20230062902A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
US11598004B2 (en) * 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
WO2002058126A1 (en) * 2001-01-22 2002-07-25 Tokyo Electron Limited Device and method for treatment
JP3990881B2 (en) * 2001-07-23 2007-10-17 株式会社日立製作所 Semiconductor manufacturing apparatus and cleaning method thereof
JP4663927B2 (en) * 2001-08-29 2011-04-06 信越化学工業株式会社 Rare earth-containing oxide member
JP3964198B2 (en) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 Plasma processing apparatus and process processing system
JP4074461B2 (en) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and semiconductor device manufacturing method
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE60324625D1 (en) 2002-04-04 2008-12-24 Tosoh Corp Thermally sprayed quartz glass parts and manufacturing processes
JP4113755B2 (en) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 Processing equipment
JP4503270B2 (en) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 Inside the plasma processing vessel
JP3829935B2 (en) 2002-12-27 2006-10-04 信越化学工業株式会社 High voltage resistance member
KR100783829B1 (en) * 2003-05-02 2007-12-10 동경 엘렉트론 주식회사 Process gas introducing mechanism and plasma processing device
KR101030433B1 (en) * 2003-06-12 2011-04-20 주성엔지니어링(주) Chemical vapor deposition apparatus which consists of chamber shield and Method for fabricating chamber shield
KR20050004995A (en) * 2003-07-01 2005-01-13 삼성전자주식회사 Apparatus for processing a substrate using a plasma
JP2005072446A (en) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp Plasma treatment device and substrate surface treatment device
KR100568256B1 (en) * 2003-12-11 2006-04-07 삼성전자주식회사 Method for cleaning fabrication apparatus of semiconductor device
JP4532897B2 (en) * 2003-12-26 2010-08-25 財団法人国際科学振興財団 Plasma processing apparatus, plasma processing method and product manufacturing method
KR101069195B1 (en) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 open type thin film processing apparatus for manufacturing flat panel display device and thin film processing method using thereof
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP2007088411A (en) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp Electrostatic attraction device, wafer processing apparatus and plasma processing method
KR100727672B1 (en) * 2005-07-14 2007-06-13 신에쯔 세끼에이 가부시키가이샤 Member for Plasma Etching Device and Method for Manufacture Thereof
JP5089874B2 (en) * 2005-09-12 2012-12-05 トーカロ株式会社 Plasma processing apparatus member and manufacturing method thereof
JP4522984B2 (en) * 2005-11-02 2010-08-11 パナソニック株式会社 Plasma processing equipment
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
KR100939256B1 (en) * 2006-06-21 2010-01-29 한국과학기술연구원 Method for manufacturing a ceramic coating material for thermal spray on the parts of semiconductor processing devices
KR100877381B1 (en) * 2006-07-20 2009-01-09 충남대학교산학협력단 Electrostatic Chuck with High-Resistivity Ceramic Coating Materials
WO2008010632A1 (en) * 2006-07-20 2008-01-24 University-Industry Collaboration Foundation Chungnam National University Electrostatic chuck with high-resistivity ceramic coating materials
JP5134223B2 (en) * 2006-09-06 2013-01-30 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP5159204B2 (en) 2006-10-31 2013-03-06 株式会社フジミインコーポレーテッド Thermal spray powder, thermal spray coating formation method, plasma resistant member, and plasma processing chamber
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5660753B2 (en) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated High temperature cathode for plasma etching
KR101408375B1 (en) * 2007-08-29 2014-06-18 최대규 Heater having inductively coupled plasma source and plasma process chamber
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
KR100887582B1 (en) * 2007-09-27 2009-03-09 세메스 주식회사 Apparatus for processing a wafer and method of processing a wafer using the apparatus
SG170079A1 (en) * 2008-01-14 2011-04-29 Komico Ltd Spray coating powder and method of manufacturing spray coating powder
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
KR20100006009A (en) * 2008-07-08 2010-01-18 주성엔지니어링(주) Apparatus for manufacturing semiconductor
JP5439771B2 (en) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 Deposition equipment
KR101497897B1 (en) * 2008-11-27 2015-03-05 삼성전자 주식회사 Apparatus for making semiconductor
JP5479867B2 (en) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
JP2010174325A (en) * 2009-01-29 2010-08-12 Kyocera Corp Discharge electrode unit, discharge electrode assembly and discharge treatment apparatus
KR101514098B1 (en) 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and temperature measuring method and apparatus used therein
JP5214513B2 (en) * 2009-02-02 2013-06-19 東京エレクトロン株式会社 Plasma processing apparatus, temperature measuring method, and temperature measuring apparatus
JP4955027B2 (en) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 Control method of plasma by magnetic field in exhaust gas treatment device
KR101065351B1 (en) * 2009-04-10 2011-09-16 세메스 주식회사 Apparatus for transferring substrate
KR101035547B1 (en) * 2009-05-21 2011-05-23 삼정보일러공업(주) The methed Heat transferring using industrial wastes theree apparatus
JP5323628B2 (en) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
JP5039120B2 (en) * 2009-12-07 2012-10-03 東京エレクトロン株式会社 Alumina member for plasma processing apparatus and method for manufacturing alumina member for plasma processing apparatus
US20120318662A1 (en) * 2009-12-24 2012-12-20 Nissan Chemical Industries, Ltd. Method for forming bond between different elements
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5740203B2 (en) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 Plasma processing apparatus and processing gas supply structure thereof
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP6034156B2 (en) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
WO2013099890A1 (en) 2011-12-28 2013-07-04 株式会社 フジミインコーポレーテッド Yttrium oxide coating film
JP6229136B2 (en) * 2012-03-09 2017-11-15 株式会社ユーテック CVD equipment
KR101383291B1 (en) * 2012-06-20 2014-04-10 주식회사 유진테크 Apparatus for processing substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6257071B2 (en) * 2012-09-12 2018-01-10 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082354A (en) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp Plasma processing apparatus
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
WO2014103728A1 (en) * 2012-12-27 2014-07-03 昭和電工株式会社 Film-forming device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102264053B1 (en) * 2013-05-01 2021-06-11 어플라이드 머티어리얼스, 인코포레이티드 Inject and exhaust design for epi chamber flow manipulation
JP6199619B2 (en) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー Vapor growth equipment
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6045485B2 (en) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 Substrate processing equipment
JP6317921B2 (en) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105428195B (en) * 2014-09-17 2018-07-17 东京毅力科创株式会社 The component of plasma processing apparatus and the manufacturing method of component
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10208398B2 (en) 2014-12-02 2019-02-19 Showa Denko K.K. Wafer support, chemical vapor phase growth device, epitaxial wafer and manufacturing method thereof
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
CN111593324A (en) * 2015-02-13 2020-08-28 恩特格里斯公司 Porous matrix filter and method of making same
JP5981013B1 (en) * 2015-02-24 2016-08-31 株式会社リケン Piston ring for internal combustion engine
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN106337202B (en) * 2015-07-17 2018-11-06 中国科学院苏州纳米技术与纳米仿生研究所 A kind of gas shower apparatus for high temperature crystal growth
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20180374706A1 (en) * 2015-12-22 2018-12-27 Applied Materials, Inc. Corrosion resistant coating for semiconductor process equipment
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
JP2017157778A (en) 2016-03-04 2017-09-07 東京エレクトロン株式会社 Substrate processing device
TWI689619B (en) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 Apparatus and method for providing a uniform flow of gas
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6700156B2 (en) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー Film forming equipment
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN106539491B (en) * 2017-01-23 2018-09-07 珠海格力电器股份有限公司 Pot cover component and pressure cooker
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019116676A (en) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 Corrosion resistant structure of component of film deposition apparatus, and manufacturing method of component
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102253808B1 (en) * 2019-01-18 2021-05-20 주식회사 유진테크 Apparatus for processing substrate
KR102227909B1 (en) * 2019-07-16 2021-03-16 주식회사 유진테크 Batch type substrate processing apparatus and method for operating the same
KR102077975B1 (en) * 2019-10-15 2020-02-14 주식회사 기가레인 Plasma treatment device with improved plasma treatment verticality
CN112713073B (en) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 Corrosion-resistant gas conveying component and plasma processing device thereof
KR102161704B1 (en) * 2020-01-21 2020-10-06 한국과학기술연구원 apparatus and method for fluorination of components
CN115298796A (en) * 2020-01-23 2022-11-04 朗姆研究公司 Protective coating for semiconductor reaction chamber
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
AU541132B2 (en) * 1980-03-13 1984-12-20 T.I. Accles & Pollock Ltd Golf club shaft
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (en) 1981-09-30 1983-04-05 Sony Corp Preparation of green fluorescent material
JPS5887273A (en) * 1981-11-18 1983-05-25 Hitachi Ltd Parts having ceramic coated layer and their production
JPH065155B2 (en) * 1984-10-12 1994-01-19 住友金属工業株式会社 Furnace wall repair device for kiln
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (en) 1988-01-25 1990-02-12 Elkem Technology The plasma torch.
EP0407945B1 (en) * 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (en) * 1989-09-28 1991-05-16 Nippon Mining Co Ltd Method for decreasing oxygen in rare earth metal
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (en) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Process for the production of coated particles from inorganic or metallic materials
JPH07122762B2 (en) * 1990-06-13 1995-12-25 株式会社精工舎 Recording device
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3000179B2 (en) * 1991-08-26 2000-01-17 東京エレクトロン株式会社 Transport drive
DE4103994A1 (en) 1991-02-11 1992-08-13 Inst Elektroswarki Patona PROTECTIVE COVER OF THE METAL-CERAMIC TYPE FOR ITEMS OF HEAT-RESISTANT ALLOYS
DE69213802T2 (en) * 1991-04-09 1997-02-27 Ngk Insulators Ltd Use of an oxide layer to improve the oxidation and corrosion resistance of a gas turbine blades made of silicon nitride
JP3175189B2 (en) 1991-05-13 2001-06-11 ソニー株式会社 Low pressure CVD equipment
JPH05238855A (en) * 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The Production of ceramic coating member
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR940006221A (en) 1992-06-05 1994-03-23 제임스 조셉 드롱 Integrated Circuit Configuration Process Processor
KR100276093B1 (en) * 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
EP0682061B1 (en) 1992-12-28 2001-09-05 Nippon Zeon Co., Ltd. Molding with hard-coating layer and process for producing the same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (en) 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (en) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 Material for vacuum processing apparatus and method for producing the same
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (en) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07263354A (en) * 1994-03-25 1995-10-13 Kobe Steel Ltd Formation of plasma cvd film
US5651723A (en) * 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
WO1995031822A1 (en) * 1994-05-17 1995-11-23 Hitachi, Ltd. Device and method for plasma treatment
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (en) 1994-08-26 1996-07-11 Siemens Ag Discharge chamber for a plasma etching system in semiconductor production
JP3699142B2 (en) 1994-09-30 2005-09-28 アネルバ株式会社 Thin film forming equipment
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5898586A (en) * 1994-11-04 1999-04-27 Eli Lilly And Company Method for administering clinical trail material
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3599834B2 (en) * 1995-05-30 2004-12-08 アネルバ株式会社 Plasma processing equipment
JP3595608B2 (en) 1995-05-30 2004-12-02 アネルバ株式会社 Vacuum processing apparatus, method for removing deposited film on inner surface of vacuum vessel in vacuum processing apparatus, and method for uniforming film deposition on inner surface of vacuum vessel in vacuum processing apparatus
JP3208044B2 (en) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JPH08339895A (en) * 1995-06-12 1996-12-24 Tokyo Electron Ltd Plasma processing device
TW323387B (en) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (en) * 1995-06-15 2001-05-08 住友金属工業株式会社 Microwave plasma processing equipment
DE19529627C1 (en) 1995-08-11 1997-01-16 Siemens Ag Thermally conductive, electrically insulating connection and method for its production
JP3378126B2 (en) * 1995-09-01 2003-02-17 三菱電機株式会社 Vacuum processing apparatus and semiconductor device manufacturing method
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
IT1277078B1 (en) * 1995-12-14 1997-11-04 Geld & Kapitalanlagen Ag MACHINE FOR FORMING COSMETIC PRODUCT TABLETS
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (en) 1996-02-28 1997-09-09 Nittetsu Hard Kk Formation of thermally sprayed coating
CN1074689C (en) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 Method of producing on substrate of protective coatings with chemical composition and structure gradient across thickness and with top ceramic layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (en) 1996-05-27 2000-06-12 住友金属工業株式会社 Plasma processing equipment
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (en) * 1996-06-17 1998-01-06 Kyocera Corp Anticorrosive material for semiconductor fabrication
JP3241270B2 (en) * 1996-06-25 2001-12-25 日本政策投資銀行 Thermoelectric converter
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (en) * 1996-07-31 2005-02-09 京セラ株式会社 Components for plasma process equipment
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE19654147A1 (en) * 1996-12-23 1998-06-25 Basf Ag Use of aminoisothiazoles as microbicides
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
JP3798491B2 (en) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 Dry etching method
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (en) * 1997-02-21 1999-11-22 トーカロ株式会社 Boiler heat transfer tube and method for producing boiler heat transfer tube with excellent effect of suppressing deposit adhesion on inner surface of tube
JP3488373B2 (en) * 1997-11-28 2004-01-19 京セラ株式会社 Corrosion resistant materials
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10273777A (en) * 1997-03-28 1998-10-13 Nikon Corp Inductively coupled plasma cvd system and uniform deposition method using the same
JP2953424B2 (en) * 1997-03-31 1999-09-27 日本電気株式会社 Lead frame for face down bonding
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (en) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 Electrophotographic photosensitive member and electrophotographic image forming apparatus using the same
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JP3362113B2 (en) * 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
JPH1136076A (en) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
KR19990008937U (en) 1997-08-13 1999-03-05 이문세 Soundproof wall assembly
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6180262B1 (en) * 1997-12-19 2001-01-30 United Technologies Corporation Thermal coating composition
KR100258984B1 (en) * 1997-12-24 2000-08-01 윤종용 Dry etching apparatus
JPH11219937A (en) * 1998-01-30 1999-08-10 Toshiba Corp Process device
JP3350433B2 (en) 1998-02-16 2002-11-25 シャープ株式会社 Plasma processing equipment
JP4217299B2 (en) 1998-03-06 2009-01-28 東京エレクトロン株式会社 Processing equipment
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (en) * 1998-04-22 2000-10-02 윤종용 Baffle of etching equipment for fabricating semiconductor device
JP4037956B2 (en) 1998-04-28 2008-01-23 東海カーボン株式会社 Chamber inner wall protection member
JP3810039B2 (en) 1998-05-06 2006-08-16 キヤノン株式会社 Stage equipment
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (en) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 Plasma processing apparatus and detection window
JP2000103689A (en) * 1998-09-28 2000-04-11 Kyocera Corp Alumina sintered compact, its production and plasma- resistant member
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (en) 1998-10-09 2000-04-10 株式会社協同インターナショナル Method for cleaning film forming apparatus, method for cleaning sputtering target, and cleaning apparatus used for these
JP2000124197A (en) 1998-10-16 2000-04-28 Hitachi Ltd Plasma treatment apparatus
JP4073584B2 (en) * 1998-11-04 2008-04-09 株式会社ミクニ Valve drive device
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4283925B2 (en) * 1999-01-27 2009-06-24 太平洋セメント株式会社 Corrosion resistant material
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (en) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 Processing apparatus and surface treatment method for metal parts
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (en) 1999-07-05 2001-01-26 Mitsubishi Electric Corp Plasma processing apparatus
JP2001031484A (en) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd Corrosion-resistant composite member
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (en) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 Processing method
US6651504B1 (en) * 1999-09-16 2003-11-25 Ut-Battelle, Llc Acoustic sensors using microstructures tunable with energy other than acoustic energy
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (en) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd Method of forming corrosion resisting combined coating standing long use, and member having the composite coating
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (en) 2000-01-20 2004-09-22 住友電気工業株式会社 Wafer holder for semiconductor manufacturing equipment
JP4272786B2 (en) 2000-01-21 2009-06-03 トーカロ株式会社 Electrostatic chuck member and manufacturing method thereof
ES2204853T3 (en) * 2000-03-15 2004-05-01 Paul-Eric Preising CLEANING PROCEDURE AND DEVICE FOR HIGH VOLTAGE DRIVING INSTALLATION PARTS.
US6364948B1 (en) * 2000-03-21 2002-04-02 Mars, Inc. Coating and drying apparatus
US6396161B1 (en) * 2000-04-17 2002-05-28 Delco Remy America, Inc. Integrated starter alternator troller
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (en) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 CVD equipment
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) * 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (en) 2000-10-25 2002-05-10 Taiheiyo Cement Corp Member for vacuum treating apparatus
JP2002151473A (en) * 2000-11-13 2002-05-24 Tokyo Electron Ltd Plasma processing apparatus and its assembling method
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (en) 2000-12-12 2013-06-26 东京毅力科创株式会社 Member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
ATE404982T1 (en) 2001-02-07 2008-08-15 Hitachi Metals Ltd METHOD FOR PRODUCING A METAL ALLOY FOR AN IRON-BASED RARE EARTH MAGNET
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (en) * 2001-07-24 2004-05-17 삼성전자주식회사 Dry Etching Apparatus for Manufacturing Semiconductor Devices
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (en) * 2001-09-21 2008-10-16 Fujifilm Corp Organic electroluminescent device
KR100440500B1 (en) 2001-12-07 2004-07-15 주식회사 코미코 Ceramic parts production and repair for semiconductor fabrication by plasma spray process
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (en) * 2002-08-02 2004-12-03 삼성전자주식회사 Process chamber for using semiconductor fabricating equipment
JP3776856B2 (en) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6896106B2 (en) * 2002-10-02 2005-05-24 Arvinmeritor Technology, Llc Method and assembly for automatic slack adjustment of an electric brake actuator
CN1249789C (en) 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (en) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp Treatment method of plasma treatment chamber wall
CN100418187C (en) * 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
CN100495413C (en) 2003-03-31 2009-06-03 东京毅力科创株式会社 A method for adjoining adjacent coatings on a processing element
KR101016913B1 (en) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 A barrier layer for a processing element and a method of forming the same
US6838862B2 (en) * 2003-04-04 2005-01-04 Harris Corporation Pulse width modulator having reduced signal distortion at low duty cycles
EP2071049A1 (en) * 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
JP4238882B2 (en) 2006-06-09 2009-03-18 トヨタ自動車株式会社 Ejector system for vehicles

Cited By (473)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050147852A1 (en) * 1999-12-10 2005-07-07 Tocalo Co., Ltd. Internal member for plasma-treating vessel and method of producing the same
US20080069966A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7364798B2 (en) * 1999-12-10 2008-04-29 Tocalo Co., Ltd. Internal member for plasma-treating vessel and method of producing the same
US20030200929A1 (en) * 1999-12-10 2003-10-30 Hayashi Otsuki Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7846291B2 (en) 1999-12-10 2010-12-07 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080070032A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080066647A1 (en) * 1999-12-10 2008-03-20 Tocalo Co., Ltd. Internal member for plasma-treating vessel and method of producing the same
US7879179B2 (en) 1999-12-10 2011-02-01 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
EP1158072A2 (en) * 2000-04-18 2001-11-28 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1158072A3 (en) * 2000-04-18 2004-01-07 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6783875B2 (en) 2000-04-18 2004-08-31 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
WO2002068129A1 (en) * 2000-12-29 2002-09-06 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US20100003826A1 (en) * 2000-12-29 2010-01-07 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US7605086B2 (en) 2000-12-29 2009-10-20 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US20040224128A1 (en) * 2000-12-29 2004-11-11 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US8486841B2 (en) 2000-12-29 2013-07-16 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
EP1245696A3 (en) * 2001-03-30 2004-02-25 Toshiba Ceramics Co., Ltd. Plasma resistant member
US20050227118A1 (en) * 2001-03-30 2005-10-13 Toshiba Ceramics Co., Ltd. Plasma resistant member
EP1245696A2 (en) * 2001-03-30 2002-10-02 Toshiba Ceramics Co., Ltd. Plasma resistant member
WO2003001559A1 (en) * 2001-06-25 2003-01-03 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US20040033385A1 (en) * 2001-06-25 2004-02-19 Kaushal Tony S. Erosion-resistant components for plasma process chambers
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US20030015291A1 (en) * 2001-07-18 2003-01-23 Jusung Engineering Co., Ltd. Semiconductor device fabrication apparatus having multi-hole angled gas injection system
US20040067392A1 (en) * 2001-07-19 2004-04-08 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US7138192B2 (en) * 2001-07-19 2006-11-21 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US7297635B2 (en) 2001-09-26 2007-11-20 Tokyo Electron Limited Processing method
CN100349268C (en) * 2001-09-26 2007-11-14 东京毅力科创株式会社 Processing method
WO2003030238A1 (en) * 2001-09-26 2003-04-10 Tokyo Electron Limited Processing method
US20070298617A1 (en) * 2001-09-26 2007-12-27 Tokyo Electron Limited Processing method
US6902814B2 (en) 2001-11-13 2005-06-07 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
EP1310466A3 (en) * 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
KR100903535B1 (en) 2001-12-19 2009-06-23 어플라이드 머티어리얼즈 인코포레이티드 Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression
EP1459351A2 (en) * 2001-12-19 2004-09-22 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression
US9012030B2 (en) * 2002-01-08 2015-04-21 Applied Materials, Inc. Process chamber component having yttrium—aluminum coating
KR100864205B1 (en) * 2002-01-08 2008-10-17 어플라이드 머티어리얼스, 인코포레이티드 Process chamber having component with yttrium-aluminum coating
WO2003060187A1 (en) * 2002-01-08 2003-07-24 Applied Materials, Inc. Process chamber having component with yttrium-aluminum coating
US8114525B2 (en) 2002-01-08 2012-02-14 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
CN100439562C (en) * 2002-01-08 2008-12-03 应用材料有限公司 Process chamber component having electroplated yttrium containing coating
US7833401B2 (en) 2002-01-08 2010-11-16 Applied Materials, Inc. Electroplating an yttrium-containing coating on a chamber component
US20080017516A1 (en) * 2002-01-08 2008-01-24 Applied Materials, Inc. Forming a chamber component having a yttrium-containing coating
US20120138472A1 (en) * 2002-01-08 2012-06-07 Applied Materials, Inc. Method of forming a process chamber component having electroplated yttrium containing coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8110086B2 (en) 2002-01-08 2012-02-07 Applied Materials, Inc. Method of manufacturing a process chamber component having yttrium-aluminum coating
US20080223725A1 (en) * 2002-01-08 2008-09-18 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20120135155A1 (en) * 2002-01-08 2012-05-31 Applied Materials, Inc. Process chamber component having yttrium-aluminum coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20030226347A1 (en) * 2002-01-30 2003-12-11 Rory Smith Synthetic fiber rope for an elevator
US20030141017A1 (en) * 2002-01-30 2003-07-31 Tokyo Electron Limited Plasma processing apparatus
US20090087615A1 (en) * 2002-02-14 2009-04-02 Sun Jennifer Y Corrosion-resistant gas distribution plate for plasma processing chamber
US8016948B2 (en) 2002-02-14 2011-09-13 Applied Materials, Inc. Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
US7846264B2 (en) 2002-02-14 2010-12-07 Applied Materials, Inc. Cleaning method used in removing contaminants from a solid yttrium oxide-containing substrate
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20070151581A1 (en) * 2002-02-14 2007-07-05 Applied Materials, Inc. Cleaning method used in removing contaminants from a solid yttrium oxide-containing substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7611640B1 (en) * 2002-05-06 2009-11-03 Lam Research Corporation Minimizing arcing in a plasma processing chamber
US20040168769A1 (en) * 2002-05-10 2004-09-02 Takaaki Matsuoka Plasma processing equipment and plasma processing method
US20050150866A1 (en) * 2002-06-27 2005-07-14 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
WO2004003962A3 (en) * 2002-06-27 2004-04-01 Lam Res Corp Thermal sprayed yttria-containing coating for plasma reactor
WO2004003962A2 (en) * 2002-06-27 2004-01-08 Lam Research Corporation Thermal sprayed yttria-containing coating for plasma reactor
US20040002221A1 (en) * 2002-06-27 2004-01-01 O'donnell Robert J. Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7300537B2 (en) 2002-06-27 2007-11-27 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
US20090165720A1 (en) * 2002-08-30 2009-07-02 Tokyo Electron Limited Substrate treating apparatus
US20050235918A1 (en) * 2002-08-30 2005-10-27 Yasuhiko Kojima Substrate treating apparatus
US20120200051A1 (en) * 2002-09-20 2012-08-09 Tokyo Electron Limited Method for coating internal member having holes in vacuum processing apparatus and the internal member having holes coated by using the coating method
US20050103268A1 (en) * 2002-09-30 2005-05-19 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040060661A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20070204794A1 (en) * 2002-09-30 2007-09-06 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040060656A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
CN100466153C (en) * 2002-09-30 2009-03-04 东京毅力科创株式会社 Method and apparatus for an improved deposition shield in a plasma processing system
US7811428B2 (en) 2002-09-30 2010-10-12 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR100732260B1 (en) * 2002-09-30 2007-06-25 동경 엘렉트론 주식회사 Method And Apparatus For An Improved Optical Window Deposition Shield In A Plasma Processing System
US20070125494A1 (en) * 2002-09-30 2007-06-07 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
WO2004030014A3 (en) * 2002-09-30 2004-12-02 Tokyo Electron Ltd Optical window deposition shield in a plasma processing system
US20070096658A1 (en) * 2002-09-30 2007-05-03 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070034337A1 (en) * 2002-09-30 2007-02-15 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
CN100367446C (en) * 2002-09-30 2008-02-06 东京毅力科创株式会社 Optical window deposition shield for use in a plasma processing system
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
US20070028839A1 (en) * 2002-09-30 2007-02-08 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
WO2004030014A2 (en) * 2002-09-30 2004-04-08 Tokyo Electron Limited Optical window deposition shield in a plasma processing system
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
WO2004030011A3 (en) * 2002-09-30 2004-08-05 Tokyo Electron Ltd Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode
WO2004030011A2 (en) * 2002-09-30 2004-04-08 Tokyo Electron Limited Improved upper electrode plate in a plasma processing system and manufacturing method for the electrode
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040173155A1 (en) * 2002-09-30 2004-09-09 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20040061447A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP2006501648A (en) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 Method and apparatus for improved optical window deposition shield in a plasma processing system
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20100307687A1 (en) * 2002-11-28 2010-12-09 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US8877002B2 (en) 2002-11-28 2014-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US8449715B2 (en) 2002-11-28 2013-05-28 Tokyo Electron Limited Internal member of a plasma processing vessel
US20090104781A1 (en) * 2003-02-07 2009-04-23 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US8043971B2 (en) 2003-02-07 2011-10-25 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20090226633A1 (en) * 2003-03-17 2009-09-10 Tokyo Electron Limited Reduced Maintenance Chemical Oxide Removal (COR) Processing System
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US8409399B2 (en) 2003-03-17 2013-04-02 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20060183344A1 (en) * 2003-03-31 2006-08-17 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20070246346A1 (en) * 2003-05-06 2007-10-25 Applied Materials, Inc. Electroformed sputtering target
US20050126711A1 (en) * 2003-05-29 2005-06-16 Hideyuki Kazumi Plasma processing apparatus
EP1640474A1 (en) * 2003-06-02 2006-03-29 Shincron Co., Ltd. Thin film forming device and thin film forming method
EP1640474A4 (en) * 2003-06-02 2011-06-22 Shincron Co Ltd Thin film forming device and thin film forming method
US20040255858A1 (en) * 2003-06-17 2004-12-23 Sang-Gon Lee Gas valve assembly and apparatus using the same
US7381274B2 (en) * 2003-06-17 2008-06-03 Jusung Engineering Col, Ltd. Gas valve assembly and apparatus using the same
US20050042483A1 (en) * 2003-08-22 2005-02-24 Saint-Gobain Ceramics & Plastics Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US20080131689A1 (en) * 2003-08-22 2008-06-05 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050282034A1 (en) * 2004-01-29 2005-12-22 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US7384696B2 (en) 2004-01-29 2008-06-10 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US20070095284A1 (en) * 2004-06-04 2007-05-03 Iizuka Hachishiro Gas treating device and film forming device
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US8017062B2 (en) 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
US20060046450A1 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
US7569280B2 (en) 2004-10-26 2009-08-04 Kyocera Corporation Corrosion resistant member and method for manufacturing the same
US20080292890A1 (en) * 2004-10-26 2008-11-27 Kyocera Corporation Corrosion Resistant Member and Method for Manufacturing the Same
US20060110320A1 (en) * 2004-11-22 2006-05-25 Isao Aoki Thermal spraying powder and manufacturing method thereof
US20060116274A1 (en) * 2004-11-30 2006-06-01 Junya Kitamura Thermal spraying powder, thermal spraying method, and method for forming thermal spray coating
US7842383B2 (en) 2004-11-30 2010-11-30 Fujimi Incorporated Yttrium-aluminum double oxide thermal spraying powder
US20060182969A1 (en) * 2005-02-15 2006-08-17 Junya Kitamura Thermal spraying powder
US7279221B2 (en) 2005-02-15 2007-10-09 Fujimi Incorporated Thermal spraying powder
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US20090130335A1 (en) * 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070157683A1 (en) * 2005-12-19 2007-07-12 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
EP1914788A1 (en) * 2006-10-17 2008-04-23 Tokyo Electron Limited Substrate stage and plasma processing apparatus
US8585844B2 (en) 2007-01-11 2013-11-19 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080169588A1 (en) * 2007-01-11 2008-07-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
EP1988187A2 (en) 2007-04-27 2008-11-05 Applied Materials, INC. Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8034734B2 (en) 2007-04-27 2011-10-11 Applied Materials, Inc. Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8623527B2 (en) 2007-04-27 2014-01-07 Applied Materials, Inc. Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US20100160143A1 (en) * 2007-04-27 2010-06-24 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic of yttrium oxide and zirconium oxide
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8871312B2 (en) 2007-08-02 2014-10-28 Applied Materials, Inc. Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
US20100243165A1 (en) * 2007-11-01 2010-09-30 Pyung-Yong Um Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20110000529A1 (en) * 2008-04-08 2011-01-06 Shimadzu Corporation Cathode Electrode for Plasma CVD and Plasma CVD Apparatus
US20090260569A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US8277561B2 (en) 2008-04-18 2012-10-02 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090260572A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US8986495B2 (en) * 2009-12-03 2015-03-24 Tokyo Electron Limited Plasma processing apparatus
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US20110132542A1 (en) * 2009-12-03 2011-06-09 Tokyo Electron Limited Plasma processing apparatus
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US20110151599A1 (en) * 2009-12-23 2011-06-23 Heung-Yeol Na Vapor deposition apparatus having improved carrier gas supplying structure and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20120034394A1 (en) * 2010-08-06 2012-02-09 Ali Shajii Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120217222A1 (en) * 2011-02-24 2012-08-30 Maolin Long Plasma processing systems including side coils and methods related to the plasma processing systems
US10340121B2 (en) 2011-02-24 2019-07-02 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US9336996B2 (en) * 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
TWI550709B (en) * 2011-02-24 2016-09-21 蘭姆研究公司 Plasma processing systems including side coils and methods related to the plasma processing systems
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9410247B2 (en) * 2011-10-20 2016-08-09 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20130098293A1 (en) * 2011-10-20 2013-04-25 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9869019B2 (en) 2012-01-04 2018-01-16 Eugene Technology Co., Ltd. Substrate processing apparatus including processing unit
WO2013116840A3 (en) * 2012-02-02 2015-06-25 Greene, Tweed Of Delaware, Inc. Gas dispersion plate for plasma reactor having extended lifetime
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
US20130333620A1 (en) * 2012-06-14 2013-12-19 Zilan Li Feed-through apparatus for a chemical vapour deposition device
WO2014018835A1 (en) * 2012-07-26 2014-01-30 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
TWI608516B (en) * 2012-11-27 2017-12-11 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method and memory media
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9679750B2 (en) * 2013-05-15 2017-06-13 Asm Ip Holding B.V. Deposition apparatus
US20140338601A1 (en) * 2013-05-15 2014-11-20 Asm Ip Holding B.V. Deposition apparatus
CN104178748A (en) * 2013-05-21 2014-12-03 东京毅力科创株式会社 Air supplying head, air supplying mechanism and substrate processing device
TWI621178B (en) * 2013-05-21 2018-04-11 Tokyo Electron Ltd Gas supply head, gas supply mechanism, and substrate processing apparatus
US20150270108A1 (en) * 2013-06-05 2015-09-24 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US20140363596A1 (en) * 2013-06-05 2014-12-11 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10734202B2 (en) * 2013-06-05 2020-08-04 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10604831B2 (en) * 2014-05-16 2020-03-31 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US11578398B2 (en) 2014-05-16 2023-02-14 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US20190136360A1 (en) * 2014-05-16 2019-05-09 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10287212B2 (en) 2014-06-26 2019-05-14 Toto Ltd. Plasma-resistant member
US10403535B2 (en) * 2014-08-15 2019-09-03 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US20160049323A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US20160086773A1 (en) * 2014-09-18 2016-03-24 Tokyo Electron Limited Plasma processing apparatus
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160189931A1 (en) * 2014-12-25 2016-06-30 Tokyo Electron Limited Plasma processing apparatus and method for determining replacement of member of plasma processing apparatus
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10655223B2 (en) * 2015-12-04 2020-05-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935470A (en) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 A kind of plasma processor with temperature measuring equipment
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US20170316942A1 (en) * 2016-05-02 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US10734231B2 (en) 2016-05-02 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US10170313B2 (en) * 2016-05-02 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11195731B2 (en) * 2016-12-28 2021-12-07 SCREEN Holdings Co., Ltd. Substrate processing device, substrate processing method, and substrate processing system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
CN110730999A (en) * 2017-06-09 2020-01-24 马特森技术有限公司 Plasma stripping tool with multiple gas injection
US20210398775A1 (en) * 2017-06-09 2021-12-23 Mattson Technology, Inc. Plasma Strip Tool with Multiple Gas Injection
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111066121A (en) * 2017-09-11 2020-04-24 应用材料公司 Selective in-situ cleaning of high dielectric constant films from processing chambers using reactive gas precursors
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP2019153789A (en) * 2018-03-02 2019-09-12 ラム リサーチ コーポレーションLam Research Corporation Quartz part with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11598004B2 (en) * 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11891701B2 (en) * 2019-04-12 2024-02-06 Shin-Etsu Chemical Co., Ltd. Spraying material, spraying slurry, preparing method of spraying material, forming method of sprayed coating, sprayed coating, and sprayed member
CN111826601A (en) * 2019-04-12 2020-10-27 信越化学工业株式会社 Spray material and method for producing the same, spray slurry, spray coating and method for forming the same, and spray member
US20200325570A1 (en) * 2019-04-12 2020-10-15 Shin-Etsu Chemical Co., Ltd. Spraying material, spraying slurry, preparing method of spraying material, forming method of sprayed coating, sprayed coating, and sprayed member
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20200407848A1 (en) * 2019-06-25 2020-12-31 Tokyo Electron Limited Gas introduction structure, thermal processing apparatus and gas supply method
US11725281B2 (en) * 2019-06-25 2023-08-15 Tokyo Electron Limited Gas introduction structure, thermal processing apparatus and gas supply method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
CN112447477A (en) * 2019-08-29 2021-03-05 吉佳蓝科技股份有限公司 Plasma processing apparatus including focus ring having improved plasma processing verticality
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US20210193440A1 (en) * 2019-12-18 2021-06-24 Psk Inc. Substrate processing apparatus
US11862434B2 (en) * 2019-12-18 2024-01-02 Psk Inc. Substrate processing apparatus
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN115244209A (en) * 2020-03-06 2022-10-25 东华隆株式会社 Novel tungsten-based spray coating film and spray coating material for obtaining same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11920234B2 (en) 2020-04-10 2024-03-05 Applied Materials, Inc. Yttrium oxide based coating composition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
CN114068276A (en) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 Semiconductor component, plasma reaction apparatus, and coating layer forming method
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11939664B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
US20230062902A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing semiconductor processes with coated bell jar
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR20090098952A (en) 2009-09-18
KR20010062209A (en) 2001-07-07
KR20100055370A (en) 2010-05-26
US7846291B2 (en) 2010-12-07
KR20070020109A (en) 2007-02-16
KR20070090863A (en) 2007-09-06
KR100944572B1 (en) 2010-02-25
KR20080071963A (en) 2008-08-05
KR20080072806A (en) 2008-08-07
KR20070020110A (en) 2007-02-16
KR100934508B1 (en) 2009-12-29
KR20080082587A (en) 2008-09-11
JP5100202B2 (en) 2012-12-19
US20080070032A1 (en) 2008-03-20
KR100934048B1 (en) 2009-12-24
US20030200929A1 (en) 2003-10-30
KR101015667B1 (en) 2011-02-22
KR100944573B1 (en) 2010-02-25
KR100885597B1 (en) 2009-02-24
JP2012018928A (en) 2012-01-26
KR20070089772A (en) 2007-09-03
KR100884165B1 (en) 2009-02-17
KR100944571B1 (en) 2010-02-25
JP2006336114A (en) 2006-12-14
KR20070089773A (en) 2007-09-03
US20080069966A1 (en) 2008-03-20
KR100994592B1 (en) 2010-11-15
US7879179B2 (en) 2011-02-01
KR20080082588A (en) 2008-09-11
KR100922902B1 (en) 2009-10-22
KR20090098780A (en) 2009-09-17
KR100972878B1 (en) 2010-07-28
JP4689563B2 (en) 2011-05-25
JP2001226773A (en) 2001-08-21
KR20080071538A (en) 2008-08-04
KR100884164B1 (en) 2009-02-17
KR20080075071A (en) 2008-08-14
JP2007291528A (en) 2007-11-08
KR100944576B1 (en) 2010-02-25
KR100944570B1 (en) 2010-02-25
TW514996B (en) 2002-12-21

Similar Documents

Publication Publication Date Title
US7879179B2 (en) Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
KR100882758B1 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment
CN101463473B (en) Shower plate electrode for plasma cvd reactor
TW548737B (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
CN101996843A (en) Plasma processing device and focusing ring
CN104854693A (en) Single-body electrostatic chuck
WO2019195024A1 (en) Modifying ferroelectric properties of hafnium oxide with hafnium nitride layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OTSUKI, HAYASHI;REEL/FRAME:011350/0180

Effective date: 20001201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION