US20010000426A1 - Phase-locked loop or delay-locked loop circuitry for programmable logic devices - Google Patents

Phase-locked loop or delay-locked loop circuitry for programmable logic devices Download PDF

Info

Publication number
US20010000426A1
US20010000426A1 US09/736,065 US73606500A US2001000426A1 US 20010000426 A1 US20010000426 A1 US 20010000426A1 US 73606500 A US73606500 A US 73606500A US 2001000426 A1 US2001000426 A1 US 2001000426A1
Authority
US
United States
Prior art keywords
circuitry
clock signal
programmable logic
pll
dll
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/736,065
Other versions
US6271729B2 (en
Inventor
Chiakang Sung
Joseph Huang
Bonnie Wang
Robert Bielby
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Priority to US09/736,065 priority Critical patent/US6271729B2/en
Publication of US20010000426A1 publication Critical patent/US20010000426A1/en
Priority to US09/855,865 priority patent/US6437650B1/en
Application granted granted Critical
Publication of US6271729B2 publication Critical patent/US6271729B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/081Details of the phase-locked loop provided with an additional controlled phase shifter
    • H03L7/0812Details of the phase-locked loop provided with an additional controlled phase shifter and where no voltage or current controlled oscillator is used
    • H03L7/0816Details of the phase-locked loop provided with an additional controlled phase shifter and where no voltage or current controlled oscillator is used the controlled phase shifter and the frequency- or phase-detection arrangement being connected to a common input
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/081Details of the phase-locked loop provided with an additional controlled phase shifter
    • H03L7/0812Details of the phase-locked loop provided with an additional controlled phase shifter and where no voltage or current controlled oscillator is used
    • H03L7/0818Details of the phase-locked loop provided with an additional controlled phase shifter and where no voltage or current controlled oscillator is used the controlled phase shifter comprising coarse and fine delay or phase-shifting means
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • H03L7/0995Details of the phase-locked loop concerning mainly the controlled oscillator of the loop the oscillator comprising a ring oscillator
    • H03L7/0996Selecting a signal among the plurality of phase-shifted signals produced by the ring oscillator
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • H03L7/0995Details of the phase-locked loop concerning mainly the controlled oscillator of the loop the oscillator comprising a ring oscillator
    • H03L7/0997Controlling the number of delay elements connected in series in the ring oscillator

Definitions

  • This invention relates to programmable logic device integrated circuits, and more particularly to phase-locked loop (“PLL”) or delay-locked loop (“DLL”) circuitry usable in the clock signal distribution networks of programmable logic device integrated circuits.
  • PLL phase-locked loop
  • DLL delay-locked loop
  • PLL or DLL circuitry on programmable logic devices to help counteract “skew” and excessive delay in clock signals propagating on the device (see, for example, Jefferson U.S. Pat. No. 5,699,020 and Reddy et al. U.S. Pat. No. 5,847,617, both of which are hereby incorporated by reference herein in their entireties).
  • PLL or DLL circuitry may be used to produce a clock signal which is advanced in time relative to a clock signal applied to the programmable logic device.
  • the advanced clock signal is propagated to portions of the device that are relatively distant from the applied clock signal so that the propagation delay of the advanced clock signal brings it back into synchronism with the applied clock signal when it reaches the distant portions of the device. In this way all portions of the device receive synchronous clock signals and clock signal “skew” (different amounts of delay in different portions of the device) is reduced.
  • Phenomena such as fabrication process variations, temperature changes, and power supply voltage differences can affect lumped circuit components and distributed circuit performance differently, making it difficult to accurately match distributed propagation delay with lumped circuit components.
  • Scaling a circuit up or down e.g., for fabrication using different technologies or to provide a family of products of different sizes (i.e., with different amounts of programmable logic) may also have different effects on lumped and distributed circuit components, and therefore reduce the desired accuracy of the PLL or DLL circuitry or necessitate a redesign of that circuitry.
  • the signal propagating in the above-described distributed feedback loop of the PLL or DLL circuitry may be slightly shifted in time (preferably by a programmably selectable amount) relative to the signal in the clock signal distribution network.
  • one PLL or DLL can provide two different clock signals, each of which has an accurate phase relationship to an input clock signal applied to the programmable logic device.
  • One of these signals can be used to clock input, output, or input/output (generically “I/O”) registers of the programmable logic device.
  • the other of these signals can be used as an output clock signal of the programmable logic device.
  • FIG. 1 is a simplified schematic block diagram of representative portions of an illustrative programmable logic integrated circuit device including an illustrative embodiment of PLL or DLL circuitry in accordance with the invention.
  • FIG. 2B is similar to FIG. 2A but for an illustrative DLL embodiment of a portion of the circuitry shown in FIG. 1 in accordance with the invention.
  • FIG. 3 is a simplified schematic block diagram of an alternative embodiment of a portion of the circuitry shown in FIG. 1 in accordance with the invention.
  • FIG. 4A is a more detailed, but still simplified, schematic block diagram of an illustrative embodiment of a portion of the circuitry shown in FIG. 2A in accordance with the invention.
  • FIG. 4B is similar to FIG. 4A but for an illustrative embodiment of a portion of the circuitry shown in FIG. 2B in accordance with the invention.
  • FIG. 5 is a simplified block diagram of an illustrative system employing a programmable logic device having PLL or DLL circuitry in accordance with the invention.
  • FIG. 1 Representative portions of an illustrative embodiment of a programmable logic device 10 including PLL or DLL circuitry in accordance with this invention is shown in simplified schematic block diagram form in FIG. 1.
  • Device 10 includes a plurality of regions 20 of programmable logic disposed on the device in a two-dimensional array of intersecting rows and columns of such regions.
  • regions 20 and other components of device 10 other than the PLL or DLL circuitry of this invention
  • Jefferson et al. U.S. patent application Ser. No. 09/266,235 which is hereby incorporated by reference herein in its entirety.
  • regions 20 called “super-regions 20” in the Jefferson et al.
  • this reference may be constructed, this reference also shows circuitry for programmably interconnecting the regions so that logic signals can be conveyed to, from, and between the regions in a great many different ways.
  • the combination of logic regions 20 that are programmable to perform any of many different logic functions and interconnection circuitry that is programmable to route logic signals on the device in many different ways makes device 10 an extremely flexible programmable logic integrated circuit.
  • the above-mentioned Jefferson et al. reference is just one example of a source of suitable constructions for regions 20 , circuitry for interconnecting those regions, and other components and circuitry that it is known in the art to provide in a programmable logic device like device 10 , and any other such circuitry can be used instead of the Jefferson et al. circuitry if desired.
  • Each of regions 20 typically receives one or more clock-type signals from a network of clock signal distributing conductors 40 on the device. Regions 20 may use these signals to clock registers (e.g., flip-flops) in the regions that are used to temporarily store logic signals or for other similar purposes.
  • Device 10 also includes I/O registers 30 (typically disposed around the outer periphery of the device) for conveying logic signals between the logic circuitry of the device and circuitry external to the device. Each I/O register region 30 also typically receives one or more clock signals from clock signal distribution network 40 and uses those signals to clock I/O registers (e.g., flip-flops) in that region.
  • clock signal distribution network 40 has the capability to deliver four clock signals throughout device 10 .
  • Circuitry associated with each of regions 20 and 30 allows selection of one of these four clock signals for use by each component in the region 20 / 30 requiring such a signal.
  • Network 40 includes buffers or drivers (e.g., amplifiers) 42 at strategic locations throughout the network to maintain the strength of the widely distributed clock signals.
  • Clock signal input terminals 50 a - 50 d are the ultimate sources of the clock signals (or at least the clock signal information) on clock signal distribution network 40 .
  • PLL phase-locked loop
  • DLL delay-locked loop
  • PLL/DLL circuitry 60 includes PLL/DLL circuit components 70 (see FIGS. 2A and 2B, and subsequent discussion, for more detail) and feedback loop circuitry 80 distributed throughout device 10 so as to closely resemble and parallel a portion of clock signal distribution network 40 .
  • Distributed feedback loop circuitry 80 is preferably placed closed to clock network 40 for best emulation, so that network 80 sees the same electrical environment as the adjacent portion of network 40 .
  • the design of network 80 closely emulates network 40 in the length of conductors, the number and placement of drivers, and driver strengths.
  • the purpose of PLL/DLL circuitry 60 is to produce an advanced clock signal which is advanced in time (or phase) relative to an associated input clock signal 50 a. If PLL/DLL circuitry 60 is being used, the advanced clock signal is applied to clock signal distribution network 40 by programmable logic connector (“PLC”) 52 a in lieu of input clock signal 50 a.
  • PLC programmable logic connector
  • the amount of time by which the advanced clock signal is advanced is preferably controlled to approximately equal the delay that the advanced clock signal will experience in passing through network 40 in order to reach a given destination (e.g., the I/O register region 30 on the left and toward the top in FIG. 1). In this way, when the advanced clock signal reaches the above-mentioned destination, it will again be synchronized with input clock signal 50 a, as is generally desirable for overall synchronization and improved performance (e.g., higher speed operation) of whatever larger system device 10 is part of.
  • PLL/DLL circuitry 60 is constructed using PLL technology, then an illustrative embodiment of suitable PLL circuit components 70 is shown in more detail in FIG. 2A.
  • the first of these components is phase/frequency detector (“PFD”) 72 , which receives input clock signal 50 a and a feedback signal from distributed loop circuitry 80 and produces compensating charge-up or charge-down pulses to charge pump 73 .
  • PFD phase/frequency detector
  • the rising edge of input clock signal 50 a leads that of feedback clock signal 80
  • an UP signal is generated and results in frequency increase in the feedback clock signal.
  • a DOWN signal is generated, causing frequency decrease in the feedback clock signal.
  • the pulse width of the UP/DOWN signal is proportional to the phase difference between the input clock and the feedback clock.
  • Charge pump 73 provides a transfer function for converting the UP and DOWN signals to an output voltage at a level between VCC (the power supply voltage of device 10 ) and ground.
  • the UP and DOWN signals switch an internal current source to deliver a charge to move the output voltage up or down during each clock cycle.
  • VCO 76 responds to the output signal of charge pump 73 (as filtered by LPF 74 ) by producing an output signal (“advanced clock out”) having the frequency and phase (relative to input clock signal 50 a ) required to maintain synchronism between the inputs 50 a and 80 to PFD 72 .
  • the advanced clock output signal 40 of VCO 76 has the same frequency as input clock signal 50 a and is advanced in phase relative to input clock signal 50 a by an amount substantially equal to the time delay required for a signal 40 leaving VCO 76 to propagate through network 40 to a predetermined destination (e.g., the above-mentioned I/O register region 30 on the left and near the top of FIG. 1).
  • a predetermined destination e.g., the above-mentioned I/O register region 30 on the left and near the top of FIG. 1).
  • An example of a suitable construction for VCO 76 is shown in FIG. 4A and described later in this specification.
  • VCO 76 preferably has a plurality of other outputs that are applied to the input terminals of PLC 78 .
  • One of these other output signals may be the same as output 40 .
  • Others of these signals are preferably shifted in phase (i.e., delayed or advanced) relative to output 40 .
  • PLC 78 e.g., a multiplexer
  • FCE function control element or elements
  • the feedback signal from distributed loop circuitry 80 can therefore be used to cause PLL components 70 to advance the output signal of VCO 76 that is applied to network 40 by very nearly the exact amount required to keep that signal synchronized with clock input signal 50 a when the network 40 signal reaches the above-mentioned I/O register region 30 .
  • FIG. 2B An illustrative embodiment of suitable DLL circuit components 70 is shown in more detail in FIG. 2B.
  • VCDL receives input clock signal 50 a and delays that signal by an amount determined by the voltage of the control signal output by LPF 74 to produce advanced clock output signal 40 .
  • VCDL 76 ′ (augmented by PLC 78 ) also produces pilot advanced clock output signal 80 having a desired phase relationship to advanced clock output signal 40 .
  • pilot advanced clock output signal 80 may have the same phase as advanced clock output signal 40 , or the phase of signal 80 may lead or lag the phase of signal 40 by a desired amount.
  • An example of a suitable construction for VCDL 76 ′ is shown in FIG. 4B and described later in this specification. Except for the differences described in this paragraph, the DLL embodiment of FIG. 2 B is constructed and operates in the circuitry of FIG. 1 exactly like the PLL embodiment of FIG. 2A.
  • network 80 substantially duplicates and parallels a particular portion of network 40 , it is meant that network 80 has approximately all the same path segments, drivers, and other circuit elements that the associated portion of network 40 has. For example, for each driver 42 in the associated portion of network 40 there is a corresponding driver 82 in network 80 . In this way signal propagation delay is distributed along network 80 in the same way that it is distributed in the structurally similar and substantially parallel portion of network 40 . It has been found that this use of distributed delay is advantageous as compared to using discrete circuit components in the feedback loop of the PLL/DLL circuitry to attempt to match the propagation delay characteristics of the device. Among the advantages of using distributed delay in the manner shown and described herein is that both networks 40 and 80 see the same electrical environment.
  • the clock out signal at terminal 90 may be synchronized with the clock in signal applied to terminal 50 a, and to have the clock signal applied to I/O register 30 in FIG. 3 slightly advanced relative to those other clock signals (e.g., so that data will be latched into the I/O registers and therefore ready for output when the clock out signal is applied to terminal 90 ).
  • PLC 78 can be controlled to select an output of VCO 76 or VCDL 76 ′ which has a suitable delay relative to the signal applied to network 40 . This will cause I/O register 30 in FIG. 3 to be clocked by that amount of time prior to the clocking of clock out terminal 90 .
  • PFD 72 receives the signals at terminals 50 a and 90 and the PLL/DLL circuitry therefore maintains synchronism between those signals.
  • the single PLL/DLL circuit associated with terminals 50 a and 90 therefore effectively provides two clock signals with a predetermined phase relationship at a location on device 10 such as the vicinity of clock out terminal 90 .
  • FIG. 4A shows an illustrative embodiment of VCO 76 in FIG. 2A in more detail. Much of FIG. 4A is similar to FIG. 5 in above-mentioned Reddy et al. U.S. Pat. No. 5,847,617, and so the discussion of FIG. 4A herein can be somewhat abbreviated.
  • Each of inverters 100 and the associated following transistor 102 and capacitor 104 introduces delay into a signal recirculating in the closed loop that includes serially connected inverters 100 and PLC (e.g., a multiplexer) 110 . The direction of signal circulation in this loop is indicated by the arrow 112 .
  • a “coarse” adjustment of the frequency of signal circulation in this loop can be made by programming memory (FCEs) 114 to control PLC 110 to include all or any of several subsets of inverters 100 in the loop.
  • “Fine” adjustments of the frequency of signal circulation in the loop are made automatically by the level of the control signal output by LPF 74 (as shown in FIG. 2A), which controls the voltage applied to the bases of transistors 102 . Accordingly, this voltage determines the amount by which each capacitor 104 loads the connection from each inverter 100 to the next inverter, thereby adjusting the delay associated with each inverter stage.
  • the output of PLC 110 is applied to network 40 via inverter 42 .
  • the signals applied to PLC 78 may be taken from various points along the chain of inverters 100 .
  • one PLC 78 input may be the output signal of PLC 110 .
  • Other PLC 78 inputs may be spaced downstream from that point along the chain of inverters 100 , the spacing being by even numbers of inverter stages so that all inputs to PLC 78 have the same basic polarity.
  • PLC 78 is programmable by FCEs 79 to select one of its inputs as its output.
  • the output of PLC 78 is applied to network 80 via inverter 122 , which is provided in FIG. 4A to match inverter 120 . From FIG.
  • the signal on network 80 can be selected to be either the same as the signal on network 40 or delayed by various amounts relative to the signal on network 40 . If it were desired to provide the capability for signal 80 to be advanced relative to signal 40 , PLC 78 could have alternative or additional inputs closer to the downstream end of the chain of inverters 100 .
  • FIG. 4B shows an illustrative embodiment of VCDL 76 ′ in FIG. 2B in more detail. Much of FIG. 4B is similar to FIG. 4A, and the same reference numbers are used for similar elements in both of these FIGS. It will therefore not be necessary to describe these similar elements again in full detail in relation to FIG. 4B.
  • Clock input signal 50 a is delayed by passing through successive inverter stages 100 / 102 / 104 . The amount of delay produced by each inverter stage is controlled by the voltage of the voltage control signal from LPF 74 (FIG. 2B) applied to the bases of transistors 102 .
  • Elements 110 / 114 make a programmable “coarse” selection of the delay for the signal applied to clock distribution network 40 via driver 120 .
  • the maximum allowed delay should be approximately one period of input clock signal 50 a.
  • the signal applied to network 80 can be programmably selected by elements 78 and 79 to be the same as the signal applied to network 40 or to have a phase which leads or lags the phase of the signal applied to network 40 .
  • output signals 40 and 80 are considered “advanced” relative to signal 50 a by virtue of comparing the phase of a signal 50 a pulse with the phase of the signal 40 or 80 pulse that VCDL 76 ′ produces in response to the preceding pulse in signal 50 a.
  • this invention makes it possible to operate device 10 with a parameter Tco (time from clock input pin to registered data output pin) equal to zero (e.g., 0 nanoseconds).
  • Tco time from clock input pin to registered data output pin
  • the user of device 10 will therefore see 0 ns buffer delay from pin to pin.
  • the PLL/DLL circuitry internally adjusts the clock phase ahead of the clock input pin, equal to the internal clock-to-output delay, so that the pin-to-pin delay is 0 ns.
  • Device 10 can be constructed to support various I/O standards, including LVTTL 1.8 V/2.5 V/3.3 V, GTL+, SSTL — 3, and LVDS. (See Veenstra et al. U.S. patent application Ser. No.
  • PLL/DLL circuitry shown herein includes automatic and programmable compensation that enables it to conform to the various I/O standard speed differences.
  • FIG. 5 illustrates a programmable logic device 10 of this invention in a data processing system 202 .
  • Data processing system 202 may include one or more of the following components: a processor 204 ; memory 206 ; I/O circuitry 208 ; and peripheral devices 210 . These components are coupled together by a system bus 220 and are populated on a circuit board 230 which is contained in an end-user system 240 .
  • System 202 can be used in a wide variety of applications, such as computer networking, data networking, instrumentation, video processing, digital signal processing, or any other application where the advantage of using programmable or reprogrammable logic is desirable.
  • Programmable logic device 10 can be used to perform a variety of different logic functions.
  • programmable logic device 10 can be configured as a processor or controller that works in cooperation with processor 204 .
  • Programmable logic device 10 may also be used as an arbiter for arbitrating access to a shared resource in system 202 .
  • programmable logic device 10 can be configured as an interface between processor 204 and one of the other components in system 202 . It should be noted that system 202 is only exemplary, and that the true scope and spirit of the invention should be indicated by the following claims.
  • each PLC can be a relatively simple programmable connector such as a switch or a plurality of switches for connecting any one of several inputs to an output.
  • each PLC can be a somewhat more complex element which is capable of performing logic (e.g., by logically combining several of its inputs) as well as making a connection.
  • each PLC can be product term logic, implementing functions such as AND, NAND, OR, or NOR.
  • FCEs programmable, function control elements
  • FCEs can also be implemented in any of several different ways.
  • FCEs can be SRAMs, DRAMs, first-in first-out (“FIFO”) memories, EPROMs, EEPROMs, function control registers (e.g., as in Wahlstrom U.S. Pat. No. 3,473,160), ferro-electric memories, fuses, antifuses, or the like. From the various examples mentioned above it will be seen that this invention is applicable to both one-time-only programmable and reprogrammable devices.
  • PLL/DLL circuitry 60 similar to that shown and described herein can be provided for each of any number of clock input pins (e.g., 50 a-d ) on a programmable logic device.

Abstract

A programmable logic device is provided with phase-locked loop (“PLL”) or delay-locked loop (“DLL” ) circuitry in which the feedback loop circuitry substantially parallels and duplicates a portion of the clock signal distribution network on the device that receives the main PLL/DLL output signal. In this way the distributed feedback loop circuit more readily provides a substantially exact match for the distributed delay experienced by the signal propagating through the clock signal distribution network that the PLL/DLL circuitry serves.

Description

  • 1. This application claims the benefit of provisional patent application No. 60/115,263, filed Jan. 8, 1999. This application is a continuation of application Ser. No. 09/393,036, filed Sep. 9, 1999. Both of the above-mentioned prior applications are hereby incorporated by reference herein in their entireties.
  • BACKGROUND OF THE INVENTION
  • 2. This invention relates to programmable logic device integrated circuits, and more particularly to phase-locked loop (“PLL”) or delay-locked loop (“DLL”) circuitry usable in the clock signal distribution networks of programmable logic device integrated circuits.
  • 3. It is known to include PLL or DLL circuitry on programmable logic devices to help counteract “skew” and excessive delay in clock signals propagating on the device (see, for example, Jefferson U.S. Pat. No. 5,699,020 and Reddy et al. U.S. Pat. No. 5,847,617, both of which are hereby incorporated by reference herein in their entireties). For example, PLL or DLL circuitry may be used to produce a clock signal which is advanced in time relative to a clock signal applied to the programmable logic device. The advanced clock signal is propagated to portions of the device that are relatively distant from the applied clock signal so that the propagation delay of the advanced clock signal brings it back into synchronism with the applied clock signal when it reaches the distant portions of the device. In this way all portions of the device receive synchronous clock signals and clock signal “skew” (different amounts of delay in different portions of the device) is reduced.
  • 4. It is now conventional to include in PLL or DLL circuitry on a programmable logic device “lumped” circuit components that are intended to equal the distributed propagation delay experienced by the clock signal being modified by the PLL or DLL circuitry. However, it can be difficult to accurately emulate distributed propagation delay with lumped or discrete circuit elements. The lumped circuit elements are usually disposed on the device in a relatively localized area. The clock network, on the other hand, extends throughout the device and therefore operates in a different electrical environment (e.g., due to coupling to and from adjacent circuit elements, loading, etc.) than is experienced by the lumped circuitry. Phenomena such as fabrication process variations, temperature changes, and power supply voltage differences can affect lumped circuit components and distributed circuit performance differently, making it difficult to accurately match distributed propagation delay with lumped circuit components. Scaling a circuit up or down (e.g., for fabrication using different technologies or to provide a family of products of different sizes (i.e., with different amounts of programmable logic)) may also have different effects on lumped and distributed circuit components, and therefore reduce the desired accuracy of the PLL or DLL circuitry or necessitate a redesign of that circuitry.
  • 5. In view of the foregoing it is an object of this invention to provide improved PLL and DLL circuitry for programmable logic devices.
  • 6. It is a more particular object of this invention to provide PLL and DLL circuitry for programmable logic devices that more accurately emulates the distributed propagation delay of the clock signal being manipulated by the PLL or DLL circuitry.
  • SUMMARY OF THE INVENTION
  • 7. These and other objects of the invention are accomplished in accordance with the principles of the invention by providing PLL or DLL circuitry on a programmable logic device in which the feedback loop of the PLL or DLL is constructed to substantially parallel and duplicate at least a portion of the clock signal distribution network that receives the clock signal manipulated by the PLL or DLL. In this way the feedback loop of the PLL or DLL is subject to substantially the same distributed propagation delay effects as the clock signal distribution network receiving the clock signal modified by the PLL or DLL. This increases the accuracy with which the PLL or DLL circuitry emulates delay in the clock signal distribution network. Moreover, emulation accuracy is maintained despite variations due to fabrication process, temperature, power supply voltage, and even changes in circuit scale.
  • 8. The signal propagating in the above-described distributed feedback loop of the PLL or DLL circuitry may be slightly shifted in time (preferably by a programmably selectable amount) relative to the signal in the clock signal distribution network. In this way one PLL or DLL can provide two different clock signals, each of which has an accurate phase relationship to an input clock signal applied to the programmable logic device. One of these signals can be used to clock input, output, or input/output (generically “I/O”) registers of the programmable logic device. The other of these signals can be used as an output clock signal of the programmable logic device.
  • 9. Further features of the invention, its nature and various advantages will be more apparent from the accompanying drawings and the following detailed description of the preferred embodiments.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • 10.FIG. 1 is a simplified schematic block diagram of representative portions of an illustrative programmable logic integrated circuit device including an illustrative embodiment of PLL or DLL circuitry in accordance with the invention.
  • 11.FIG. 2A is a more detailed, but still simplified, schematic block diagram of an illustrative PLL embodiment of a portion of the circuitry shown in FIG. 1 in accordance with the invention.
  • 12.FIG. 2B is similar to FIG. 2A but for an illustrative DLL embodiment of a portion of the circuitry shown in FIG. 1 in accordance with the invention.
  • 13.FIG. 3 is a simplified schematic block diagram of an alternative embodiment of a portion of the circuitry shown in FIG. 1 in accordance with the invention.
  • 14.FIG. 4A is a more detailed, but still simplified, schematic block diagram of an illustrative embodiment of a portion of the circuitry shown in FIG. 2A in accordance with the invention.
  • 15.FIG. 4B is similar to FIG. 4A but for an illustrative embodiment of a portion of the circuitry shown in FIG. 2B in accordance with the invention.
  • 16.FIG. 5 is a simplified block diagram of an illustrative system employing a programmable logic device having PLL or DLL circuitry in accordance with the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • 17. Representative portions of an illustrative embodiment of a programmable logic device 10 including PLL or DLL circuitry in accordance with this invention is shown in simplified schematic block diagram form in FIG. 1. Device 10 includes a plurality of regions 20 of programmable logic disposed on the device in a two-dimensional array of intersecting rows and columns of such regions. Although such details are not essential to the present invention, examples of suitable constructions for regions 20 and other components of device 10 (other than the PLL or DLL circuitry of this invention) may be found in Jefferson et al. U.S. patent application Ser. No. 09/266,235, which is hereby incorporated by reference herein in its entirety. For example, in addition to showing how regions 20 (called “super-regions 20” in the Jefferson et al. reference) may be constructed, this reference also shows circuitry for programmably interconnecting the regions so that logic signals can be conveyed to, from, and between the regions in a great many different ways. The combination of logic regions 20 that are programmable to perform any of many different logic functions and interconnection circuitry that is programmable to route logic signals on the device in many different ways makes device 10 an extremely flexible programmable logic integrated circuit. The above-mentioned Jefferson et al. reference is just one example of a source of suitable constructions for regions 20, circuitry for interconnecting those regions, and other components and circuitry that it is known in the art to provide in a programmable logic device like device 10, and any other such circuitry can be used instead of the Jefferson et al. circuitry if desired.
  • 18. Each of regions 20 typically receives one or more clock-type signals from a network of clock signal distributing conductors 40 on the device. Regions 20 may use these signals to clock registers (e.g., flip-flops) in the regions that are used to temporarily store logic signals or for other similar purposes. Device 10 also includes I/O registers 30 (typically disposed around the outer periphery of the device) for conveying logic signals between the logic circuitry of the device and circuitry external to the device. Each I/O register region 30 also typically receives one or more clock signals from clock signal distribution network 40 and uses those signals to clock I/O registers (e.g., flip-flops) in that region.
  • 19. In the particular embodiment shown in FIG. 1, clock signal distribution network 40 has the capability to deliver four clock signals throughout device 10. Circuitry associated with each of regions 20 and 30 allows selection of one of these four clock signals for use by each component in the region 20/30 requiring such a signal. Network 40 includes buffers or drivers (e.g., amplifiers) 42 at strategic locations throughout the network to maintain the strength of the widely distributed clock signals. Clock signal input terminals 50 a-50 d are the ultimate sources of the clock signals (or at least the clock signal information) on clock signal distribution network 40.
  • 20. Also in the particular embodiment shown in FIG. 1 clock signal distribution network 40 is disposed on device 10 in a configuration that is designed to reduce clock signal skew (i.e., different amounts of clock signal delay in different parts of the network, particularly at the locations on the network where the clock signals are actually used by other components such as registers in regions 20 and 30). To accomplish this, network 40 is made so that it is substantially symmetrical about both a central horizontal axis of device 10 and a central vertical axis of the device. Thus network 40 may be described as an H-tree network. The signals to be distributed via this network are fed to it close to the center of the main H shape of the network.
  • 21. Although skew is thus reduced by the configuration of network 40, there will still be some delay between any clock signal input via a terminal 50 and the point or points at which that signal is ultimately utilized and/or output after passing through network 40. To eliminate such delay, phase-locked loop (“PLL”) or delay-locked loop (“DLL”) circuitry 60 is provided on device 10. For convenience herein PLL and DLL circuitry is sometimes referred to generically herein as PLL/DLL circuitry. In accordance with this invention, PLL/DLL circuitry 60 includes PLL/DLL circuit components 70 (see FIGS. 2A and 2B, and subsequent discussion, for more detail) and feedback loop circuitry 80 distributed throughout device 10 so as to closely resemble and parallel a portion of clock signal distribution network 40. Distributed feedback loop circuitry 80 is preferably placed closed to clock network 40 for best emulation, so that network 80 sees the same electrical environment as the adjacent portion of network 40. The design of network 80 closely emulates network 40 in the length of conductors, the number and placement of drivers, and driver strengths. The purpose of PLL/DLL circuitry 60 is to produce an advanced clock signal which is advanced in time (or phase) relative to an associated input clock signal 50 a. If PLL/DLL circuitry 60 is being used, the advanced clock signal is applied to clock signal distribution network 40 by programmable logic connector (“PLC”) 52 a in lieu of input clock signal 50 a. The amount of time by which the advanced clock signal is advanced is preferably controlled to approximately equal the delay that the advanced clock signal will experience in passing through network 40 in order to reach a given destination (e.g., the I/O register region 30 on the left and toward the top in FIG. 1). In this way, when the advanced clock signal reaches the above-mentioned destination, it will again be synchronized with input clock signal 50 a, as is generally desirable for overall synchronization and improved performance (e.g., higher speed operation) of whatever larger system device 10 is part of.
  • 22. If PLL/DLL circuitry 60 is constructed using PLL technology, then an illustrative embodiment of suitable PLL circuit components 70 is shown in more detail in FIG. 2A. The first of these components is phase/frequency detector (“PFD”) 72, which receives input clock signal 50 a and a feedback signal from distributed loop circuitry 80 and produces compensating charge-up or charge-down pulses to charge pump 73. For example, when the rising edge of input clock signal 50 a leads that of feedback clock signal 80, an UP signal is generated and results in frequency increase in the feedback clock signal. Conversely, when the rising edge of input clock signal 50 a lags that of feedback clock signal 80, a DOWN signal is generated, causing frequency decrease in the feedback clock signal. The pulse width of the UP/DOWN signal is proportional to the phase difference between the input clock and the feedback clock. Charge pump 73 provides a transfer function for converting the UP and DOWN signals to an output voltage at a level between VCC (the power supply voltage of device 10) and ground. The UP and DOWN signals switch an internal current source to deliver a charge to move the output voltage up or down during each clock cycle.
  • 23. The output signal of charge pump 73 is applied to low-pass filter (“LPF”) 74, which smoothes the applied signal and applies the resulting smoothed signal to voltage controlled oscillator (“VCO”) 76. VCO 76 responds to the output signal of charge pump 73 (as filtered by LPF 74) by producing an output signal (“advanced clock out”) having the frequency and phase (relative to input clock signal 50 a) required to maintain synchronism between the inputs 50 a and 80 to PFD 72. As will be seen, this means that the advanced clock output signal 40 of VCO 76 has the same frequency as input clock signal 50 a and is advanced in phase relative to input clock signal 50 a by an amount substantially equal to the time delay required for a signal 40 leaving VCO 76 to propagate through network 40 to a predetermined destination (e.g., the above-mentioned I/O register region 30 on the left and near the top of FIG. 1). An example of a suitable construction for VCO 76 is shown in FIG. 4A and described later in this specification.
  • 24. In addition to its above-described output 40, VCO 76 preferably has a plurality of other outputs that are applied to the input terminals of PLC 78. One of these other output signals may be the same as output 40. Others of these signals are preferably shifted in phase (i.e., delayed or advanced) relative to output 40. PLC 78 (e.g., a multiplexer) is programmable by programming associated function control element or elements (“FCE” or “FCEs”) 79 to select one of its inputs to provide its output signal. The output signal of PLC 78 is applied to distributed loop circuitry 80 as what may be called a pilot advanced clock signal. After passing through distributed loop circuitry 80 (see FIG. 1), that signal becomes one input to PFD 72 as described above. If PLC 78 is programmed to select as its output signal a signal which is substantially identical to output signal 40 of VCO 76, then the signal in all parts of distributed loop circuitry 80 will be synchronized with the output signal of VCO 76 that is applied to network 40 and that has reached the adjacent portion of network 40. In other words, at any point along parallel and adjacent networks 40 and 80, both signals emanating from PLL circuit components 70 will be synchronized with one another. Because distributed loop circuitry 80 substantially duplicates and parallels the portion of network 40 that leads to the I/O register region 30 on the left near the top in FIG. 1—which I/O register region is also near the end-point of loop circuitry 80 at PLL circuit components 70—the signal in network 80 experiences substantially the same delay in returning to components 70 that the signal from components 70 experiences in reaching the above-mentioned I/O register region 30. The feedback signal from distributed loop circuitry 80 can therefore be used to cause PLL components 70 to advance the output signal of VCO 76 that is applied to network 40 by very nearly the exact amount required to keep that signal synchronized with clock input signal 50 a when the network 40 signal reaches the above-mentioned I/O register region 30.
  • 25. If PLL/DLL circuitry 60 in FIG. 1 is alternatively constructed using DLL technology, then an illustrative embodiment of suitable DLL circuit components 70 is shown in more detail in FIG. 2B. The only difference between the DLL circuitry shown in FIG. 2B and the PLL circuitry shown in FIG. 2A is that the DLL circuitry has a voltage controlled delay line (“VCDL”) 76′ in place of VCO 76 in the PLL circuitry. VCDL receives input clock signal 50 a and delays that signal by an amount determined by the voltage of the control signal output by LPF 74 to produce advanced clock output signal 40. As in the case of the PLL circuitry, VCDL 76′ (augmented by PLC 78) also produces pilot advanced clock output signal 80 having a desired phase relationship to advanced clock output signal 40. Thus, as in the above-described PLL embodiment, pilot advanced clock output signal 80 may have the same phase as advanced clock output signal 40, or the phase of signal 80 may lead or lag the phase of signal 40 by a desired amount. An example of a suitable construction for VCDL 76′ is shown in FIG. 4B and described later in this specification. Except for the differences described in this paragraph, the DLL embodiment of FIG. 2B is constructed and operates in the circuitry of FIG. 1 exactly like the PLL embodiment of FIG. 2A.
  • 26. When it is said above that network 80 substantially duplicates and parallels a particular portion of network 40, it is meant that network 80 has approximately all the same path segments, drivers, and other circuit elements that the associated portion of network 40 has. For example, for each driver 42 in the associated portion of network 40 there is a corresponding driver 82 in network 80. In this way signal propagation delay is distributed along network 80 in the same way that it is distributed in the structurally similar and substantially parallel portion of network 40. It has been found that this use of distributed delay is advantageous as compared to using discrete circuit components in the feedback loop of the PLL/DLL circuitry to attempt to match the propagation delay characteristics of the device. Among the advantages of using distributed delay in the manner shown and described herein is that both networks 40 and 80 see the same electrical environment. In addition, variations due to device fabrication process differences, temperature change, or power supply voltage change tend to have the same effect on both networks 40 and 80 so that delay in network 80 always remains a close match for delay in network 40. Another advantage of using the above-described distributed delay is that changes in scale of the device (either due to a change in fabrication technology or due to the capacity of the device being made larger or smaller by the inclusion of more or less circuitry) does not require a redesign of the delay components of the PLL/DLL circuitry to match new delay characteristics of the new device.
  • 27. If it is desired at a distant location along network 40 to have both an output clock signal and an I/O register clocking signal that are slightly different in phase (i.e., somewhat shifted in time relative to one another), then network 40 can provide the I/O register clocking signal and network 80 can be connected to a clock signal output terminal 90 adjacent to the associated I/O register 30 as shown in FIG. 3. PLC 78 (FIG. 2A or 2B) is programmed to select and apply to network 80 an output signal of VCO 76 or VCDL 76′ having a desired phase shift relative to the VCO 76 or VCDL 76′ output signal that is applied to network 40 so that the signals applied to I/O register 30 and clock out terminal 90 in FIG. 3 have the desired phase relationship to one another. For example, it may be desired to have the clock out signal at terminal 90 synchronized with the clock in signal applied to terminal 50 a, and to have the clock signal applied to I/O register 30 in FIG. 3 slightly advanced relative to those other clock signals (e.g., so that data will be latched into the I/O registers and therefore ready for output when the clock out signal is applied to terminal 90). PLC 78 can be controlled to select an output of VCO 76 or VCDL 76′ which has a suitable delay relative to the signal applied to network 40. This will cause I/O register 30 in FIG. 3 to be clocked by that amount of time prior to the clocking of clock out terminal 90. PFD 72 receives the signals at terminals 50 a and 90 and the PLL/DLL circuitry therefore maintains synchronism between those signals. The single PLL/DLL circuit associated with terminals 50 a and 90 therefore effectively provides two clock signals with a predetermined phase relationship at a location on device 10 such as the vicinity of clock out terminal 90.
  • 28.FIG. 4A shows an illustrative embodiment of VCO 76 in FIG. 2A in more detail. Much of FIG. 4A is similar to FIG. 5 in above-mentioned Reddy et al. U.S. Pat. No. 5,847,617, and so the discussion of FIG. 4A herein can be somewhat abbreviated. Each of inverters 100 and the associated following transistor 102 and capacitor 104 introduces delay into a signal recirculating in the closed loop that includes serially connected inverters 100 and PLC (e.g., a multiplexer) 110. The direction of signal circulation in this loop is indicated by the arrow 112. A “coarse” adjustment of the frequency of signal circulation in this loop can be made by programming memory (FCEs) 114 to control PLC 110 to include all or any of several subsets of inverters 100 in the loop. “Fine” adjustments of the frequency of signal circulation in the loop are made automatically by the level of the control signal output by LPF 74 (as shown in FIG. 2A), which controls the voltage applied to the bases of transistors 102. Accordingly, this voltage determines the amount by which each capacitor 104 loads the connection from each inverter 100 to the next inverter, thereby adjusting the delay associated with each inverter stage. The output of PLC 110 is applied to network 40 via inverter 42.
  • 29. As shown in FIG. 4A, the signals applied to PLC 78 (see also FIG. 2A) may be taken from various points along the chain of inverters 100. For example, one PLC 78 input may be the output signal of PLC 110. Other PLC 78 inputs may be spaced downstream from that point along the chain of inverters 100, the spacing being by even numbers of inverter stages so that all inputs to PLC 78 have the same basic polarity. As described above in connection with FIG. 2A, PLC 78 is programmable by FCEs 79 to select one of its inputs as its output. The output of PLC 78 is applied to network 80 via inverter 122, which is provided in FIG. 4A to match inverter 120. From FIG. 4A it will be seen how the signal on network 80 can be selected to be either the same as the signal on network 40 or delayed by various amounts relative to the signal on network 40. If it were desired to provide the capability for signal 80 to be advanced relative to signal 40, PLC 78 could have alternative or additional inputs closer to the downstream end of the chain of inverters 100.
  • 30.FIG. 4B shows an illustrative embodiment of VCDL 76′ in FIG. 2B in more detail. Much of FIG. 4B is similar to FIG. 4A, and the same reference numbers are used for similar elements in both of these FIGS. It will therefore not be necessary to describe these similar elements again in full detail in relation to FIG. 4B. Clock input signal 50 a is delayed by passing through successive inverter stages 100/102/104. The amount of delay produced by each inverter stage is controlled by the voltage of the voltage control signal from LPF 74 (FIG. 2B) applied to the bases of transistors 102. Elements 110/114 make a programmable “coarse” selection of the delay for the signal applied to clock distribution network 40 via driver 120. The maximum allowed delay should be approximately one period of input clock signal 50 a. The signal applied to network 80 can be programmably selected by elements 78 and 79 to be the same as the signal applied to network 40 or to have a phase which leads or lags the phase of the signal applied to network 40. Although VCDL 76′ is only capable of delaying the input clock signal 50 a applied to it, output signals 40 and 80 are considered “advanced” relative to signal 50 a by virtue of comparing the phase of a signal 50 a pulse with the phase of the signal 40 or 80 pulse that VCDL 76′ produces in response to the preceding pulse in signal 50 a.
  • 31. From the foregoing it will be seen that, among other possible operating modes, this invention makes it possible to operate device 10 with a parameter Tco (time from clock input pin to registered data output pin) equal to zero (e.g., 0 nanoseconds). The user of device 10 will therefore see 0 ns buffer delay from pin to pin. The PLL/DLL circuitry internally adjusts the clock phase ahead of the clock input pin, equal to the internal clock-to-output delay, so that the pin-to-pin delay is 0 ns. Device 10 can be constructed to support various I/O standards, including LVTTL 1.8 V/2.5 V/3.3 V, GTL+, SSTL3, and LVDS. (See Veenstra et al. U.S. patent application Ser. No. 09/366,938, filed Aug. 4, 1999 and Yeung et al. U.S. patent application Ser. No. 09/366,937, filed Aug. 4, 1999 for additional details regarding preferred ways in which devices like device 10 can be constructed to support various I/O standards. Both of these references are hereby incorporated by reference herein in their entireties.) The user can program the pins to select the desired I/O standard and achieve zero delay using this invention. The combination of a balanced H-shaped clock tree network 40 and the PLL/DLL circuitry 60 shown herein facilitates zero delay buffer operation of device 10. The clock skew between any two data output pins is negligible. This enables a relatively simple and low cost PLL/DLL design to adjust the clock phase by an accurate feedback network to achieve the zero delay buffer. Different I/O standards have different speeds. But the PLL/DLL circuitry shown herein includes automatic and programmable compensation that enables it to conform to the various I/O standard speed differences.
  • 32.FIG. 5 illustrates a programmable logic device 10 of this invention in a data processing system 202. Data processing system 202 may include one or more of the following components: a processor 204; memory 206; I/O circuitry 208; and peripheral devices 210. These components are coupled together by a system bus 220 and are populated on a circuit board 230 which is contained in an end-user system 240.
  • 33. System 202 can be used in a wide variety of applications, such as computer networking, data networking, instrumentation, video processing, digital signal processing, or any other application where the advantage of using programmable or reprogrammable logic is desirable. Programmable logic device 10 can be used to perform a variety of different logic functions. For example, programmable logic device 10 can be configured as a processor or controller that works in cooperation with processor 204. Programmable logic device 10 may also be used as an arbiter for arbitrating access to a shared resource in system 202. In yet another example, programmable logic device 10 can be configured as an interface between processor 204 and one of the other components in system 202. It should be noted that system 202 is only exemplary, and that the true scope and spirit of the invention should be indicated by the following claims.
  • 34. Various technologies can be used to implement programmable logic devices 10 having the PLL/DLL capabilities of this invention, as well as the various components of those devices (e.g., the above-described PLCs and the FCEs that control the PLCs). For example, each PLC can be a relatively simple programmable connector such as a switch or a plurality of switches for connecting any one of several inputs to an output. Alternatively, each PLC can be a somewhat more complex element which is capable of performing logic (e.g., by logically combining several of its inputs) as well as making a connection. In the latter case, for example, each PLC can be product term logic, implementing functions such as AND, NAND, OR, or NOR. Examples of components suitable for implementing PLCs are EPROMs, EEPROMs, pass transistors, transmission gates, antifuses, laser fuses, metal optional links, etc. As has been mentioned, the various components of PLCs can be controlled by various, programmable, function control elements (“FCEs”). (With certain PLC implementations (e.g., fuses and metal optional links) separate FCE devices are not required.) FCEs can also be implemented in any of several different ways. For example, FCEs can be SRAMs, DRAMs, first-in first-out (“FIFO”) memories, EPROMs, EEPROMs, function control registers (e.g., as in Wahlstrom U.S. Pat. No. 3,473,160), ferro-electric memories, fuses, antifuses, or the like. From the various examples mentioned above it will be seen that this invention is applicable to both one-time-only programmable and reprogrammable devices.
  • 35. It will be understood that the foregoing is only illustrative of the principles of the invention, and that various modifications can be made by those skilled in the art without departing from the scope and spirit of the invention. For example, PLL/DLL circuitry 60 similar to that shown and described herein can be provided for each of any number of clock input pins (e.g., 50 a-d) on a programmable logic device.

Claims (13)

The invention claimed is:
1. A programmable logic device comprising:
PLL/DLL circuitry configured to receive an input clock signal and to produce modified and pilot clock signals which are variably shifted in phase relative to the input clock signal;
a clock signal distribution network configured to distribute the modified clock signal to circuitry on the programmable logic device that makes use of the modified clock signal; and
feedback loop circuitry configured to substantially parallel and duplicate a portion of the clock signal distribution network and to convey the pilot signal back to the PLL/DLL circuitry for use in determining a phase shift of the modified clock signal relative to the input clock signal.
2. The device defined in
claim 1
wherein the PLL/DLL circuitry comprises:
programmable circuitry configured to programmably select for the pilot signal any one of a plurality of different phase relationships to the modified clock signal.
3. The device defined in
claim 1
further comprising:
programmable circuitry configured to programmably apply the input clock signal to the clock signal distribution network in lieu of the modified clock signal.
4. The device defined in
claim 1
wherein the circuitry on the programmable logic device that makes use of the modified clock signal comprises a plurality of regions of programmable logic.
5. The device defined in
claim 1
wherein the circuitry on the programmable logic device that makes use of the modified clock signal comprises a plurality of I/O register regions.
6. The device defined in
claim 1
further comprising:
a clock signal output terminal connected to the feedback loop circuitry and configured to output the pilot clock signal to circuitry external to the device.
7. The device defined in
claim 6
wherein the circuitry on the programmable logic device that makes use of the modified clock signal comprises I/O register circuitry disposed adjacent to the clock signal output terminal.
8. The device defined in
claim 1
wherein the PLL/DLL circuitry is PLL circuitry comprising:
phase/frequency detector circuitry configured to produce output signals indicative of a phase difference between the input clock signal and the pilot clock signal from the feedback loop circuitry;
charge pump circuitry configured to respond to the output signals of the phase/frequency detector circuitry by producing an output voltage indicative of the phase difference; and
voltage controlled oscillator circuitry configured to produce the modified clock signal with a frequency which is a function of the output voltage.
9. The device defined in
claim 1
wherein the PLL/DLL circuitry is DLL circuitry comprising:
phase/frequency detector circuitry configured to produce output signals indicative of a phase difference between the input clock signal and the pilot clock signal from the feedback loop circuitry;
charge pump circuitry configured to respond to the output signals of the phase/frequency detector circuitry by producing an output voltage indicative of the phase difference; and
voltage controlled delay line circuitry configured to produce the modified clock signal by delaying the input clock signal by an amount which is a function of the output voltage.
10. A digital processing system comprising:
processing circuitry;
a memory coupled to said processing circuitry; and
a programmable logic device as defined in
claim 1
coupled to the processing circuitry and the memory.
11. A printed circuit board on which is mounted a programmable logic device as defined in
claim 1
.
12. The printed circuit board defined in
claim 11
further comprising:
a memory mounted on the printed circuit board and coupled to the programmable logic device.
13. The printed circuit board defined in
claim 11
further comprising:
processing circuitry mounted on the printed circuit board and coupled to the programmable logic device.
US09/736,065 1999-01-08 2000-12-13 Phase-locked loop or delay-locked loop circuitry for programmable logic devices Expired - Lifetime US6271729B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/736,065 US6271729B2 (en) 1999-01-08 2000-12-13 Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US09/855,865 US6437650B1 (en) 1999-01-08 2001-05-15 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11526399P 1999-01-08 1999-01-08
US09/393,036 US6177844B1 (en) 1999-01-08 1999-09-09 Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US09/736,065 US6271729B2 (en) 1999-01-08 2000-12-13 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/393,036 Continuation US6177844B1 (en) 1999-01-08 1999-09-09 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/855,865 Continuation US6437650B1 (en) 1999-01-08 2001-05-15 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Publications (2)

Publication Number Publication Date
US20010000426A1 true US20010000426A1 (en) 2001-04-26
US6271729B2 US6271729B2 (en) 2001-08-07

Family

ID=26813011

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/393,036 Expired - Lifetime US6177844B1 (en) 1999-01-08 1999-09-09 Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US09/736,065 Expired - Lifetime US6271729B2 (en) 1999-01-08 2000-12-13 Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US09/855,865 Expired - Lifetime US6437650B1 (en) 1999-01-08 2001-05-15 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/393,036 Expired - Lifetime US6177844B1 (en) 1999-01-08 1999-09-09 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/855,865 Expired - Lifetime US6437650B1 (en) 1999-01-08 2001-05-15 Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Country Status (1)

Country Link
US (3) US6177844B1 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020171898A1 (en) * 2001-05-15 2002-11-21 Patton Charles Milan Method and apparatus for using strategically located reflectors to create pathways for networking of line-of-sight computing devices
US20030094984A1 (en) * 2001-10-08 2003-05-22 Christian Weis Delay locked loop
US20050024108A1 (en) * 2001-08-03 2005-02-03 Micron Technology, Inc. System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US6998876B1 (en) * 2004-04-08 2006-02-14 Xilinx, Inc. Coaxial clock tree for programmable logic devices
US20060268655A1 (en) * 2005-05-26 2006-11-30 Micron Technology, Inc. Method and system for improved efficiency of synchronous mirror delays and delay locked loops
US20070013425A1 (en) * 2005-06-30 2007-01-18 Burr James B Lower minimum retention voltage storage elements
US7188060B1 (en) * 2002-03-29 2007-03-06 Unisys Corporation Emulation of a high-speed, high-stability clock
US20070216456A1 (en) * 2006-01-10 2007-09-20 Jeong-Hoon Kook Delay locked loop and method of locking a clock signal
US7310008B1 (en) 2004-06-08 2007-12-18 Transmeta Corporation Configurable delay chain with stacked inverter delay elements
US20080088343A1 (en) * 2004-06-08 2008-04-17 Transmeta Corporation Repeater circuit with high performance repeater mode and normal repeater mode, wherein high performance repeater mode has fast reset capability
US7375556B1 (en) * 2004-06-08 2008-05-20 Transmeta Corporation Advanced repeater utilizing signal distribution delay
US20080122545A1 (en) * 2006-11-03 2008-05-29 Yongping Fan Low power and duty cycle error free matched current phase locked loop
US20080129342A1 (en) * 2004-12-23 2008-06-05 Robert Paul Masleid Configurable delay chain with stacked inverter delay elements
US7405597B1 (en) 2005-06-30 2008-07-29 Transmeta Corporation Advanced repeater with duty cycle adjustment
US7414485B1 (en) 2005-12-30 2008-08-19 Transmeta Corporation Circuits, systems and methods relating to dynamic ring oscillators
DE10252491B4 (en) * 2001-11-07 2008-09-11 Samsung Electronics Co., Ltd., Suwon Delay-locked loop circuit and method
US7498846B1 (en) 2004-06-08 2009-03-03 Transmeta Corporation Power efficient multiplexer
US7642866B1 (en) 2005-12-30 2010-01-05 Robert Masleid Circuits, systems and methods relating to a dynamic dual domino ring oscillator
US20100001784A1 (en) * 2008-07-07 2010-01-07 Mohsen Moussavi Adjustable electrical components formed from arrays of differential circuit elements
US7646228B1 (en) 2004-06-15 2010-01-12 Masleid Robert P Inverting zipper repeater circuit
US7656212B1 (en) 2004-06-08 2010-02-02 Robert Paul Masleid Configurable delay chain with switching control for tail delay elements
US7679949B1 (en) 2005-11-14 2010-03-16 Robert Paul Masleid Column select multiplexer circuit for a domino random access memory array
US7710153B1 (en) 2006-06-30 2010-05-04 Masleid Robert P Cross point switch
US7889014B1 (en) 2004-11-04 2011-02-15 Stoiber Steven T Ring based impedance control of an output driver
US20120068780A1 (en) * 2010-09-22 2012-03-22 Broadcom Corporation Systems and Methods for Reducing Frequency Pulling in an Oscillator Circuit
CN102539016A (en) * 2010-10-28 2012-07-04 通用汽车环球科技运作有限责任公司 Onboard adaptive battery core temperature estimation
US8228102B1 (en) 2010-03-03 2012-07-24 Altera Corporation Phase-locked loop architecture and clock distribution system

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100382328B1 (en) * 1997-01-23 2003-12-18 산요 덴키 가부시키가이샤 Pll circuit and phase lock detecting circuit
US6177844B1 (en) * 1999-01-08 2001-01-23 Altera Corporation Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US6407576B1 (en) * 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
DE19946764C2 (en) * 1999-09-29 2003-09-04 Siemens Ag Digital phase locked loop
US7171575B1 (en) 2000-03-06 2007-01-30 Actel Corporation Delay locked loop for and FPGA architecture
US6718477B1 (en) * 2000-03-06 2004-04-06 William C. Plants Delay locked loop for an FPGA architecture
US6629254B1 (en) * 2000-06-29 2003-09-30 Intel Corporation Clocking architecture to compensate a delay introduced by a signal buffer
US6725390B1 (en) * 2000-06-29 2004-04-20 Intel Corporation Method and an apparatus for adjusting clock signal to sample data
US6650190B2 (en) * 2001-04-11 2003-11-18 International Business Machines Corporation Ring oscillator with adjustable delay
KR100374648B1 (en) * 2001-06-28 2003-03-03 삼성전자주식회사 Phase locked loop circuit for reducing electromagnetic interference and control method thereof
US6876239B2 (en) * 2001-07-11 2005-04-05 Micron Technology, Inc. Delay locked loop “ACTIVE command” reactor
JP3838890B2 (en) * 2001-08-21 2006-10-25 Necエレクトロニクス株式会社 Semiconductor integrated circuit and design method thereof
US6633185B2 (en) 2001-10-16 2003-10-14 Altera Corporation PLL/DLL circuitry programmable for high bandwidth and low bandwidth applications
JP3851810B2 (en) * 2001-12-07 2006-11-29 富士通株式会社 Programmable logic circuit and clock control method thereof
US6661254B1 (en) * 2001-12-14 2003-12-09 Lattice Semiconductor Corporation Programmable interconnect circuit with a phase-locked loop
US6608530B1 (en) 2001-12-14 2003-08-19 Cypress Semiconductor Corp. Enhanced ZDB feedback methodology utilizing binary weighted techniques
US6687881B2 (en) * 2002-02-14 2004-02-03 Sun Microsystems, Inc. Method for optimizing loop bandwidth in delay locked loops
US6938236B1 (en) 2002-03-29 2005-08-30 Altera Corporation Method of creating a mask-programmed logic device from a pre-existing circuit design
US6886143B1 (en) 2002-03-29 2005-04-26 Altera Corporation Method and apparatus for providing clock/buffer network in mask-programmable logic device
US6680871B1 (en) 2002-03-29 2004-01-20 Altera Corporation Method and apparatus for testing memory embedded in mask-programmable logic device
US6742172B2 (en) 2002-03-29 2004-05-25 Altera Corporation Mask-programmable logic devices with programmable gate array sites
US6943610B2 (en) * 2002-04-19 2005-09-13 Intel Corporation Clock distribution network using feedback for skew compensation and jitter filtering
US6774667B1 (en) 2002-05-09 2004-08-10 Actel Corporation Method and apparatus for a flexible chargepump scheme for field-programmable gate arrays
US7378867B1 (en) * 2002-06-04 2008-05-27 Actel Corporation Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers
US6882195B2 (en) * 2002-07-12 2005-04-19 Ics Technologies, Inc. Signal timing adjustment circuit with external resistor
US6765427B1 (en) 2002-08-08 2004-07-20 Actel Corporation Method and apparatus for bootstrapping a programmable antifuse circuit
US7434080B1 (en) * 2002-09-03 2008-10-07 Actel Corporation Apparatus for interfacing and testing a phase locked loop in a field programmable gate array
US6750674B1 (en) 2002-10-02 2004-06-15 Actel Corporation Carry chain for use between logic modules in a field programmable gate array
US6727726B1 (en) 2002-11-12 2004-04-27 Actel Corporation Field programmable gate array architecture including a buffer module and a method of distributing buffer modules in a field programmable gate array
US6788101B1 (en) * 2003-02-13 2004-09-07 Lattice Semiconductor Corporation Programmable interface circuit for differential and single-ended signals
US6825690B1 (en) 2003-05-28 2004-11-30 Actel Corporation Clock tree network in a field programmable gate array
US6838902B1 (en) * 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US7385419B1 (en) * 2003-05-30 2008-06-10 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US6867615B1 (en) * 2003-05-30 2005-03-15 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US7234125B1 (en) 2003-06-23 2007-06-19 Altera Corporation Timing analysis for programmable logic
WO2005001724A2 (en) * 2003-06-23 2005-01-06 Altera Corporation Method for programming a mask-programmable logic device and device so programmed
US6952813B1 (en) 2003-07-30 2005-10-04 Xilinx, Inc. Method and apparatus for selecting programmable interconnects to reduce clock skew
US6990010B1 (en) 2003-08-06 2006-01-24 Actel Corporation Deglitching circuits for a radiation-hardened static random access memory based programmable architecture
US6995590B1 (en) 2003-09-22 2006-02-07 Altera Corporation Hybrid phase/delay locked loop circuits and methods
US7134036B1 (en) * 2003-12-12 2006-11-07 Sun Microsystems, Inc. Processor core clock generation circuits
US7002384B1 (en) * 2004-01-16 2006-02-21 Altera Corporation Loop circuitry with low-pass noise filter
US8405435B2 (en) * 2004-11-10 2013-03-26 Lsi Corporation Delay locked loop having internal test path
JP4611015B2 (en) * 2004-12-27 2011-01-12 富士通株式会社 Semiconductor integrated circuit device
US7317343B1 (en) * 2005-10-25 2008-01-08 Lattice Semiconductor Corporation Pulse-generation circuit with multi-delay block and set-reset latches
US7301380B2 (en) * 2006-04-12 2007-11-27 International Business Machines Corporation Delay locked loop having charge pump gain independent of operating frequency
US7551012B2 (en) * 2007-03-27 2009-06-23 Mosaid Technologies Incorporated Phase shifting in DLL/PLL
KR101394869B1 (en) * 2007-01-30 2014-05-13 컨버전트 인텔렉츄얼 프로퍼티 매니지먼트 인코포레이티드 Phase shifting in dll/pll
US7459949B2 (en) * 2007-01-30 2008-12-02 Mosaid Technologies Incorporated Phase detector circuit and method therefor
US7602254B2 (en) * 2007-05-25 2009-10-13 Infineon Technologies Ag System and method for generating signals with a preselected frequency relationship in two steps
US7598803B2 (en) * 2007-12-26 2009-10-06 National Kaohsiung University Of Applied Sciences Combined phase-locked loop and amplitude-locked loop module for switching FM signals
KR100910863B1 (en) * 2007-12-27 2009-08-06 주식회사 하이닉스반도체 Charge pumping circuit and clock synchronization circuit using the same
US20100244921A1 (en) * 2009-03-31 2010-09-30 M2000 Sa. Programmable delay line circuit with glitch avoidance
US9602115B1 (en) * 2016-06-06 2017-03-21 Motorola Solutions, Inc. Method and apparatus for multi-rate clock generation

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3473160A (en) 1966-10-10 1969-10-14 Stanford Research Inst Electronically controlled microelectronic cellular logic array
US4494021A (en) 1982-08-30 1985-01-15 Xerox Corporation Self-calibrated clock and timing signal generator for MOS/VLSI circuitry
US4719593A (en) 1984-07-09 1988-01-12 Advanced Micro Devices, Inc. Apparatus for generating digital timing waveforms
US4633488A (en) 1984-11-13 1986-12-30 Digital Equipment Corporation Phase-locked loop for MFM data recording
US4858178A (en) 1986-09-30 1989-08-15 Texas Instruments Incorporated Programmable sequence generator
JPS63238714A (en) * 1986-11-26 1988-10-04 Hitachi Ltd Clock supplying system
US5133064A (en) 1987-04-27 1992-07-21 Hitachi, Ltd. Data processing system generating clock signal from an input clock, phase locked to the input clock and used for clocking logic devices
JPH01137646A (en) 1987-11-25 1989-05-30 Hitachi Ltd Integrated circuit
US5349544A (en) 1988-06-15 1994-09-20 Advanced Micro Devices, Inc. Programmable system synchronizer
US4959646A (en) 1988-06-17 1990-09-25 Dallas Semiconductor Corporation Dynamic PLA timing circuit
US4868522A (en) 1988-12-13 1989-09-19 Gazelle Microcircuits, Inc. Clock signal distribution device
US5075575A (en) 1989-12-11 1991-12-24 Fuji Photo Film Co., Ltd. Externally synchronized programmable device
US5072195A (en) 1990-04-05 1991-12-10 Gazelle Microcircuits, Inc. Phase-locked loop with clamped voltage-controlled oscillator
US5204555A (en) 1990-04-05 1993-04-20 Gazelle Microcircuits, Inc. Logic array having high frequency internal clocking
US5079519A (en) 1991-02-14 1992-01-07 Notorola, Inc. Digital phase lock loop for a gate array
JP2792801B2 (en) 1992-12-28 1998-09-03 三菱電機株式会社 Semiconductor integrated circuit, design method and manufacturing method thereof
US5355035A (en) 1993-01-08 1994-10-11 Vora Madhukar B High speed BICMOS switches and multiplexers
JPH0774596A (en) 1993-08-31 1995-03-17 Mitsubishi Electric Corp Ring oscillator
US5506878A (en) 1994-07-18 1996-04-09 Xilinx, Inc. Programmable clock having programmable delay and duty cycle based on a user-supplied reference clock
US5815016A (en) 1994-09-02 1998-09-29 Xilinx, Inc. Phase-locked delay loop for clock correction
US5646564A (en) 1994-09-02 1997-07-08 Xilinx, Inc. Phase-locked delay loop for clock correction
US5777360A (en) 1994-11-02 1998-07-07 Lsi Logic Corporation Hexagonal field programmable gate array architecture
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5565816A (en) * 1995-08-18 1996-10-15 International Business Machines Corporation Clock distribution network
US5744991A (en) 1995-10-16 1998-04-28 Altera Corporation System for distributing clocks using a delay lock loop in a programmable logic circuit
US5699020A (en) 1996-04-11 1997-12-16 Altera Corporation Phase latched differential charge pump circuit and method
US5642082A (en) 1996-06-20 1997-06-24 Altera Corporation Loop filter level detection circuit and method
US5847617A (en) 1996-08-12 1998-12-08 Altera Corporation Variable-path-length voltage-controlled oscillator circuit
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
US6177844B1 (en) * 1999-01-08 2001-01-23 Altera Corporation Phase-locked loop or delay-locked loop circuitry for programmable logic devices

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020171898A1 (en) * 2001-05-15 2002-11-21 Patton Charles Milan Method and apparatus for using strategically located reflectors to create pathways for networking of line-of-sight computing devices
US7605620B2 (en) * 2001-08-03 2009-10-20 Micron Technology, Inc. System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US20050024108A1 (en) * 2001-08-03 2005-02-03 Micron Technology, Inc. System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US20050140407A1 (en) * 2001-08-03 2005-06-30 Micron Technology, Inc. System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US20100026351A1 (en) * 2001-08-03 2010-02-04 Feng Lin System and Method to Improve the Efficiency of Synchronous Mirror Delays and Delay Locked Loops
US7446580B2 (en) 2001-08-03 2008-11-04 Micron Technology, Inc. System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US8212595B2 (en) 2001-08-03 2012-07-03 Round Rock Research, Llc System and method to improve the efficiency of synchronous mirror delays and delay locked loops
US20030094984A1 (en) * 2001-10-08 2003-05-22 Christian Weis Delay locked loop
US7457392B2 (en) 2001-10-08 2008-11-25 Infineon Technologies Ag Delay locked loop
DE10149584B4 (en) * 2001-10-08 2007-11-22 Infineon Technologies Ag Delay locked loop
DE10252491B4 (en) * 2001-11-07 2008-09-11 Samsung Electronics Co., Ltd., Suwon Delay-locked loop circuit and method
US7188060B1 (en) * 2002-03-29 2007-03-06 Unisys Corporation Emulation of a high-speed, high-stability clock
US6998876B1 (en) * 2004-04-08 2006-02-14 Xilinx, Inc. Coaxial clock tree for programmable logic devices
US20080143376A1 (en) * 2004-06-08 2008-06-19 Transmeta Corporation Leakage efficient anti-glitch filter
US20090309631A1 (en) * 2004-06-08 2009-12-17 Robert Paul Masleid Circuit with enhanced mode and normal mode
US7375556B1 (en) * 2004-06-08 2008-05-20 Transmeta Corporation Advanced repeater utilizing signal distribution delay
US9531361B2 (en) 2004-06-08 2016-12-27 Intellectual Ventures Holding 81 Llc Power efficient multiplexer
US7336103B1 (en) 2004-06-08 2008-02-26 Transmeta Corporation Stacked inverter delay chain
US7705633B2 (en) 2004-06-08 2010-04-27 Scott Pitkethly Advanced repeater with duty cycle adjustment
US20080144407A1 (en) * 2004-06-08 2008-06-19 Transmeta Corporation Stacked inverter delay chain
US7332931B1 (en) 2004-06-08 2008-02-19 Transmeta Corporation Leakage efficient anti-glitch filter with variable delay stages
US8102190B2 (en) 2004-06-08 2012-01-24 Robert Paul Masleid Power efficient multiplexer
US8018252B2 (en) 2004-06-08 2011-09-13 Robert Paul Masleid Circuit with enhanced mode and normal mode
US7310008B1 (en) 2004-06-08 2007-12-18 Transmeta Corporation Configurable delay chain with stacked inverter delay elements
US7656212B1 (en) 2004-06-08 2010-02-02 Robert Paul Masleid Configurable delay chain with switching control for tail delay elements
US8587344B2 (en) 2004-06-08 2013-11-19 Robert Paul Masleid Power efficient multiplexer
US7652507B1 (en) 2004-06-08 2010-01-26 Robert Paul Masleid Circuits and methods for detecting and assisting wire transitions
US7710160B2 (en) 2004-06-08 2010-05-04 Masleid Robert P Stacked inverter delay chain
US20090045846A1 (en) * 2004-06-08 2009-02-19 Transmeta Corporation Advanced repeater with duty cycle adjustment
US7498846B1 (en) 2004-06-08 2009-03-03 Transmeta Corporation Power efficient multiplexer
US7768295B2 (en) 2004-06-08 2010-08-03 Scott Pitkethly Advanced repeater utilizing signal distribution delay
US9160321B2 (en) 2004-06-08 2015-10-13 Intellectual Venture Funding Llc Power efficient multiplexer
US20080088343A1 (en) * 2004-06-08 2008-04-17 Transmeta Corporation Repeater circuit with high performance repeater mode and normal repeater mode, wherein high performance repeater mode has fast reset capability
US7724025B2 (en) 2004-06-08 2010-05-25 Robert Masleid Leakage efficient anti-glitch filter
US7646228B1 (en) 2004-06-15 2010-01-12 Masleid Robert P Inverting zipper repeater circuit
US8008957B2 (en) 2004-06-15 2011-08-30 Robert Paul Masleid Inverting zipper repeater circuit
US8330515B2 (en) 2004-06-15 2012-12-11 Robert P Masleid Inverting zipper repeater circuit
US7889014B1 (en) 2004-11-04 2011-02-15 Stoiber Steven T Ring based impedance control of an output driver
US8624680B2 (en) 2004-11-04 2014-01-07 Steven T. Stoiber Ring based impedance control of an output driver
US20080129342A1 (en) * 2004-12-23 2008-06-05 Robert Paul Masleid Configurable delay chain with stacked inverter delay elements
US7688653B2 (en) 2005-05-26 2010-03-30 Micron Technology, Inc. Method and system for improved efficiency of synchronous mirror delays and delay locked loops
US20060268655A1 (en) * 2005-05-26 2006-11-30 Micron Technology, Inc. Method and system for improved efficiency of synchronous mirror delays and delay locked loops
US20070273417A1 (en) * 2005-05-26 2007-11-29 Feng Lin Method and System for Improved Efficiency of Synchronous Mirror Delays and Delay Locked Loops
US7423919B2 (en) 2005-05-26 2008-09-09 Micron Technology, Inc. Method and system for improved efficiency of synchronous mirror delays and delay locked loops
US7443743B2 (en) 2005-05-26 2008-10-28 Micron Technology, Inc. Method and system for improved efficiency of synchronous mirror delays and delay locked loops
US20090021290A1 (en) * 2005-05-26 2009-01-22 Feng Lin Method and System for Improved Efficiency of Synchronous Mirror Delays and Delay Locked Loops
US8022731B2 (en) 2005-06-30 2011-09-20 Scott Pitkethly Advanced repeater with duty cycle adjustment
US20100295577A1 (en) * 2005-06-30 2010-11-25 Scott Pitkethly Advanced repeater with duty cycle adjustment
US8451025B2 (en) 2005-06-30 2013-05-28 Scott Pitkethly Advanced repeater with duty cycle adjustment
US7405597B1 (en) 2005-06-30 2008-07-29 Transmeta Corporation Advanced repeater with duty cycle adjustment
US20070013425A1 (en) * 2005-06-30 2007-01-18 Burr James B Lower minimum retention voltage storage elements
US7679949B1 (en) 2005-11-14 2010-03-16 Robert Paul Masleid Column select multiplexer circuit for a domino random access memory array
US7768356B2 (en) 2005-12-30 2010-08-03 Robert P Masleid Dynamic ring oscillators
US7642866B1 (en) 2005-12-30 2010-01-05 Robert Masleid Circuits, systems and methods relating to a dynamic dual domino ring oscillator
US7414485B1 (en) 2005-12-30 2008-08-19 Transmeta Corporation Circuits, systems and methods relating to dynamic ring oscillators
US20070216456A1 (en) * 2006-01-10 2007-09-20 Jeong-Hoon Kook Delay locked loop and method of locking a clock signal
US20100156504A1 (en) * 2006-06-30 2010-06-24 Masleid Robert P Cross point switch
US9178505B2 (en) 2006-06-30 2015-11-03 Intellectual Venture Funding Llc Cross point switch
US7710153B1 (en) 2006-06-30 2010-05-04 Masleid Robert P Cross point switch
US9595968B2 (en) 2006-06-30 2017-03-14 Intellectual Ventures Holding 81 Llc Cross point switch
US7501904B2 (en) * 2006-11-03 2009-03-10 Intel Corporation Low power and duty cycle error free matched current phase locked loop
US20080122545A1 (en) * 2006-11-03 2008-05-29 Yongping Fan Low power and duty cycle error free matched current phase locked loop
US8188797B2 (en) 2008-07-07 2012-05-29 Altera Corporation Adjustable electrical components formed from arrays of differential circuit elements
US20100001784A1 (en) * 2008-07-07 2010-01-07 Mohsen Moussavi Adjustable electrical components formed from arrays of differential circuit elements
US8228102B1 (en) 2010-03-03 2012-07-24 Altera Corporation Phase-locked loop architecture and clock distribution system
US8354890B2 (en) * 2010-09-22 2013-01-15 Broadcom Corporation Systems and methods for reducing frequency pulling in an oscillator circuit
US8854145B2 (en) 2010-09-22 2014-10-07 Broadcom Corporation Systems and methods for reducing frequency pulling in an oscillator circuit
US20120068780A1 (en) * 2010-09-22 2012-03-22 Broadcom Corporation Systems and Methods for Reducing Frequency Pulling in an Oscillator Circuit
CN102539016A (en) * 2010-10-28 2012-07-04 通用汽车环球科技运作有限责任公司 Onboard adaptive battery core temperature estimation

Also Published As

Publication number Publication date
US6437650B1 (en) 2002-08-20
US6177844B1 (en) 2001-01-23
US6271729B2 (en) 2001-08-07

Similar Documents

Publication Publication Date Title
US6271729B2 (en) Phase-locked loop or delay-locked loop circuitry for programmable logic devices
US6373278B1 (en) LVDS interface incorporating phase-locked loop circuitry for use in programmable logic device
US7484113B1 (en) Delay locked loop for an FPGA architecture
US6218876B1 (en) Phase-locked loop circuitry for programmable logic devices
US6483886B1 (en) Phase-locked loop circuitry for programmable logic devices
US7499513B1 (en) Method and apparatus for providing frequency synthesis and phase alignment in an integrated circuit
US8222921B2 (en) Configurable time borrowing flip-flops
US6657456B1 (en) Programmable logic with on-chip DLL or PLL to distribute clock
US7098707B2 (en) Highly configurable PLL architecture for programmable logic
US6617884B2 (en) Fast locking phase frequency detector
US7839177B1 (en) Techniques for phase detection with fast reset
US7009433B2 (en) Digitally controlled delay cells
KR102371549B1 (en) Lane-to-lane-de-skew for transmitters
US7746134B1 (en) Digitally controlled delay-locked loops
KR19980086434A (en) Clock Generation Circuits and Semiconductor Devices
US7234069B1 (en) Precise phase shifting using a DLL controlled, multi-stage delay chain
US7639054B1 (en) Techniques for generating programmable delays
US7231536B1 (en) Control circuit for self-compensating delay chain for multiple-data-rate interfaces
EP0908013A1 (en) Delay circuit and method
US20050258881A1 (en) Chip level clock tree deskew circuit
CN106209075B (en) Digital delay unit and signal delay circuit
CN102971964B (en) For the input/output interface of cyclical signal
US7171575B1 (en) Delay locked loop for and FPGA architecture
US6836164B1 (en) Programmable phase shift circuitry
US9203412B1 (en) Techniques for bypassing circuits during tests

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12