EP1957249A4 - Method and system for double-sided patterning of substrates - Google Patents

Method and system for double-sided patterning of substrates

Info

Publication number
EP1957249A4
EP1957249A4 EP06844789A EP06844789A EP1957249A4 EP 1957249 A4 EP1957249 A4 EP 1957249A4 EP 06844789 A EP06844789 A EP 06844789A EP 06844789 A EP06844789 A EP 06844789A EP 1957249 A4 EP1957249 A4 EP 1957249A4
Authority
EP
European Patent Office
Prior art keywords
substrates
double
sided patterning
patterning
sided
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP06844789A
Other languages
German (de)
French (fr)
Other versions
EP1957249A2 (en
EP1957249B1 (en
Inventor
Byung-Jin Choi
Sidlgata V Sreenivasan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of EP1957249A2 publication Critical patent/EP1957249A2/en
Publication of EP1957249A4 publication Critical patent/EP1957249A4/en
Application granted granted Critical
Publication of EP1957249B1 publication Critical patent/EP1957249B1/en
Not-in-force legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp
EP06844789.5A 2005-12-08 2006-11-30 Method and system for double-sided patterning of substrates Not-in-force EP1957249B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US74843005P 2005-12-08 2005-12-08
PCT/US2006/046256 WO2007067488A2 (en) 2005-12-08 2006-11-30 Method and system for double-sided patterning of substrates

Publications (3)

Publication Number Publication Date
EP1957249A2 EP1957249A2 (en) 2008-08-20
EP1957249A4 true EP1957249A4 (en) 2012-07-25
EP1957249B1 EP1957249B1 (en) 2014-11-12

Family

ID=38123403

Family Applications (1)

Application Number Title Priority Date Filing Date
EP06844789.5A Not-in-force EP1957249B1 (en) 2005-12-08 2006-11-30 Method and system for double-sided patterning of substrates

Country Status (8)

Country Link
US (2) US7670529B2 (en)
EP (1) EP1957249B1 (en)
JP (1) JP4987012B2 (en)
KR (1) KR101324549B1 (en)
CN (2) CN101535021A (en)
MY (1) MY144847A (en)
TW (1) TWI310726B (en)
WO (1) WO2007067488A2 (en)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
JP5306989B2 (en) * 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド Method for simultaneously patterning a substrate having a plurality of fields and alignment marks
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) * 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE502007005337D1 (en) * 2007-03-21 2010-11-25 Thallner Erich Method and device for producing a nanostructured disk
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
JP5327421B2 (en) * 2008-03-14 2013-10-30 大日本印刷株式会社 Stamper for imprint
JP4815464B2 (en) * 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
CN102438841A (en) * 2009-03-23 2012-05-02 因特瓦克公司 A process for optimization of island to trench ratio in patterned media
WO2010120725A2 (en) * 2009-04-13 2010-10-21 Applied Materials, Inc. Hdd pattern apparatus using laser, e-beam, or focused ion beam
JP5328495B2 (en) * 2009-06-04 2013-10-30 キヤノン株式会社 Imprint apparatus and article manufacturing method
WO2011077882A1 (en) * 2009-12-25 2011-06-30 株式会社日立ハイテクノロジーズ Double-side imprint device
JP5469041B2 (en) * 2010-03-08 2014-04-09 株式会社日立ハイテクノロジーズ Fine structure transfer method and apparatus
JPWO2012020741A1 (en) * 2010-08-12 2013-10-28 株式会社日立ハイテクノロジーズ Optical imprint method and apparatus
JP2012109487A (en) * 2010-11-19 2012-06-07 Hitachi High-Technologies Corp Double-sided imprint apparatus
CN107611258A (en) 2011-11-23 2018-01-19 应用材料公司 Method for silica chemistry vapour deposition photoresist planarization
JP5930832B2 (en) 2012-04-27 2016-06-08 キヤノン株式会社 Method for producing photocured product
CN104129816B (en) * 2014-07-31 2016-03-30 襄阳龙蟒钛业有限公司 The method of a kind of titanium white gelled acid deironing
US10120276B2 (en) * 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
WO2017180866A1 (en) * 2016-04-15 2017-10-19 Michaelis A John Maintaining alignment while turning over a panel
CN205702840U (en) * 2016-05-12 2016-11-23 李俊豪 The laser board of two-sided processing
CN106206462A (en) * 2016-09-12 2016-12-07 桂林电子科技大学 A kind of double-faced flexible structural substrate towards extending electronics
WO2018067677A1 (en) * 2016-10-04 2018-04-12 Tokyo Electron Limited Facilitation of spin-coat planarization over feature topography during substrate fabrication
DE102016122355B4 (en) * 2016-11-21 2018-10-04 Manz Ag Processing plant and method for processing a plate-shaped object
US10969680B2 (en) * 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
US20220260904A1 (en) * 2019-07-23 2022-08-18 University Of Massachusetts Thermal imprinting of nanostructure materials
CN111913349A (en) * 2020-08-25 2020-11-10 青岛天仁微纳科技有限责任公司 Nano-imprinting device and imprinting method
CN113934111B (en) * 2021-11-09 2023-07-18 青岛天仁微纳科技有限责任公司 Nanometer impression equipment with two-sided impression function

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5078947A (en) * 1988-09-30 1992-01-07 Victor Company Of Japan, Ltd. Method and apparatus for the fabrication of optical record media such as a digital audio disc
US6142763A (en) * 1998-12-30 2000-11-07 International Thermoforming Systems, Inc. Thermal presses for forming articles from a web of thermoplastic material
US6198525B1 (en) * 1999-02-19 2001-03-06 International Business Machines Corporation System for contact imaging both sides of a substrate
US20020024642A1 (en) * 2000-03-08 2002-02-28 Zemel Marc I. Two-sided substrate imaging using single-approach projection optics
SE522237C2 (en) * 2002-06-07 2004-01-27 Obducat Ab Pattern formation method in e.g. hard disk manufacture, involves arranging substrate facing patterned surface of pressing portion, so that substrate and pressing portion are movable along common line
US20040156028A1 (en) * 2002-12-24 2004-08-12 Shoichi Okada Both side projection exposure apparatus
US20060006580A1 (en) * 2002-08-27 2006-01-12 Obducat Ab Device for transferring a pattern to an object

Family Cites Families (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2000000A (en) * 1932-05-12 1935-04-30 Budd Edward G Mfg Co Vehicle wheel construction
GB1183056A (en) 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
NL7710555A (en) 1977-09-28 1979-03-30 Philips Nv METHOD AND DEVICE FOR MANUFACTURING INFORMATION CONTAINING PLATES.
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (en) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück WATERPROOF PHOTOGRAPHIC PAPER AND METHOD FOR THE PRODUCTION THEREOF
US4576900A (en) 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
JPS58155542A (en) * 1982-03-10 1983-09-16 Toshiba Corp Information recording carrier
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4637904A (en) 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4506184A (en) 1984-01-10 1985-03-19 Varian Associates, Inc. Deformable chuck driven by piezoelectric means
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
DE3767317D1 (en) 1986-02-13 1991-02-21 Philips Nv DIE FOR AN IMPRESSION PROCESS.
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JP2823016B2 (en) 1986-12-25 1998-11-11 ソニー株式会社 Method of manufacturing transmission screen
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (en) 1991-05-29 1993-12-24 Solems METHOD, DEVICE AND APPARATUS FOR TREATING A SUBSTRATE WITH A LOW PRESSURE PLASMA.
JPH0521584A (en) 1991-07-16 1993-01-29 Nikon Corp Retaining equipment
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (en) 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (en) 1992-04-28 2001-04-16 東京エレクトロン株式会社 Plasma processing equipment
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
JPH06244269A (en) 1992-09-07 1994-09-02 Mitsubishi Electric Corp Semiconductor manufacturing apparatus, wafer vacuum chuck device thereof, and gas cleaning and nitride film formation therefor
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (en) 1994-03-14 1995-09-21 Leybold Ag Device for the transport of substrates
CN1120683A (en) 1994-03-15 1996-04-17 松下电器产业株式会社 Exposure method and exposure apparatus
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
DE69509046T2 (en) 1994-11-30 1999-10-21 Applied Materials Inc Plasma reactors for the treatment of semiconductor wafers
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
CA2264908C (en) 1996-09-06 2006-04-25 Obducat Ab Method for anisotropic etching of structures in conducting materials
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (en) 1996-10-23 1998-05-15 Toshiba Corp Liquid crystal display element
JPH10172897A (en) 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6304424B1 (en) 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
FI109944B (en) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelectronic component and manufacturing method
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6726195B1 (en) 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
JP4204128B2 (en) 1999-01-18 2009-01-07 東京応化工業株式会社 Substrate transport apparatus and substrate transport method
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP2000231011A (en) * 1999-02-09 2000-08-22 Sharp Corp Optical device and stamper used for its production
US6741338B2 (en) 1999-02-10 2004-05-25 Litel Instruments In-situ source metrology instrument and method of use
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6512401B2 (en) 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
AU3271801A (en) 1999-11-30 2001-06-12 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
SE515607C2 (en) 1999-12-10 2001-09-10 Obducat Ab Device and method for fabrication of structures
ATE294648T1 (en) 1999-12-23 2005-05-15 Univ Massachusetts METHOD FOR PRODUCING SUBMICRON PATTERNS ON FILM
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
JP2001358056A (en) 2000-06-15 2001-12-26 Canon Inc Exposure apparatus
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP2264523A3 (en) 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
JP4740518B2 (en) 2000-07-17 2011-08-03 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Automated liquid dispensing method and system for transfer lithography process
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7717696B2 (en) 2000-07-18 2010-05-18 Nanonex Corp. Apparatus for double-sided imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (en) 2000-08-19 2002-09-05 삼성전자 주식회사 Metal Via Contact of Semiconductor Devices and Method of Forming it
AU2001286573A1 (en) 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
US20060005657A1 (en) 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US7387508B2 (en) 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6879162B2 (en) 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
TW525221B (en) 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
US6612590B2 (en) 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (en) 2001-05-22 2002-12-04 Sharp Corp Pattern of metal film and manufacturing method therefor
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
WO2003035932A1 (en) 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
JP2003202584A (en) 2002-01-08 2003-07-18 Toshiba Corp Liquid crystal display device
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6736408B2 (en) 2002-01-25 2004-05-18 Applied Materials Inc. Rotary vacuum-chuck with venturi formed at base of rotating shaft
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
KR100975785B1 (en) * 2002-03-15 2010-08-16 프린스턴 유니버시티 Laser assisted direct imprint lithography
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US7144539B2 (en) * 2002-04-04 2006-12-05 Obducat Ab Imprint method and device
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US20050172848A1 (en) * 2002-04-24 2005-08-11 Lennart Olsson Device and method for transferring a pattern to a substrate
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003232962A1 (en) 2002-05-27 2003-12-12 Koninklijke Philips Electronics N.V. Method and device for transferring a pattern from a stamp to a substrate
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
CN1659261A (en) * 2002-06-13 2005-08-24 宝洁公司 Compositions comprising specfic fabric softener actives
JP4266328B2 (en) * 2002-06-17 2009-05-20 株式会社ナガセインテグレックス Transfer device
US7252492B2 (en) 2002-06-20 2007-08-07 Obducat Ab Devices and methods for aligning a stamp and a substrate
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
JP4363837B2 (en) * 2002-11-07 2009-11-11 大日本印刷株式会社 Method for forming fine irregularities on curved surface and optical member
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
TW200500811A (en) 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
JP4651390B2 (en) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ UV nanoimprint lithography using multiple relief element stamps
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7150622B2 (en) 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7790231B2 (en) 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
JP2005045168A (en) * 2003-07-25 2005-02-17 Tokyo Electron Ltd In-print method and in-print device
US6879191B2 (en) 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
JP4322096B2 (en) 2003-11-14 2009-08-26 Tdk株式会社 RESIST PATTERN FORMING METHOD, MAGNETIC RECORDING MEDIUM, AND MAGNETIC HEAD MANUFACTURING METHOD
JP2005153091A (en) * 2003-11-27 2005-06-16 Hitachi Ltd Transfer method and transfer device
US7023238B1 (en) 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
JP4455093B2 (en) * 2004-02-20 2010-04-21 キヤノン株式会社 Mold, processing apparatus using mold, and processing method using mold
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7504268B2 (en) 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
KR20070032664A (en) 2004-05-28 2007-03-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Substrate Support System and Method
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
CN100570445C (en) 2004-06-03 2009-12-16 分子制模股份有限公司 Be used for fluid distribution and drop-on-demand distribution that nanometer scale is made
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US20060017876A1 (en) 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
WO2006060757A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
WO2006060758A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7363854B2 (en) 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7635263B2 (en) 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE549294T1 (en) * 2005-12-09 2012-03-15 Obducat Ab DEVICE AND METHOD FOR TRANSFER OF PATTERN WITH INTERMEDIATE STAMP
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
JP5306989B2 (en) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド Method for simultaneously patterning a substrate having a plurality of fields and alignment marks
US7462028B2 (en) 2006-04-03 2008-12-09 Molecular Imprints, Inc. Partial vacuum environment imprinting
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US20070231422A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5078947A (en) * 1988-09-30 1992-01-07 Victor Company Of Japan, Ltd. Method and apparatus for the fabrication of optical record media such as a digital audio disc
US6142763A (en) * 1998-12-30 2000-11-07 International Thermoforming Systems, Inc. Thermal presses for forming articles from a web of thermoplastic material
US6198525B1 (en) * 1999-02-19 2001-03-06 International Business Machines Corporation System for contact imaging both sides of a substrate
US20020024642A1 (en) * 2000-03-08 2002-02-28 Zemel Marc I. Two-sided substrate imaging using single-approach projection optics
SE522237C2 (en) * 2002-06-07 2004-01-27 Obducat Ab Pattern formation method in e.g. hard disk manufacture, involves arranging substrate facing patterned surface of pressing portion, so that substrate and pressing portion are movable along common line
US20060006580A1 (en) * 2002-08-27 2006-01-12 Obducat Ab Device for transferring a pattern to an object
US20040156028A1 (en) * 2002-12-24 2004-08-12 Shoichi Okada Both side projection exposure apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2007067488A2 *

Also Published As

Publication number Publication date
MY144847A (en) 2011-11-30
WO2007067488A3 (en) 2009-04-23
TW200730325A (en) 2007-08-16
KR101324549B1 (en) 2013-11-01
US20070132152A1 (en) 2007-06-14
JP4987012B2 (en) 2012-07-25
KR20080080338A (en) 2008-09-03
WO2007067488A2 (en) 2007-06-14
US8109754B2 (en) 2012-02-07
EP1957249A2 (en) 2008-08-20
CN104317161A (en) 2015-01-28
US20100129486A1 (en) 2010-05-27
TWI310726B (en) 2009-06-11
CN101535021A (en) 2009-09-16
EP1957249B1 (en) 2014-11-12
JP2009518863A (en) 2009-05-07
US7670529B2 (en) 2010-03-02

Similar Documents

Publication Publication Date Title
EP1957249A4 (en) Method and system for double-sided patterning of substrates
GB2427309B (en) System and method for direct-bonding of substrates
EP1934840A4 (en) Electronic discovery system and method
EP1905065A4 (en) Systems and methods for roll-to-roll patterning
WO2007050186A8 (en) Medical-risk stratifying method and system
ZA200805990B (en) New method and system
EP1869909A4 (en) System and method for ranging
GB201109816D0 (en) System and method
IL191589A0 (en) Positioning system and method
GB0816413D0 (en) Purification method and kits
EP1865661A4 (en) A method of fast-multicast and a system thereof
TWI368071B (en) Apparatus and method for attaching substrates
WO2008121635A8 (en) Method and system for knowledge discovery
GB0412654D0 (en) Method of detection
EP1855773A4 (en) Method and system for solvent purification
GB0517929D0 (en) Method of printing
EP1951587A4 (en) Adhesive system and method of making same
GB2424853B (en) Method of printing
TWI318386B (en) Method and system for discovery path
GB2427849B (en) Method and system for coating
EP2051522A4 (en) Broadcast-program recording-programming system and broadcast-program recording-programming method
GB0517600D0 (en) Method of detection
GB0415547D0 (en) Method of printing
GB201101598D0 (en) Purification method and kits
GB0614549D0 (en) System and Method of Prioitisation

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20080612

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK RS

R17D Deferred search report published (corrected)

Effective date: 20090423

A4 Supplementary search report drawn up and despatched

Effective date: 20120622

RIC1 Information provided on ipc code assigned before grant

Ipc: G03F 9/00 20060101ALI20120618BHEP

Ipc: B29C 35/02 20060101ALI20120618BHEP

Ipc: G03F 7/00 20060101AFI20120618BHEP

DAX Request for extension of the european patent (deleted)
REG Reference to a national code

Ref country code: DE

Ref legal event code: R079

Ref document number: 602006043674

Country of ref document: DE

Free format text: PREVIOUS MAIN CLASS: B29C0035020000

Ipc: G03F0007000000

RIC1 Information provided on ipc code assigned before grant

Ipc: G03F 9/00 20060101ALI20131211BHEP

Ipc: G03F 7/00 20060101AFI20131211BHEP

Ipc: B29C 35/02 20060101ALI20131211BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20140225

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20140616

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: CANON NANOTECHNOLOGIES, INC.

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: CANON NANOTECHNOLOGIES, INC.

Owner name: MOLECULAR IMPRINTS, INC.

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: AT

Ref legal event code: REF

Ref document number: 696091

Country of ref document: AT

Kind code of ref document: T

Effective date: 20141115

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602006043674

Country of ref document: DE

Effective date: 20141224

REG Reference to a national code

Ref country code: NL

Ref legal event code: VDEP

Effective date: 20141112

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 696091

Country of ref document: AT

Kind code of ref document: T

Effective date: 20141112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: IS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150312

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150312

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: PL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: LV

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150213

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 602006043674

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141130

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141130

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141130

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20150909

26N No opposition filed

Effective date: 20150813

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20150212

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150602

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141130

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150212

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT; INVALID AB INITIO

Effective date: 20061130

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20141130

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20141112