EP1794099A2 - Method of forming an in-situ recessed structure - Google Patents

Method of forming an in-situ recessed structure

Info

Publication number
EP1794099A2
EP1794099A2 EP05796480A EP05796480A EP1794099A2 EP 1794099 A2 EP1794099 A2 EP 1794099A2 EP 05796480 A EP05796480 A EP 05796480A EP 05796480 A EP05796480 A EP 05796480A EP 1794099 A2 EP1794099 A2 EP 1794099A2
Authority
EP
European Patent Office
Prior art keywords
layer
forming
substrate
etch
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05796480A
Other languages
German (de)
French (fr)
Other versions
EP1794099A4 (en
Inventor
Sidlgata V. Sreenivasan
Michael N. Miller
Nicholas A. Stacey
David C. Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/946,159 external-priority patent/US7041604B2/en
Priority claimed from US10/946,577 external-priority patent/US7241395B2/en
Priority claimed from US10/946,565 external-priority patent/US7252777B2/en
Priority claimed from US10/946,574 external-priority patent/US7205244B2/en
Priority claimed from US10/946,566 external-priority patent/US7547504B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to EP09173395A priority Critical patent/EP2146369A3/en
Priority to EP09173416A priority patent/EP2146370A3/en
Publication of EP1794099A2 publication Critical patent/EP1794099A2/en
Publication of EP1794099A4 publication Critical patent/EP1794099A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • the field of invention relates generally to micro and/or nano- fabrication of structures. More particularly, the present invention is directed to forming relief structures in substrates.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller.
  • One area in which micro-fabrication has a sizeable impact is in the semiconductor processing industry for the manufacture of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important.
  • Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • Photolithography includes a combination of an exposure tool and an image transfer process.
  • a process compatible masking layer often referred to as a resist-layer, is employed to provide the desired pattern. That is, the material from which the resist-layer is fabricated is optimized for the exposure tool and the image transfer process.
  • the proper resist material including the exposure wavelength and compatibility of the resist-layer material to post imaging processes, e.g., subsequent etch and deposition processes.
  • replicating patterns with well-defined features is dependent upon operational characteristics of the exposure tool.
  • imprint lithography a new non-photolithographic patterning process has evolved, which is commonly referred to as imprint lithography, overcoming many of the complexities and limitations of exposure tool technology.
  • imprint lithographic processes are described in detail in numerous publications, such as United States published patent application 2004/0065976, filed as United States patent application number 10/264960 on October 4, 2002 and entitled METHOD AND A MOLD TO ARRANGE FEATURES ON A SUBSTRATE TO REPLICATE FEATURES HAVING MINIMAL DIMENSIONAL VARIABILITY; United States published patent application
  • the liquid is solidified to form a solidified layer that has a pattern recorded therein that is conforming to a shape of the surface of the template in contact with the liquid.
  • the substrate and the solidified layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the solidified layer.
  • the present invention features a method of patterning a substrate that includes forming, on the substrate, a multi-layer film with a surface, an etch rate interface and an etch-differential interface.
  • the etch-differential interface is defined between the etch rate interface and the surface.
  • a recorded pattern is transferred onto the substrate defined, in part, by the etch-differential interface.
  • the recorded pattern has etched pattern characteristics (EPC) that define the shape of the pattern formed for a given etch process or set of etch processes.
  • EPC etched pattern characteristics
  • the multi-layer film is typically formed from a patterned layer deposited on the substrate, with an etch-differential layer being deposited on the patterned layer.
  • etch-differential layer operates to modify the EPC by varying the time required before the etch chemistry breakthrough of all, or any sub-portion of, the patterned layer to expose the region of substrate in superimposition therewith.
  • the modification of the EPC is dependent upon several factors. One of these factors includes the relative etch rates of the materials from which the patterned layer and the etch-differential layer are formed.
  • etch rate interface Another factor is the variation in the spacing between the surface and an interface of the patterned layer with the etch-differential layer, with the interface being referred to as etch rate interface (ERI) over the area of the ERI.
  • the variations in distance between the surface and the ERI are a function of the shape of the relative shapes of both the surface and the patterned layer. It is the volume of etch-differential layer disposed between the surface and the ERI that defines an etch-differential interface.
  • the etch-differential interface may be selected to minimize pattern distortions in the recorded pattern, such as, bowing of formed in the recorded pattern. Additionally, the tone of the recorded pattern may be shifted with respect to the tone of the original pattern in the pattern layer.
  • Fig. 1 is a simplified cross-sectional view of a multi-layered structure in accordance with one embodiment of the present invention
  • Fig. 2 is a simplified cross-sectional view after a blanket etch of the multi-layered structure, shown in Fig. 1, to form a crown surface in accordance with one embodiment of the present invention
  • Fig. 3 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 2, after subjecting the crown surface to an etch to form recesses in portions of the substrate in accordance with one embodiment of the present invention;
  • FIG. 4 is a simplified cross-sectional view of the multi-layer structure in accordance with an alternate embodiment
  • Fig. 5 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 4 after being subjected to a blanket etch
  • Fig. 6 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 5, after subjecting a crown surface to an etch to form recesses in a primer layer;
  • Fig. 7 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 6 after deposition of a second etch differential layer;
  • Fig. 8 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 7 after being subjected to an additional blanket etch;
  • Fig. 9 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 8 after formation of recesses employing an anisotropic etch;
  • Fig. 10 is a simplified cross-sectional view of a patterned layer disposed upon a substrate in accordance with one embodiment of the present invention
  • Fig. 11 is a simplified cross-sectional view of a multi-layered structure, formed by deposition of an etch-differential layer upon the patterned layer, shown in Fig. 10;
  • Fig. 12 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 11, after subjecting the same to a blanket etch to form a crown surface;
  • Fig. 13 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 12 after subjecting the crown surface to an etch to form via portions in the substrate in accordance with one embodiment of the present invention
  • Fig. 14 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 13, after subjecting the same to an anisotropic etch to form trench portions aligned with the via portions in accordance with one embodiment of the present invention
  • Fig. 15 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 14, after deposition of conductive material in the via portion and trench portion in accordance with one embodiment of the present invention
  • Fig. 16 is a cross-sectional view of a substrate patterned with an organic acrylate material in accordance with an alternate embodiment of the present invention.
  • Fig. 17 is a cross-sectional view of the substrate shown in Fig. 16 with nadir regions removed;
  • Fig. 18 is a cross-sectional view of the substrate shown in Fig. 17 with a conductive layer disposed thereon;
  • Fig. 19 is a cross-sectional view of the substrate shown in Fig. 18 after chemical mechanical polishing/planarization;
  • Fig. 20 is a cross-sectional view of the substrate shown in Fig. 19 after removal of the organic acrylate material;
  • Fig. 21 is a cross-sectional view of the substrate shown in Fig. 20 in accordance with an alternate embodiment
  • Fig. 22 is a cross-sectional view of the substrate shown in Fig. 17 with a dielectric layer disposed thereon;
  • Fig. 23 is a cross-sectional view of the substrate shown in Fig. 22 after being subjected to a blanket etch;
  • Fig. 24 is a cross-sectional view of the substrate shown in Fig. 23 after removal of the organic acrylate material;
  • Fig. 25 is a simplified cross-sectional view of the multi-layer structure in accordance with an alternate embodiment
  • Fig. 26 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 25 after subjecting the same to the process of
  • Fig. 27 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 25 after subjecting the same to the process of Figs. 4-9, with the etching chemistry having a high etch selectivity.
  • a substrate 10, patterned in accordance with the present invention is shown having surface undulations 12 and surface polygonal structures 14. It is problematic to pattern substrate 10 with features having dimensions on the order of the dimensions of undulations 12 and polygonal structures 14, because the substrate 10 presents a non-planar, i.e., roughened topology, to the pattern process. As a result, undulations 12 and polygonal structures 14, commonly referred to as planarity perturbations, make difficult controlling feature dimensions of patterns formed on substrate 10.
  • planarity perturbations make difficult controlling feature dimensions of patterns formed on substrate 10.
  • One of the advantages of the present invention is reducing, if not abrogating, problematic effects of planarity perturbations employing a multi-layer film stack 16 to pattern the substrate underlying the surface.
  • Multi-layer film stack 16 includes a primer layer 18 deposited on a surface 20 of substrate 10, a patterned layer 22 disposed upon primer layer 18, and an etch-differential layer 24 deposited upon patterned layer 22.
  • Primer layer 18 functions to provide surface 26 with planarity perturbations having a frequency that is less than the frequency of the planarity perturbations in surface 20.
  • Patterned layer 22 and etch-differential layer 24 define an etch rate differential interface 28 that results from the relative etch rates and thicknesses of the materials from which patterned layer 22 and etch-differential layer 24 are formed. This facilitates formation, on substrate 10, of a pattern corresponding to a shape of patterned layer 22.
  • patterned layer 22 is provided with features that include projections 30 having a thickness t 1( and recessions 32 having a thickness t 2 , measured between primer layer 18 and a bottom of recessions 32. Thickness t 2 is shown varying over the area of patterned layer 22 to the planarity perturbations that presents as curved surface in primer layer 18. Thickness t 2 is referred to as a residual thickness. Thicknesses 1 ⁇ t 1 " and "t 2 " may be any thickness desired, dependent upon the application.
  • each of projections 30 has an apex surface 34 and each of recessions 32 has a nadir surface 36.
  • the thickness differential between t x and t 2 of projections 30 and recessions 32 defines the amount of etch time required before exposing regions of substrate 10.
  • some or all of patterned layer 22 is sacrificed during the etching process to provide the desired dimensions of the pattern eventually recorded in substrate 10. Assuming a uniform thickness t 2 , a greater amount of etch time is required to expose regions of substrate 10 in superimposition with projections 30 compared with the time required for regions of substrate 10 in superimposition with recessions 32.
  • etching will commence sooner in regions of substrate 10 in superimposition with recessions 32 than regions in superimposition with projections 30. This facilitates formation of a pattern in substrate 10 corresponding to the shape of patterned layer 22.
  • the relational dimensions between the differing features of the pattern referred to as etched pattern characteristics (EPC)
  • EPC etched pattern characteristics
  • Etch-differential layer 24 provides added variability in a given pattern transfer process for a given patterned layer by modifying the EPC. This facilitates overcoming problems associated with the planarity perturbations. Specifically, for a given etch process and patterned layer 22, etch-differential layer 24 operates to modify the EPC by varying the time required before the etch chemistry breakthrough of all, or any sub-portion of, the patterned layer 22 to expose the region of substrate 10 in superimposition therewith.
  • the modification of the EPC is dependent upon several factors.
  • One of these factors includes the relative etch rates of the materials from which patterned layer 22 and etch-differential layer 24 are formed.
  • Another factor is the variation in the spacing between normalization surface 40 and an interface of patterned layer 22 with etch-differential layer 24, with the interface being referred to as etch rate interface (ERI) over the area of the ERI.
  • ERI etch rate interface
  • the variations in distance between normalization surface 40 and the ERI are a function of the shape of the relative shapes of both normalization surface 40 and patterned layer 22. It is the volume of etch-differential layer 24 disposed between normalization surface 40 and the ERI that defines an etch-differential interface.
  • etch differential interface 28 provides the modification to the EPC.
  • planarity perturbations include undulations 12 and polygonal structures 14; however, it should be understood that the topology of surface 20 may include any known shape, including substantially smooth, if not planar regions over a substantial area thereof. Further, the entire surface 20 may comprise undulations 12, or the entire surface 20 may comprise polygonal structures 14.
  • surface 20 may comprise of silicon and any native oxide present thereon that is associated with a standard silicon wafer or may include an indium phosphate wafer, a gallium arsenide wafer, a gallium nitride wafer and the like.
  • substrate 10 may include any one of the aforementioned wafers with one or more pre ⁇ existing layer deposited thereon, any one of which may be comprised of a metallic material, a dielectric material or combination thereof.
  • Primer layer 18 is applied to substrate 10 employing any known technique, e.g., chemical vapor deposition techniques, atomic layer deposition techniques, physical vapor deposition techniques, spin- coating techniques, imprint lithography techniques and the like.
  • primer layer 18 may be formed from a material available from Brewer Science, Inc. of Rolla Missouri under the trade name DUV30J- 6 that is spun-on substrate 10.
  • Primer layer 18 is typically provided with a thickness to function as an anti-reflective coating and provide a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to material deposited thereon and without being opaque to optical sensing equipment employed to detect patterns, such as alignment marks (not shown) , on substrate 10.
  • Both patterned layer 22 and etch-differential layer 24 are deposited using any known technique, e.g., chemical vapor deposition techniques, atomic layer deposition techniques, physical vapor deposition techniques, spin-coating techniques, imprint lithography techniques and the like.
  • patterned layer 22 and etch-differential layer 24 are formed employing imprint lithography techniques.
  • An exemplary technique for forming patterned layer 22 employs depositing a plurality of droplets of imprinting material, referred to as a drop-dispense technique.
  • An exemplary drop dispense technique is described in United States patent application number 10/789,319 filed February 27, 2004 entitled COMPOSITION FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL, which is assigned to the assignee of the present invention.
  • drop dispense imprinting is practiced in a helium rich atmosphere, e.g., with helium being flowed into a process region at about 5 pounds per square inch, in a manner described in United States patent application number 10/677,639, entitled SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD filed October 2, 2003.
  • An exemplary system is available under the trade name IMPRIO 100TM from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Texas 78758. The system description for the IMPRIO 100TM is available at www.molecularimprints.com.
  • Patterned layer 22 includes the features discussed above.
  • Etch-differential layer 24 includes first and second opposed sides. The first side faces patterned layer 22 and has a profile complementary to the profile of the patterned layer 22. The second side faces away from patterned layer 22 forming a substantially smooth if not planar surface, defining a normalization surface 40.
  • patterned layer 22 is a polymerized and cross-linked silicon-free material formed from the following composition: COMPOSITION 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one
  • the acrylate component isobornyl acrylate (IBOA) has the following structure:
  • nHA n-hexyl acrylate
  • nHA is employed to provide the desired viscosity of COMPOSITION 1, in the liquid phase, to be in a range 2-9 Centipoises . Another advantage of nHA is that it improves the flexibility of COMPOSITION 1, in the liquid phase, to be in a range 2-9 Centipoises . Another advantage of nHA is that it improves the flexibility of COMPOSITION 1, in the liquid phase, to be in a range 2-9 Centipoises . Another advantage of nHA is that it improves the flexibility of
  • COMPOSITION 1 An exemplary sample of nHA is available from Sigma- Aldrich of St. Louis, Missouri under product number 408905.
  • a cross- linking component, ethylene glycol diacrylate, has the following structure:
  • EGDA facilitates cross-linking of nHA and IBOA during polymerization of COMPOSITION 1, as well as contributes to the modulus and stiffness build up.
  • An initiator component, 2-hydroxy- 2-methyl-l-phenyl-propan-l-one is available from Ciba Specialty Chemicals of Tarrytown, New York under the trade name DAROCUR 1173, has the following structure:
  • the initiator is responsive to a broad band of ultra-violet radiation to facilitate cross-linking and polymerization of the components of COMPOSITION 1.
  • the surfactant may be any known in the art that provides COMPOSITION 1 with suitable wetting properties in the liquid phase, as well as desired release characteristics in the solid phase.
  • a surfactant is defined as any molecule, one tail of which is hydrophobic.
  • Surfactants may be either fluorine-containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure.
  • An exemplary surfactant has the following general structure:
  • etch differential layer 24 is formed employing the drop-dispense technique discussed above with respect to patterned layer 22.
  • a plurality of droplets (not shown) of imprinting material are deposited atop of patterned layer 22 and a planarization mold (not shown) is placed in contact therewith.
  • COMPOSITION 2 differs from COMPOSITION 1 by the substitution of nHA with acryloxymethylpentamethyldisiloxane that has the following structure:
  • COMPOSITION 2 The remaining components of COMPOSITION 2 are that same as COMPOSITION 1, but are present in differing amounts.
  • IBOA of COMPOSITION 2 typically comprises approximately 37% of COMPOSITION 2, and EGDA and 2-hydroxy-2-methyl-l-phenyl-propan-l-one, comprising approximately 18% and 3%, respectively.
  • the surfactant RiR 2 is approximately 0.5% of the COMPOSITION.
  • Composition 3 differs from COMPOSITION 2 only by the substitution of acryloxymethylpentamethyldisiloxane with acryloxymethylbis (trimethylsiloxy)methylsilane.
  • the component acryloxymethylbis (trimethylsiloxy)methylsilane has the following structure:
  • etch-differential layer 24 after formation of etch- differential layer 24 a blanket etch using, for example a RIE process with a halogen gas mixture of approximately 70% CF 4 and 30% O 2 , is employed to remove portions of etch-differential layer 24 substantially uniformly over a volume thereof to provide a crown surface 44.
  • a blanket etch using, for example a RIE process with a halogen gas mixture of approximately 70% CF 4 and 30% O 2 , is employed to remove portions of etch-differential layer 24 substantially uniformly over a volume thereof to provide a crown surface 44.
  • Other gas mixtures that may be include contains CHF 3 , SF 6 and other fluorinated chemistries know to one of ordinary skill in the etching art.
  • An exemplary etch chemistry is discussed by Johnson et al.
  • Crown surface 44 is defined by exposing the apex surface 34 of the projections 30. In the present example, adjacent apex surfaces 34 are separated by regions 46 of etch-differential layer 24 remaining after formation of crown surface 44. [0053] Referring to Figs. 2 and 3, crown surface is subjected to an anisotropic etch.
  • the etch chemistry of the anisotropic etch is selected to take advantage of the etch-differential provided by crown surface 44 by maximizing etching of projections 30 and segments of patterned layer 22 in superimposition therewith, while minimizing etching of regions 46 in superimposition with recessions 32.
  • advantage was taken of the distinction of the silicon content between the patterned layer 22 and etch-differential layer 24.
  • an RIE etch with an oxygen-based chemistry it was determined that an in-situ hardened mask 48 would be created in the regions 46 proximate to the surface thereof. This results from the interaction of the silicon-containing polymerizable material with the oxygen plasma.
  • portions of patterned layers are removed substantially uniformly over the volume thereof to expose regions 50 of substrate 10 in superimposition with projections 30.
  • a recorded pattern is formed that is defined by pillars 52 and recesses 54.
  • etch processes such as a types discussed above may be employed to transfer a pattern onto substrate 10 corresponding to the recorded pattern.
  • the recorded pattern may be covered with a conductive material (not shown) , such as aluminum, copper, tungsten, titanium, ti-tungsten or a combination thereof and the like, to, inter alia, fill recesses in furtherance of contact formation, discussed more fully below.
  • An advantage with the present invention is that control over the dimensions of the features is facilitated despite the presence of planarity perturbations on substrate 10. This is achieved by ensuring that normalization surface 40 is provided with a profile to ensure that distances k 2 , k 4 , k 6 , k 8 , k 10 and k 12 between apex surfaces 34 of each of projections 30 and normalization surface 40 are within a predetermined range. Specifically, normalization surface 40 is provided with a profile to ensure that the maximum difference between any two of distances k 2 , k 4 , k s , k 8 , k i0 and k 12 is less than M the height of the smallest projection 30.
  • Control of variations between distances, k 1; k 3 , k 5 , k 7 , k 9 and k 13. is not as critical so along as the measure of any one of distances k lf k 3 , k 5 , k 7 , k 9 and k xi is no less than the measure of the largest distance among distances k 2/ k 4 , k 6 , k a , k 10 and k 12 .
  • the distortions occurring during formation of recorded pattern is substantially independent of the planarity perturbations, by decoupling the resolution of the patterning process from the resulting variations in the thickness t 2 over the area of patterned layer 22.
  • the resolution of the patterning process may be substantially decoupled from the thickness t 2 , in addition to the variations in the same.
  • the aspect ratio of the recorded pattern was defined, in part, by the difference between t 2 and t x .
  • t 2 being on the order of the size of ti, or larger than t lf would result in no features being be produced in the recorded pattern.
  • the presence of planarity perturbations control over thickness t 2 becomes problematic, because the same varies over the area of patterned layer 22. This may also result in a loss of pattern features.
  • the time required to imprint increased as the feature dimensions on the template decreased, particularly with low viscosity imprinting materials, e.g., having a viscosity of less than 25 Centipoises, wherein the patterning process is dominated by the capillary forces of the imprinting material with the template (not shown) .
  • compressive forces may be applied to reduce the time required to fill the features of the template (not shown)
  • the mechanical strength of substrate 10 becomes a limitation when patterning materials having high viscosity, e.g., in a range of 25 to 10,000 Centipoises.
  • the compressive force required to pattern an imprinting material is believed to increase cubically as thickness t 2 decreases.
  • variations in the thickness t 2 may be modified without unduly deteriorating the resolution of the features to be formed in recorded pattern.
  • the difference between t 2ma ⁇ an -d t 2m i n may be the same size or greater than t ⁇ .
  • thickness t 2 may be the same size as the thickness t lf or may be two to ten times greater than ti.
  • a substrate 110 including a primer layer 118, a patterned layer 122 and an etch-differential layer 124 disposed thereon, which correspond to primer layer 18, patterned layer 22 and etch-differential layer 24, respectively.
  • Patterned layer 122 includes a plurality of projections 130 and recessions 132 that are analogous to projections 30 and recession 32. Projections 130 extend from a nadir surface 133, a distance h lt terminating in an apex surface 134.
  • a normalization surface 140 defined by etch differential layer 124, is spaced-apart from projections 130 in a manner discussed above with respect to normalization surface 40 and projections 30.
  • etch differential layer 124 is subjected to a blanket etch to form conformal surface 144 in a manner discussed above with respect to forming conformal surface 44.
  • conformal surface 144 is defined by exposed apex surface 134 separated by regions 146 of etch differential layer 124.
  • Projections 135 include a hard mask 148 of the material from which etch-differential layer 124 is formed. Regions 150 may be closer, further or the same distance from substrate 110 than nadir surfaces 133, dependent upon the application. A height, h 2/ of projections 135 is defined as a function of the distance regions 150 are from substrate 110. In the present example, regions 150 are closer to substrate 110 than nadir surface 133 and provide projections 135 with a height equal to distance 1I 1 .
  • projections 135 are shifted in phase along the x-direction with respect to projections 130. Particularly, projections 135 are in superimposition with the same regions of substrate 110 with which recessions 132 are in superimposition. Moreover, the dimensions of projections 135 are defined by regions 146.
  • a second etch-differential layer 224 is deposited over projection 135, filling recessions 137.
  • Etch-differential layer 224 is formed from the material as discussed with respect to etch differential layer 124.
  • Etch differential layer 224 has a normalization surface 240 configured in the manner discussed with respect to normalization surface 240.
  • a crown surface 244 is generated in a manner discussed above with respect to crown surface 144. This is followed by removal of projections 135.
  • the result is that a pattern 239, having a plurality of projections 230 and recessions 232, is generated on substrate 110 that has the same tone as the pattern in the patterned layer 122, i.e., one may invert the pattern obtained by the process discussed with respect to Figs. 1-3.
  • pattern 239 is shifted in phase along the X-direction with respect to pattern 139, with the understanding that pattern 239 may shift in phase along the Y-direction, which is into the page of Figs. 1-3.
  • pattern 239 is shifted in phase in a direction so that projections 230 are in superimposition with the same regions of substrate 110 with which projections 130 are in superimposition.
  • Pattern 139 therefore, is said to be shifted 180° out of phase along the X and Y-directions with respect to pattern 239.
  • the remaining portions of etch differential layer 224 may be removed.
  • the features of the pattern may be greater than, less than or equal to the dimensions of the features in the patterned layer 122.
  • the recess may be fabricated in the template (not shown) as protrusions with the same being subsequently formed into a recorded pattern as recessions.
  • the tone of the pattern in the template may be chosen independent of the recorded tone of the recorded pattern desired.
  • the tone of the pattern in the template may be selected to satisfy other operational characteristics of the imprint process, e.g., throughput by decreasing feature fill-time.
  • patterned layer 322 is shown having a plurality of features formed on substrate 310, which include projections 330 having a thickness t 3/ shoulders 331 having a thickness t 4 and sub-portions 332 having a thickness t 5 .
  • Projection 330 and shoulders 331 define a bi-level projection on surface of substrate 310.
  • Thickness t 5 is referred to as a residual thickness.
  • Thicknesses "t 3 ", "t 4 " and “t 5 " may be any thickness desired, dependent upon the application.
  • An inverse shape of patterned layer 322 is transferred onto substrate 310 to form a via portion (not shown) and a trench portion (not shown) therein, discussed more fully below.
  • the dimensions of the via portion (not shown) are established as a function of the dimensions of projections
  • the dimensions of the trench portion (not shown) are established as a function of the dimensions of the shoulders.
  • substrate 310 may include several preexisting layers disposed upon a wafer 312, shown as layers 314, 315 separated by etch stop layers 316, 317 and 318.
  • Etch-differential layer 324 is disposed adjacent to patterned layer 322.
  • Substrate 310, patterned layer 322 and etch-differential layer 324 define a multi- layered structure 338.
  • a blanket etch is employed to remove portions of etch-differential layer 324 to provide multi-layered 338 structure with the crown surface 344.
  • Crown surface 344 is defined by an exposed apex surface 334 of each projection 330 and regions 346 that remain of etch-differential layer 324 after the blanket etch.
  • crown surface 344 is subjected to an anisotropic etch. The etch chemistry of the anisotropic etch is selected to maximize etching of projections 330 and the segments of patterned layer 322 in superimposition therewith, while minimizing etching of the regions 346.
  • advantage was taken of the distinction of the silicon content between the patterned layer 322 and regions 346 to expose regions 350 of substrate 310, as discussed above with respect to regions 46 and patterned layer 22 with respect to Figs. 2 and 3.
  • etch processes including the processes discussed above, are employed to remove regions 346 and portions of multi-layered structure 338 in superimposition with regions 346 to expose regions 354 and 356.
  • Regions 354 and 356 define a trench portion 358 and a via portions 360.
  • a subsequent etch process or lift-off process is employed to remove remaining portions of layers 322 and 324.
  • via portion 360 and trench portion 358 may be concurrently filled with a conductive material, such as aluminum, copper, tungsten, titanium, ti-tungsten or a combination thereof and the like, to form a contact 362 and a conductive line 364, shown in Fig. 15.
  • Figs. 2, 13 and 14 the advantages of this process are manifold.
  • self-aligned vias and trenches may be formed in substrate 310 while precisely controlling the dimensions thereof.
  • etch- differential 24 layer may be formed employing spin-on techniques as discussed in United States patent application number 10/789,319 filed February 27, 2004 entitled COMPOSITION FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL. TO that end, the following compositions may be employed: COMPOSITION 4 hydroxyl-functional polysiloxane hexamethoxymethylmelamine toluenesulfonic acid methyl amyl ketone
  • hydroxyl-functional polysiloxane comprises approximately 4% of the composition
  • hexamethoxymethylmelamine comprises approximately 0.95%
  • toluenesulfonic acid comprises approximately .05%
  • methyl amyl ketone comprises approximately 95%.
  • hydroxyl-functional polysiloxane comprises approximately 4% of the composition
  • hexamethoxymethylmelamine comprises approximately 0.7%
  • gamma-glycidoxypropyltrimethoxysilane comprises approximately .25%
  • toluenesulfonic acid comprises approximately .05%
  • methyl amyl ketone comprises approximately 95%.
  • Both COMPOSITIONS 4 and 5 are made up of at least 4% of the silicone resin.
  • the quantity of silicon present in etch-differential layers 24, 124, 224 and 324 is at least 10% by weight and typically in a range of 20% or greater.
  • the quantity and composition of the solvent present in COMPOSITIONS 4 and 5 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITIONS 4 or 5 on patterned layers 22, 122 and 322. In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin- coating.
  • etch-differential layers 24, 124, 224 and 324 include spinning-on approximately 4mL of the silicon- containing material deposited proximate to a center of the previously deposited layer. To that end, substrates 10, 110 or 310 are spun at 1000 rev/min for 1 minute by placing substrate 10, 110 or 310 on a hot plate. Thereafter, the silicon-containing material is subjected to thermal energy by baking at 150° C for 1 min.
  • etch-differential layer 24, 124, 224 and 324 is formed, with thickness variations of 20nm or less.
  • the aforementioned spin-coating and curing processes are simply repeated.
  • the solvent employed is selected so as not to remove, "wash away,” silicon-containing material in a well-cured etch-differential layer.
  • patterned layers 22, 122 and 322 from a modified composition consisting of a mixture of COMPOSITIONS 1 and 2, when used in conjunction etch differential layers 24, 124, 224 and 324, respectively, formed from one of COMPOSITIONS 4 and 5 provided superior control over the anisotropy of the recessions in the recorded pattern.
  • the modified composition provides layers 22, 122 and 322 with approximately 2-6% of silicon by weight.
  • an exemplary modified composition consists of 20-70% of COMPOSITION 2, with the remainder consisting of COMPOSITION 1. This provided a silicon content differential between one of patterned layers 22, 122 and 322 and one of etch differential layers, 24, 124, 224 and 324.
  • recessions e.g., recessions 32, 132 and 137 and 232
  • Further control over formation of the aforementioned recessions was achieved by cooling substrates 10, 110 and 310 to approximately zero degrees Celsius, as well as by employing reducing chemistries in lieu of the aforementioned oxidizing chemistries during etching.
  • Exemplary reducing chemistries include H 2 /N 2 , C ⁇ /N 2 , CH 4 /N 2 and the like.
  • patterned layers 22, 122 and 322 from COMPOSITIONS 2, 4 or 5, with etch-differential layers 24, 124, 224 and 324 being formed from COMPOSITION 1.
  • primer layer 18 from one of COMPOSITIONS 2-5, i.e., were the surface upon which primer layer 18 disposed formed from a non-silicon containing organic material.
  • the etch process employed would be established to provide the desired etch selectivity in the manner similar to that described above.
  • other processes in which an organic patterned layer functions as a sacrificial patterning layer may include formation of metal lines.
  • patterned layer 422 is deposited on substrate 410 employing any known deposition method.
  • patterned layer 422 is formed from COMPOSITION 1 employing imprint lithography generated by a plurality of spaced-apart projections 430 and recessions 432.
  • a nadir portion 436 of recessions 432 has a residual thickness t 6 .
  • patterned layer 422 is subjected to an etch process, such as an RIE oxygen etch discussed above, to remove nadir portions 436. As a result of this process, pattern 433 is formed on substrate 410.
  • a deleterious effect that occurs during removing of nadir portions 436 is that an apex surface 434 of each of projections 430 assumes a faceted shape.
  • a conductive material is deposited to cover pattern 433, including apex surfaces 434 forming a conductive layer 424 having a conforming side, conforming to a shape of pattern 433 and normalization side 440 disposed opposite to conforming side. Normalization side 440 is spaced-apart from projections 430.
  • An exemplary conductive material is copper, but may include any of the conductive materials mentioned above.
  • a suitable process may include a chemical mechanical polishing/planarization (CMP) process forming, were conductive layer 424 formed from metal, or blanket etch were a conductive layer 424 formed from a conductive polymer.
  • CMP chemical mechanical polishing/planarization
  • a CMP process is employed to achieve formation of a substantially smooth, if not planar, crown surface 444.
  • the CMP process is selected based upon the material from which patterned layer 422 and conductive layer 424 are formed so that apex surfaces 434 are exposed in normalization surface having a substantially planar profile. Specifically, the faceted shape of apex surfaces is removed while providing crown surface 444 with a substantially smooth if not planar profile.
  • multi-layer structure 438 is subjected to an RIE process and oxygen-based chemistry, as discussed above.
  • the etch process removes projection 430, leaving a plurality of spaced-apart metal lines 450. It should understood that the this same process may be employed to form metal lines 550 with stepped shapes, e.g., a pair of spaced-apart shoulders 552 disposed between an apex surface 530 and substrate 510, shown in Fig. 21.
  • projections 430 may be covered with a non-conductive material, e.g., a dielectric material, to form dielectric layer 624.
  • a non-conductive material e.g., a dielectric material
  • Exemplary dielectric materials included of dielectric materials applicable for this purpose are silsesquioxanes and methyl-silsesquioxanes, spin-on glass that are applied employing conventional deposition techniques.
  • Dielectric layer 624 covers pattern 433, including apex surfaces 434.
  • dielectric layer includes a conforming side, conforming to a shape of pattern 433 and normalization side 640 disposed opposite to conforming side. Normalization side 640 is spaced-apart from projections 630.
  • normalization side 640 is subjected to a blanket etch, such as a CF 4 /O 2 plasma or CHF 3 /O 2 plasma etch, to expose apex surfaces 434 of projections 430, forming a multi-layer structure 638 having a crown surface 644.
  • Crown surface 644 is formed to be substantially smooth, if not, planar.
  • multi-layer structure 638 is subjected to an etch process that includes RIE with an oxygen plasma chemistry as discussed above. The etch process removes projections 430, leaving a plurality of spaced- apart dielectric pillars 650. It should be understood that the same process may be employed to form dielectric pillars with stepped shapes, as discussed above.
  • a multi-layered structure 700 is shown having a substrate 702, a primer layer 704, a patterned layer 706 and an etch-differential layer 708.
  • Patterned layer 706 includes projections 710, with projections 710 being arcuate in shape.
  • Multi-layered structure 700 may be subjected to the process mentioned above with respect to Figs. 4-9, thus resulting in the formation of multi-layered structures 712 and 714, shown in Figs. 26 and 27, respectively.
  • the etching chemistry is such that a low etch selectivity is achieved between the patterned layer 706 and the etch- differential layer 708.
  • the etching chemistry is such that a high etch selectivity is achieved between the patterned layer 706 and the etch-differential layer 708. Further processes can be undertaken that would result in different patterns being etched into substrate 702 that might be useful for generating optical elements. Particularly, by tailoring the relative etch rates, and relative thicknesses of differential layer 708, patterned layer 706 and primer layer 704, the EPC may be modified so that the recorded pattern substantially differs from the pattern in of the patterned layer 706. It should be understood that the etch characteristics of primer layer 704 are similar to the etch characteristic of patterned layer 706. Furthermore, primer layer 704 is optional and may be omitted, dependent upon the application. [0084] The embodiments of the present invention described above are exemplary.

Abstract

The present invention features a method of patterning a substrate that includes forming, on the substrate, a multi-layer film with a surface, an etch rate interface and an etch-differential interface. The etch-differential interface is defined between the etch rate interface and the surface. A recorded pattern is transferred onto the substrate defined, in part, by the etch-differential interface. The recorded pattern has etched pattern characteristics (EPC) that define the shape of the pattern formed for a given etch process or set of etch processes. The etch-differential interface modifies the EPC. By establishing a suitable etch-differential interface, one may obtain a recorded pattern that differs substantially in shape compared with the shape of the patterned layer or the same pattern may be obtained.

Description

METHOD OF FORMING AN IN-SITU RECESSED STRUCTURE
BACKGROUND OF THE INVENTION
[0001] The field of invention relates generally to micro and/or nano- fabrication of structures. More particularly, the present invention is directed to forming relief structures in substrates.
[0002] Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro-fabrication has a sizeable impact is in the semiconductor processing industry for the manufacture of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
[0003] Traditional micro-fabrication employs photolithography techniques to replicate patterns on substrates. Photolithography includes a combination of an exposure tool and an image transfer process. To that end, a process compatible masking layer, often referred to as a resist-layer, is employed to provide the desired pattern. That is, the material from which the resist-layer is fabricated is optimized for the exposure tool and the image transfer process. As a result, several factors are considered when determining the proper resist material, including the exposure wavelength and compatibility of the resist-layer material to post imaging processes, e.g., subsequent etch and deposition processes. In addition to the resist-layer, replicating patterns with well-defined features is dependent upon operational characteristics of the exposure tool. These characteristics include, and are not limited to, the numerical aperture of the exposure tool lens, wavelength employed and alignment systems. [0004] Recently a new non-photolithographic patterning process has evolved, which is commonly referred to as imprint lithography, overcoming many of the complexities and limitations of exposure tool technology. Exemplary imprint lithographic processes are described in detail in numerous publications, such as United States published patent application 2004/0065976, filed as United States patent application number 10/264960 on October 4, 2002 and entitled METHOD AND A MOLD TO ARRANGE FEATURES ON A SUBSTRATE TO REPLICATE FEATURES HAVING MINIMAL DIMENSIONAL VARIABILITY; United States published patent application
2004/0065252, filed as United States patent application number 10/264926 on October 4, 2002 and entitled METHOD OF FORMING A LAYER ON A SUBSTRATE TO FACILITATE FABRICATION OF METROLOGY STANDARDS; and United States number 6,936,194, entitled FUNCTIONAL PATTERNING MATERIAL FOR IMPRINT LITHOGRAPHY PROCESSES. [0005] The fundamental imprint lithography technique disclosed in each of the aforementioned published patent applications includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate. To that end, a template is employed spaced-apart from the substrate with a formable liquid present between the template and the substrate. The liquid is solidified to form a solidified layer that has a pattern recorded therein that is conforming to a shape of the surface of the template in contact with the liquid. The substrate and the solidified layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the solidified layer.
[0006] Generally, control over the dimensions of the features formed with the aforementioned processes has been dependent upon the topology of the underlying surface in contact with the formable liquid. The greater anisotropy of the surface the greater the distortion in the relief image.
[0007] There is a need, therefore, to provide improved processes for forming relief structures on substrates containing topography.
SUMMARY OF THE INVENTION [0008] The present invention features a method of patterning a substrate that includes forming, on the substrate, a multi-layer film with a surface, an etch rate interface and an etch-differential interface. The etch-differential interface is defined between the etch rate interface and the surface. A recorded pattern is transferred onto the substrate defined, in part, by the etch-differential interface. The recorded pattern has etched pattern characteristics (EPC) that define the shape of the pattern formed for a given etch process or set of etch processes. The etch-differential interface modifies the EPC. Specifically, the multi-layer film is typically formed from a patterned layer deposited on the substrate, with an etch-differential layer being deposited on the patterned layer. For a given etch process and patterned layer, etch-differential layer operates to modify the EPC by varying the time required before the etch chemistry breakthrough of all, or any sub-portion of, the patterned layer to expose the region of substrate in superimposition therewith. The modification of the EPC is dependent upon several factors. One of these factors includes the relative etch rates of the materials from which the patterned layer and the etch-differential layer are formed. Another factor is the variation in the spacing between the surface and an interface of the patterned layer with the etch-differential layer, with the interface being referred to as etch rate interface (ERI) over the area of the ERI. The variations in distance between the surface and the ERI are a function of the shape of the relative shapes of both the surface and the patterned layer. It is the volume of etch-differential layer disposed between the surface and the ERI that defines an etch-differential interface. By establishing a suitable etch-differential interface, one may obtain a recorded pattern that differs substantially in shape compared with the shape of the patterned layer or the same pattern may be obtained. For example, by properly selected the etch-differential interface may be selected to minimize pattern distortions in the recorded pattern, such as, bowing of formed in the recorded pattern. Additionally, the tone of the recorded pattern may be shifted with respect to the tone of the original pattern in the pattern layer. These and other embodiments are described herein.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] Fig. 1 is a simplified cross-sectional view of a multi-layered structure in accordance with one embodiment of the present invention; [0010] Fig. 2 is a simplified cross-sectional view after a blanket etch of the multi-layered structure, shown in Fig. 1, to form a crown surface in accordance with one embodiment of the present invention; [0011] Fig. 3 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 2, after subjecting the crown surface to an etch to form recesses in portions of the substrate in accordance with one embodiment of the present invention;
[0012] Fig. 4 is a simplified cross-sectional view of the multi-layer structure in accordance with an alternate embodiment;
[0013] Fig. 5 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 4 after being subjected to a blanket etch; [0014] Fig. 6 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 5, after subjecting a crown surface to an etch to form recesses in a primer layer;
[0015] Fig. 7 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 6 after deposition of a second etch differential layer; [0016] Fig. 8 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 7 after being subjected to an additional blanket etch;
[0017] Fig. 9 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 8 after formation of recesses employing an anisotropic etch;
[0018] Fig. 10 is a simplified cross-sectional view of a patterned layer disposed upon a substrate in accordance with one embodiment of the present invention; [0019] Fig. 11 is a simplified cross-sectional view of a multi-layered structure, formed by deposition of an etch-differential layer upon the patterned layer, shown in Fig. 10;
[0020] Fig. 12 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 11, after subjecting the same to a blanket etch to form a crown surface;
[0021] Fig. 13 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 12 after subjecting the crown surface to an etch to form via portions in the substrate in accordance with one embodiment of the present invention; [0022] Fig. 14 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 13, after subjecting the same to an anisotropic etch to form trench portions aligned with the via portions in accordance with one embodiment of the present invention;
[0023] Fig. 15 is a simplified cross-sectional view of the multi- layered structure, shown in Fig. 14, after deposition of conductive material in the via portion and trench portion in accordance with one embodiment of the present invention;
[0024] Fig. 16 is a cross-sectional view of a substrate patterned with an organic acrylate material in accordance with an alternate embodiment of the present invention;
[0025] Fig. 17 is a cross-sectional view of the substrate shown in Fig. 16 with nadir regions removed;
[0026] Fig. 18 is a cross-sectional view of the substrate shown in Fig. 17 with a conductive layer disposed thereon; [0027] Fig. 19 is a cross-sectional view of the substrate shown in Fig. 18 after chemical mechanical polishing/planarization;
[0028] Fig. 20 is a cross-sectional view of the substrate shown in Fig. 19 after removal of the organic acrylate material;
[0029] Fig. 21 is a cross-sectional view of the substrate shown in Fig. 20 in accordance with an alternate embodiment; [0030] Fig. 22 is a cross-sectional view of the substrate shown in Fig. 17 with a dielectric layer disposed thereon;
[0031] Fig. 23 is a cross-sectional view of the substrate shown in Fig. 22 after being subjected to a blanket etch; [0032] Fig. 24 is a cross-sectional view of the substrate shown in Fig. 23 after removal of the organic acrylate material;
[0033] Fig. 25 is a simplified cross-sectional view of the multi-layer structure in accordance with an alternate embodiment; [0034] Fig. 26 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 25 after subjecting the same to the process of
Figs. 4-9, with the etching chemistry having a low etch selectivity,- and [0035] Fig. 27 is a simplified cross-sectional view of the multi-layer structure shown in Fig. 25 after subjecting the same to the process of Figs. 4-9, with the etching chemistry having a high etch selectivity.
DETAILED DESCRIPTION OF THE INVENTION
[0036] Referring to Fig. 1, a substrate 10, patterned in accordance with the present invention, is shown having surface undulations 12 and surface polygonal structures 14. It is problematic to pattern substrate 10 with features having dimensions on the order of the dimensions of undulations 12 and polygonal structures 14, because the substrate 10 presents a non-planar, i.e., roughened topology, to the pattern process. As a result, undulations 12 and polygonal structures 14, commonly referred to as planarity perturbations, make difficult controlling feature dimensions of patterns formed on substrate 10. One of the advantages of the present invention is reducing, if not abrogating, problematic effects of planarity perturbations employing a multi-layer film stack 16 to pattern the substrate underlying the surface. [0037] Multi-layer film stack 16 includes a primer layer 18 deposited on a surface 20 of substrate 10, a patterned layer 22 disposed upon primer layer 18, and an etch-differential layer 24 deposited upon patterned layer 22. Primer layer 18 functions to provide surface 26 with planarity perturbations having a frequency that is less than the frequency of the planarity perturbations in surface 20. [0038] Patterned layer 22 and etch-differential layer 24 define an etch rate differential interface 28 that results from the relative etch rates and thicknesses of the materials from which patterned layer 22 and etch-differential layer 24 are formed. This facilitates formation, on substrate 10, of a pattern corresponding to a shape of patterned layer 22. For example, as shown, patterned layer 22 is provided with features that include projections 30 having a thickness t1( and recessions 32 having a thickness t2, measured between primer layer 18 and a bottom of recessions 32. Thickness t2 is shown varying over the area of patterned layer 22 to the planarity perturbations that presents as curved surface in primer layer 18. Thickness t2 is referred to as a residual thickness. Thicknesses 1^t1" and "t2" may be any thickness desired, dependent upon the application.
[0039] Each of projections 30 has an apex surface 34 and each of recessions 32 has a nadir surface 36. In the absence of etch- differential layer 24, the thickness differential between tx and t2 of projections 30 and recessions 32, respectively, defines the amount of etch time required before exposing regions of substrate 10. Specifically, some or all of patterned layer 22 is sacrificed during the etching process to provide the desired dimensions of the pattern eventually recorded in substrate 10. Assuming a uniform thickness t2, a greater amount of etch time is required to expose regions of substrate 10 in superimposition with projections 30 compared with the time required for regions of substrate 10 in superimposition with recessions 32. For a given etching process, therefore, etching will commence sooner in regions of substrate 10 in superimposition with recessions 32 than regions in superimposition with projections 30. This facilitates formation of a pattern in substrate 10 corresponding to the shape of patterned layer 22. [0040] By properly selecting the imprinting materials and etch chemistries, the relational dimensions between the differing features of the pattern, referred to as etched pattern characteristics (EPC) , eventually transferred onto substrate 10, i.e., the recorded pattern, may be controlled as desired. To that end, it is desired that the etch characteristics of patterned layer 22, for a given etch chemistry, be substantially uniform. However, the presence of planarity perturbations may result in exposure of some regions of substrate 10 in superimposition with projections 34 before exposure of regions of substrate 10 in superimposition with recessions 32. As a result features of the pattern may be lost, which is undesirable. [0041] Etch-differential layer 24 provides added variability in a given pattern transfer process for a given patterned layer by modifying the EPC. This facilitates overcoming problems associated with the planarity perturbations. Specifically, for a given etch process and patterned layer 22, etch-differential layer 24 operates to modify the EPC by varying the time required before the etch chemistry breakthrough of all, or any sub-portion of, the patterned layer 22 to expose the region of substrate 10 in superimposition therewith.
[0042] The modification of the EPC is dependent upon several factors. One of these factors includes the relative etch rates of the materials from which patterned layer 22 and etch-differential layer 24 are formed. Another factor is the variation in the spacing between normalization surface 40 and an interface of patterned layer 22 with etch-differential layer 24, with the interface being referred to as etch rate interface (ERI) over the area of the ERI. The variations in distance between normalization surface 40 and the ERI are a function of the shape of the relative shapes of both normalization surface 40 and patterned layer 22. It is the volume of etch-differential layer 24 disposed between normalization surface 40 and the ERI that defines an etch-differential interface. Considering that all or some of etch-differential layer 24 and some or all of patterned layer 22 are sacrificed to form onto substrate 10 the desired recoded pattern, it is seen that etch differential interface 28 provides the modification to the EPC. By establishing a suitable etch-differential interface 28, the deleterious effects of planarity perturbations may be attenuated, if not avoided. [0043] For example, as mentioned above, the planarity perturbations include undulations 12 and polygonal structures 14; however, it should be understood that the topology of surface 20 may include any known shape, including substantially smooth, if not planar regions over a substantial area thereof. Further, the entire surface 20 may comprise undulations 12, or the entire surface 20 may comprise polygonal structures 14. Further, surface 20 may comprise of silicon and any native oxide present thereon that is associated with a standard silicon wafer or may include an indium phosphate wafer, a gallium arsenide wafer, a gallium nitride wafer and the like. Furthermore, substrate 10 may include any one of the aforementioned wafers with one or more pre¬ existing layer deposited thereon, any one of which may be comprised of a metallic material, a dielectric material or combination thereof. [0044] Primer layer 18 is applied to substrate 10 employing any known technique, e.g., chemical vapor deposition techniques, atomic layer deposition techniques, physical vapor deposition techniques, spin- coating techniques, imprint lithography techniques and the like. In the present example, primer layer 18 may be formed from a material available from Brewer Science, Inc. of Rolla Missouri under the trade name DUV30J- 6 that is spun-on substrate 10. Primer layer 18 is typically provided with a thickness to function as an anti-reflective coating and provide a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to material deposited thereon and without being opaque to optical sensing equipment employed to detect patterns, such as alignment marks (not shown) , on substrate 10. [0045] Both patterned layer 22 and etch-differential layer 24 are deposited using any known technique, e.g., chemical vapor deposition techniques, atomic layer deposition techniques, physical vapor deposition techniques, spin-coating techniques, imprint lithography techniques and the like. In the present example, patterned layer 22 and etch-differential layer 24 are formed employing imprint lithography techniques. An exemplary technique for forming patterned layer 22 employs depositing a plurality of droplets of imprinting material, referred to as a drop-dispense technique. An exemplary drop dispense technique is described in United States patent application number 10/789,319 filed February 27, 2004 entitled COMPOSITION FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL, which is assigned to the assignee of the present invention. Typically, drop dispense imprinting is practiced in a helium rich atmosphere, e.g., with helium being flowed into a process region at about 5 pounds per square inch, in a manner described in United States patent application number 10/677,639, entitled SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD filed October 2, 2003. An exemplary system is available under the trade name IMPRIO 100™ from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Texas 78758. The system description for the IMPRIO 100™ is available at www.molecularimprints.com.
[0046] Patterned layer 22 includes the features discussed above. Etch-differential layer 24 includes first and second opposed sides. The first side faces patterned layer 22 and has a profile complementary to the profile of the patterned layer 22. The second side faces away from patterned layer 22 forming a substantially smooth if not planar surface, defining a normalization surface 40.
[0047] The materials employed to form the patterned layer 22 and etch-differential layer 24 are selected to obtain the desired etch- differential interface 28. In one example, patterned layer 22 is a polymerized and cross-linked silicon-free material formed from the following composition: COMPOSITION 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one
R1R2
[0048] The acrylate component isobornyl acrylate (IBOA) has the following structure:
and comprises approximately 55% of COMPOSITION 1 by weight, but may comprise 20-80% of the same. As a result, the mechanical properties of patterned layer 22 are primarily attributable to IBOA. An exemplary sample of IBOA is available from Sigma-Aldrich of St. Louis, Missouri under product number 392103. The component n-hexyl acrylate (nHA) has the following structure:
and comprises approximately 27% of COMPOSITION 1 by weight, but may comprise 0 to 50% of the same. Also providing mechanical strength to patterned layer 22, nHA is employed to provide the desired viscosity of COMPOSITION 1, in the liquid phase, to be in a range 2-9 Centipoises . Another advantage of nHA is that it improves the flexibility of
COMPOSITION 1. An exemplary sample of nHA is available from Sigma- Aldrich of St. Louis, Missouri under product number 408905. A cross- linking component, ethylene glycol diacrylate, has the following structure:
and comprises approximately 15% of COMPOSITION 1 by weight, but may comprise 10-50% of the same. EGDA facilitates cross-linking of nHA and IBOA during polymerization of COMPOSITION 1, as well as contributes to the modulus and stiffness build up. An initiator component, 2-hydroxy- 2-methyl-l-phenyl-propan-l-one is available from Ciba Specialty Chemicals of Tarrytown, New York under the trade name DAROCUR 1173, has the following structure:
and comprises approximately 3% of COMPOSITION 1 by weight, but may comprise .1% to 5% of the same. The initiator is responsive to a broad band of ultra-violet radiation to facilitate cross-linking and polymerization of the components of COMPOSITION 1.
[0049] The component R1R2 is a surfactant having the general structure R1 = F(CF2CF2)y, with y being in a range of 1 to 7, inclusive, and R2 = CH2CH2O(CH2CH2O)xH, with X is in a range of 0 to 15, inclusive. The surfactant may be any known in the art that provides COMPOSITION 1 with suitable wetting properties in the liquid phase, as well as desired release characteristics in the solid phase. For purposes of this invention a surfactant is defined as any molecule, one tail of which is hydrophobic. Surfactants may be either fluorine-containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure. An exemplary surfactant has the following general structure:
and is available under the trade name ZONYL* FSO-100 from DUPONT™, which consists of 0.25 to 2% of the composition, with the remaining components being reduced a proportionate amount.
[0050] In the present example, etch differential layer 24 is formed employing the drop-dispense technique discussed above with respect to patterned layer 22. As a result, a plurality of droplets (not shown) of imprinting material are deposited atop of patterned layer 22 and a planarization mold (not shown) is placed in contact therewith. Exemplary imprinting materials from which etch-differential layer 24 is a polymerized and cross-linked material formed from one of the following compositions:
COMPOSITION 2 acryloxymethylpentamethyldisiloxane isobornyl acrylate ethylene glycol diacrylate
2-hydroxy-2-methyl-1-phenyl-propan-1-one
R1R2
COMPOSITION 2 differs from COMPOSITION 1 by the substitution of nHA with acryloxymethylpentamethyldisiloxane that has the following structure:
The remaining components of COMPOSITION 2 are that same as COMPOSITION 1, but are present in differing amounts. For example, IBOA of COMPOSITION 2 typically comprises approximately 37% of COMPOSITION 2, and EGDA and 2-hydroxy-2-methyl-l-phenyl-propan-l-one, comprising approximately 18% and 3%, respectively. The surfactant RiR2 is approximately 0.5% of the COMPOSITION.
COMPOSITION 3 acryloxymethylbis (trimethylsiloxy)methylsilane isobornyl acrylate ethylene glycol diacrylate
2-hydroxy-2-methyl-1-phenyl-propan-1-one
R1R2
[0051] Composition 3 differs from COMPOSITION 2 only by the substitution of acryloxymethylpentamethyldisiloxane with acryloxymethylbis (trimethylsiloxy)methylsilane. The component acryloxymethylbis (trimethylsiloxy)methylsilane has the following structure:
The relative quantities of each of the components of COMPOSITION 3 are the same as discussed with COMPOSITION 2.
[0052] Referring to Figs. 1 and 2, after formation of etch- differential layer 24 a blanket etch using, for example a RIE process with a halogen gas mixture of approximately 70% CF4 and 30% O2, is employed to remove portions of etch-differential layer 24 substantially uniformly over a volume thereof to provide a crown surface 44. Other gas mixtures that may be include contains CHF3, SF6 and other fluorinated chemistries know to one of ordinary skill in the etching art. An exemplary etch chemistry is discussed by Johnson et al. in ADVANCES IN STEP AND FLASH IMPRINT LITHOGRAPHY, in a white paper distributed at the SPIE Microlithography Conference held in Santa Clara, California from February 23-February 28, 2003. Crown surface 44 is defined by exposing the apex surface 34 of the projections 30. In the present example, adjacent apex surfaces 34 are separated by regions 46 of etch-differential layer 24 remaining after formation of crown surface 44. [0053] Referring to Figs. 2 and 3, crown surface is subjected to an anisotropic etch. The etch chemistry of the anisotropic etch is selected to take advantage of the etch-differential provided by crown surface 44 by maximizing etching of projections 30 and segments of patterned layer 22 in superimposition therewith, while minimizing etching of regions 46 in superimposition with recessions 32. In the present example, advantage was taken of the distinction of the silicon content between the patterned layer 22 and etch-differential layer 24. Specifically, employing an RIE etch with an oxygen-based chemistry, it was determined that an in-situ hardened mask 48 would be created in the regions 46 proximate to the surface thereof. This results from the interaction of the silicon-containing polymerizable material with the oxygen plasma. As a result of hardened mask 48 and the anisotropy of the etch process, portions of patterned layers are removed substantially uniformly over the volume thereof to expose regions 50 of substrate 10 in superimposition with projections 30. In this manner, a recorded pattern is formed that is defined by pillars 52 and recesses 54.
[0054] After exposure of regions 50, suitable etch processes, such as a types discussed above may be employed to transfer a pattern onto substrate 10 corresponding to the recorded pattern. Alternatively, or in conjunction therewith, the recorded pattern may be covered with a conductive material (not shown) , such as aluminum, copper, tungsten, titanium, ti-tungsten or a combination thereof and the like, to, inter alia, fill recesses in furtherance of contact formation, discussed more fully below.
[0055] An advantage with the present invention is that control over the dimensions of the features is facilitated despite the presence of planarity perturbations on substrate 10. This is achieved by ensuring that normalization surface 40 is provided with a profile to ensure that distances k2, k4, k6, k8, k10 and k12 between apex surfaces 34 of each of projections 30 and normalization surface 40 are within a predetermined range. Specifically, normalization surface 40 is provided with a profile to ensure that the maximum difference between any two of distances k2, k4, ks, k8, ki0 and k12 is less than M the height of the smallest projection 30. Control of variations between distances, k1; k3, k5, k7, k9 and k13. is not as critical so along as the measure of any one of distances klf k3, k5, k7, k9 and kxi is no less than the measure of the largest distance among distances k2/ k4, k6, ka, k10 and k12. With this criteria being satisfied, the distortions occurring during formation of recorded pattern is substantially independent of the planarity perturbations, by decoupling the resolution of the patterning process from the resulting variations in the thickness t2 over the area of patterned layer 22. [0056] It was also determined that the resolution of the patterning process may be substantially decoupled from the thickness t2, in addition to the variations in the same. Specifically, without the presence of etch-differential layer 24, the aspect ratio of the recorded pattern was defined, in part, by the difference between t2 and tx. In the absence of planarity perturbations, t2 being on the order of the size of ti, or larger than tlf would result in no features being be produced in the recorded pattern. As a result, it was highly desired to minimize the thickness of t2. Further, the presence of planarity perturbations, control over thickness t2 becomes problematic, because the same varies over the area of patterned layer 22. This may also result in a loss of pattern features. Specifically, assume a maximum value of t2, t2max, and a minimum value of t2, t2min. Were the difference between t2max and t2min on the order of the size of t1# or larger than t1( features in the recorded pattern would be lost. Further, the speed at which the patterning process occurred was often decreased due to the time required to fill the features of a template (not shown) . Also, the time required to imprint increased as the feature dimensions on the template decreased, particularly with low viscosity imprinting materials, e.g., having a viscosity of less than 25 Centipoises, wherein the patterning process is dominated by the capillary forces of the imprinting material with the template (not shown) . Although compressive forces may be applied to reduce the time required to fill the features of the template (not shown), there are limits, e.g., the mechanical strength of the underlying substrate 10 to mention one. The mechanical strength of substrate 10 becomes a limitation when patterning materials having high viscosity, e.g., in a range of 25 to 10,000 Centipoises. At the greater range of viscosity the patterning process becomes dominated by viscous forces of the imprinting material, as opposed to capillary forces. The compressive force required to pattern an imprinting material is believed to increase cubically as thickness t2 decreases.
[0057] With the present invention, variations in the thickness t2, as well as the overall thickness may be modified without unduly deteriorating the resolution of the features to be formed in recorded pattern. For example, the difference between t2maχ an-d t2min may be the same size or greater than tλ. Additionally, thickness t2 may be the same size as the thickness tlf or may be two to ten times greater than ti. An advantage with substantially increasing the thickness t2 is that the compressive forces required to achieve an imprint process may be substantially independent of thickness t2, which facilitates patterning process dominated by viscous forces. Further, increasing thickness t2 facilitates reversing the tone of the pattern formed in substrate so that the tone may be commensurate with the tone of the pattern of patterned layer 22. [0058] Referring to Figs. 1 and 4 a substrate 110 is shown including a primer layer 118, a patterned layer 122 and an etch-differential layer 124 disposed thereon, which correspond to primer layer 18, patterned layer 22 and etch-differential layer 24, respectively. Patterned layer 122 includes a plurality of projections 130 and recessions 132 that are analogous to projections 30 and recession 32. Projections 130 extend from a nadir surface 133, a distance hlt terminating in an apex surface 134. A normalization surface 140, defined by etch differential layer 124, is spaced-apart from projections 130 in a manner discussed above with respect to normalization surface 40 and projections 30. [0059] Referring to Figs. 1, 4 and 5, etch differential layer 124 is subjected to a blanket etch to form conformal surface 144 in a manner discussed above with respect to forming conformal surface 44. To that end, conformal surface 144 is defined by exposed apex surface 134 separated by regions 146 of etch differential layer 124. [0060] Referring to Figs. 5 and 6, following formation of crown surface 144, an RIE process with oxygen based chemistry is employed to remove projections 130 and the portions of patterned layer 122 in superimposition therewith to expose regions 150 of patterned layer 122, forming projections 135, spaced apart by recessions 137. Projections 135 include a hard mask 148 of the material from which etch-differential layer 124 is formed. Regions 150 may be closer, further or the same distance from substrate 110 than nadir surfaces 133, dependent upon the application. A height, h2/ of projections 135 is defined as a function of the distance regions 150 are from substrate 110. In the present example, regions 150 are closer to substrate 110 than nadir surface 133 and provide projections 135 with a height equal to distance 1I1.
Further, projections 135 are shifted in phase along the x-direction with respect to projections 130. Particularly, projections 135 are in superimposition with the same regions of substrate 110 with which recessions 132 are in superimposition. Moreover, the dimensions of projections 135 are defined by regions 146.
[0061] Referring to Figs. 6 and 7, after projections 135 have been formed, a second etch-differential layer 224 is deposited over projection 135, filling recessions 137. Etch-differential layer 224 is formed from the material as discussed with respect to etch differential layer 124. Etch differential layer 224 has a normalization surface 240 configured in the manner discussed with respect to normalization surface 240.
[0062] Referring to Figs. 7, 8, and 9, a crown surface 244 is generated in a manner discussed above with respect to crown surface 144. This is followed by removal of projections 135. The result is that a pattern 239, having a plurality of projections 230 and recessions 232, is generated on substrate 110 that has the same tone as the pattern in the patterned layer 122, i.e., one may invert the pattern obtained by the process discussed with respect to Figs. 1-3. Additionally, as shown pattern 239 is shifted in phase along the X-direction with respect to pattern 139, with the understanding that pattern 239 may shift in phase along the Y-direction, which is into the page of Figs. 1-3. Specifically, pattern 239 is shifted in phase in a direction so that projections 230 are in superimposition with the same regions of substrate 110 with which projections 130 are in superimposition. Pattern 139, therefore, is said to be shifted 180° out of phase along the X and Y-directions with respect to pattern 239. Subsequently, the remaining portions of etch differential layer 224 may be removed. By appropriately selecting the characteristics of the etch process, the features of the pattern may be greater than, less than or equal to the dimensions of the features in the patterned layer 122. [0063] Another advantage with the tone reversal is found when fabricating patterned layer 22 employing imprint lithography techniques. It has been found that filling of features on a template (not shown) , such as recesses may be difficult if the same are too small. With the tone reversal process, the recess may be fabricated in the template (not shown) as protrusions with the same being subsequently formed into a recorded pattern as recessions. In other words, the tone of the pattern in the template may be chosen independent of the recorded tone of the recorded pattern desired. Instead, the tone of the pattern in the template may be selected to satisfy other operational characteristics of the imprint process, e.g., throughput by decreasing feature fill-time. [0064] It should be understood that the benefits of the aforementioned processes is not limited to forming patterns having single-recessed- level features, as discussed above, but may also be employed forming patterns having bi-level recessed features, i.e., stepped features. For example, referring to Figs. 10 and 11, patterned layer 322 is shown having a plurality of features formed on substrate 310, which include projections 330 having a thickness t3/ shoulders 331 having a thickness t4 and sub-portions 332 having a thickness t5. Projection 330 and shoulders 331 define a bi-level projection on surface of substrate 310. Thickness t5 is referred to as a residual thickness. Thicknesses "t3", "t4" and "t5" may be any thickness desired, dependent upon the application. [0065] An inverse shape of patterned layer 322 is transferred onto substrate 310 to form a via portion (not shown) and a trench portion (not shown) therein, discussed more fully below. In this manner, the dimensions of the via portion (not shown) are established as a function of the dimensions of projections, and the dimensions of the trench portion (not shown) are established as a function of the dimensions of the shoulders. To that end, substrate 310 may include several preexisting layers disposed upon a wafer 312, shown as layers 314, 315 separated by etch stop layers 316, 317 and 318. Etch-differential layer 324 is disposed adjacent to patterned layer 322. Substrate 310, patterned layer 322 and etch-differential layer 324 define a multi- layered structure 338.
[0066] Referring to Figs. 11 and 12, a blanket etch is employed to remove portions of etch-differential layer 324 to provide multi-layered 338 structure with the crown surface 344. Crown surface 344 is defined by an exposed apex surface 334 of each projection 330 and regions 346 that remain of etch-differential layer 324 after the blanket etch. [0067] Referring to Figs. 12 and 13, crown surface 344 is subjected to an anisotropic etch. The etch chemistry of the anisotropic etch is selected to maximize etching of projections 330 and the segments of patterned layer 322 in superimposition therewith, while minimizing etching of the regions 346. In the present example, advantage was taken of the distinction of the silicon content between the patterned layer 322 and regions 346 to expose regions 350 of substrate 310, as discussed above with respect to regions 46 and patterned layer 22 with respect to Figs. 2 and 3.
[0068] Referring to Figs. 13 and 14, after exposure of regions 350, suitable etch processes, including the processes discussed above, are employed to remove regions 346 and portions of multi-layered structure 338 in superimposition with regions 346 to expose regions 354 and 356. Regions 354 and 356 define a trench portion 358 and a via portions 360. A subsequent etch process or lift-off process is employed to remove remaining portions of layers 322 and 324. Thereafter, via portion 360 and trench portion 358 may be concurrently filled with a conductive material, such as aluminum, copper, tungsten, titanium, ti-tungsten or a combination thereof and the like, to form a contact 362 and a conductive line 364, shown in Fig. 15.
[0069] Referring to Figs. 2, 13 and 14, the advantages of this process are manifold. For example, self-aligned vias and trenches may be formed in substrate 310 while precisely controlling the dimensions thereof.
This reduces transfer distortions that may be attributable to planarity perturbations.
[0070] Although the foregoing has been discussed with respect to forming etch-differential layer 24 using drop-dispense techniques, etch- differential 24 layer may be formed employing spin-on techniques as discussed in United States patent application number 10/789,319 filed February 27, 2004 entitled COMPOSITION FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL. TO that end, the following compositions may be employed: COMPOSITION 4 hydroxyl-functional polysiloxane hexamethoxymethylmelamine toluenesulfonic acid methyl amyl ketone
COMPOSITION 5 hydroxyl-functional polysiloxane hexamethoxymethylmelamine gamma-glycidoxypropyltrimethoxysilane toluenesulfonic acid methyl amyl ketone
In COMPOSITION 4, hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.95%, toluenesulfonic acid comprises approximately .05% and methyl amyl ketone comprises approximately 95%. In COMPOSITION 5, hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprises approximately 0.7%, gamma-glycidoxypropyltrimethoxysilane comprises approximately .25%, toluenesulfonic acid comprises approximately .05%, and methyl amyl ketone comprises approximately 95%.
[0071] Both COMPOSITIONS 4 and 5 are made up of at least 4% of the silicone resin. Upon curing, however, the quantity of silicon present in etch-differential layers 24, 124, 224 and 324 is at least 10% by weight and typically in a range of 20% or greater. Specifically, the quantity and composition of the solvent present in COMPOSITIONS 4 and 5 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITIONS 4 or 5 on patterned layers 22, 122 and 322. In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin- coating. Upon exposing the silicon-containing material to thermal energy, the remaining 10% of the solvent evaporates, leaving etch- differential layer 24, 124, 224 and 324 with approximately 20% silicon by weight. [0072] An exemplary method of forming etch-differential layers 24, 124, 224 and 324 includes spinning-on approximately 4mL of the silicon- containing material deposited proximate to a center of the previously deposited layer. To that end, substrates 10, 110 or 310 are spun at 1000 rev/min for 1 minute by placing substrate 10, 110 or 310 on a hot plate. Thereafter, the silicon-containing material is subjected to thermal energy by baking at 150° C for 1 min. This produces the silicon-containing material from which etch-differential layer 24, 124, 224 and 324 is formed, with thickness variations of 20nm or less. Were it desired to increase the thickness of the solidified silicon- containing layer, e.g., to provide the solidified silicon-containing layer with a thickness of 200nm, the aforementioned spin-coating and curing processes are simply repeated. As a result, the solvent employed is selected so as not to remove, "wash away," silicon-containing material in a well-cured etch-differential layer. [0073] Referring to Figs. 1-14, it has been determined, however, that forming patterned layers 22, 122 and 322 from a modified composition consisting of a mixture of COMPOSITIONS 1 and 2, when used in conjunction etch differential layers 24, 124, 224 and 324, respectively, formed from one of COMPOSITIONS 4 and 5 provided superior control over the anisotropy of the recessions in the recorded pattern. The modified composition provides layers 22, 122 and 322 with approximately 2-6% of silicon by weight. To that end, an exemplary modified composition consists of 20-70% of COMPOSITION 2, with the remainder consisting of COMPOSITION 1. This provided a silicon content differential between one of patterned layers 22, 122 and 322 and one of etch differential layers, 24, 124, 224 and 324. Specifically, by silylating patterned layers 22, 133 and 322 with low concentrations of silicon bowing of recessions e.g., recessions 32, 132 and 137 and 232, was reduced, if not avoided. Further control over formation of the aforementioned recessions was achieved by cooling substrates 10, 110 and 310 to approximately zero degrees Celsius, as well as by employing reducing chemistries in lieu of the aforementioned oxidizing chemistries during etching. Exemplary reducing chemistries include H2/N2, Cθ/N2, CH4/N2 and the like. [0074] Additionally, should circumstances warrant, the aforementioned processes may be altered to take advantage of the material of the layer upon which pattern occurs. For example, it is possible to form patterned layers 22, 122 and 322 from COMPOSITIONS 2, 4 or 5, with etch-differential layers 24, 124, 224 and 324 being formed from COMPOSITION 1. With this configuration, it might be beneficial to form primer layer 18 from one of COMPOSITIONS 2-5, i.e., were the surface upon which primer layer 18 disposed formed from a non-silicon containing organic material. Additionally, it is possible to form primer layer 18 from COMPOSITION 1. The etch process employed would be established to provide the desired etch selectivity in the manner similar to that described above. [0075] Referring to Fig. 16, other processes in which an organic patterned layer functions as a sacrificial patterning layer may include formation of metal lines. To that end, patterned layer 422 is deposited on substrate 410 employing any known deposition method. In the present example, patterned layer 422 is formed from COMPOSITION 1 employing imprint lithography generated by a plurality of spaced-apart projections 430 and recessions 432. A nadir portion 436 of recessions 432 has a residual thickness t6. [0076] Referring to Figs. 16 and 17, patterned layer 422 is subjected to an etch process, such as an RIE oxygen etch discussed above, to remove nadir portions 436. As a result of this process, pattern 433 is formed on substrate 410. A deleterious effect that occurs during removing of nadir portions 436 is that an apex surface 434 of each of projections 430 assumes a faceted shape. [0077] Referring to Figs. 17 and 18, a conductive material is deposited to cover pattern 433, including apex surfaces 434 forming a conductive layer 424 having a conforming side, conforming to a shape of pattern 433 and normalization side 440 disposed opposite to conforming side. Normalization side 440 is spaced-apart from projections 430. An exemplary conductive material is copper, but may include any of the conductive materials mentioned above.
[0078] Referring to Figs. 18 and 19, following deposition of conductive layer 424, normalization side 440 is subjected to suitable processes to form multi-layer structure 438. A suitable process may include a chemical mechanical polishing/planarization (CMP) process forming, were conductive layer 424 formed from metal, or blanket etch were a conductive layer 424 formed from a conductive polymer. In the present example, a CMP process is employed to achieve formation of a substantially smooth, if not planar, crown surface 444. To that end, the CMP process is selected based upon the material from which patterned layer 422 and conductive layer 424 are formed so that apex surfaces 434 are exposed in normalization surface having a substantially planar profile. Specifically, the faceted shape of apex surfaces is removed while providing crown surface 444 with a substantially smooth if not planar profile.
[0079] Referring to Figs. 19 and 20, following formation of crown surface 444, multi-layer structure 438 is subjected to an RIE process and oxygen-based chemistry, as discussed above. The etch process removes projection 430, leaving a plurality of spaced-apart metal lines 450. It should understood that the this same process may be employed to form metal lines 550 with stepped shapes, e.g., a pair of spaced-apart shoulders 552 disposed between an apex surface 530 and substrate 510, shown in Fig. 21.
[0080] Referring to Figs. 17 and 22, projections 430 may be covered with a non-conductive material, e.g., a dielectric material, to form dielectric layer 624. Exemplary dielectric materials included of dielectric materials applicable for this purpose are silsesquioxanes and methyl-silsesquioxanes, spin-on glass that are applied employing conventional deposition techniques. Dielectric layer 624 covers pattern 433, including apex surfaces 434. As a result, dielectric layer includes a conforming side, conforming to a shape of pattern 433 and normalization side 640 disposed opposite to conforming side. Normalization side 640 is spaced-apart from projections 630. [0081] Referring to Figs. 22 and 23, following deposition of dielectric layer 624, normalization side 640 is subjected to a blanket etch, such as a CF4/O2 plasma or CHF3/O2 plasma etch, to expose apex surfaces 434 of projections 430, forming a multi-layer structure 638 having a crown surface 644. Crown surface 644 is formed to be substantially smooth, if not, planar. [0082] Referring to Figs. 23 and 24, following formation of crown surface 644, multi-layer structure 638 is subjected to an etch process that includes RIE with an oxygen plasma chemistry as discussed above. The etch process removes projections 430, leaving a plurality of spaced- apart dielectric pillars 650. It should be understood that the same process may be employed to form dielectric pillars with stepped shapes, as discussed above.
[0083] Referring to Fig. 25, a multi-layered structure 700 is shown having a substrate 702, a primer layer 704, a patterned layer 706 and an etch-differential layer 708. Patterned layer 706 includes projections 710, with projections 710 being arcuate in shape. Multi-layered structure 700 may be subjected to the process mentioned above with respect to Figs. 4-9, thus resulting in the formation of multi-layered structures 712 and 714, shown in Figs. 26 and 27, respectively. In a first embodiment, the etching chemistry is such that a low etch selectivity is achieved between the patterned layer 706 and the etch- differential layer 708. In a second embodiment, the etching chemistry is such that a high etch selectivity is achieved between the patterned layer 706 and the etch-differential layer 708. Further processes can be undertaken that would result in different patterns being etched into substrate 702 that might be useful for generating optical elements. Particularly, by tailoring the relative etch rates, and relative thicknesses of differential layer 708, patterned layer 706 and primer layer 704, the EPC may be modified so that the recorded pattern substantially differs from the pattern in of the patterned layer 706. It should be understood that the etch characteristics of primer layer 704 are similar to the etch characteristic of patterned layer 706. Furthermore, primer layer 704 is optional and may be omitted, dependent upon the application. [0084] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims

WHAT IS CLAIMED IS:
1. A method of patterning a substrate, said method comprising: forming from a first material, disposed on said substrate, a first film having an original pattern that includes a plurality of projections a subset of which extends from a nadir surface terminating in an apex surface defining a height therebetween, with a portion of said first film in superimposition with said nadir surface defining a nadir portion; removing said nadir portion to expose a region of said substrate in superimposition therewith, defining a plurality of recessions; disposing upon said first film a second material to form a second film having a surface spaced-apart from said apex surface of said plurality of projections and filling said plurality of recessions to form a multi-film stack; and removing said first film and portions of said second film to create a plurality of spaced-apart projections of said second material on said substrate.
2. The method as recited in claim 1 wherein forming further includes forming said first film from an organic acrylate and disposing further includes forming said second film from a spin-on dielectric material.
3. The method as recited in claim 2 wherein disposing further includes forming said second film from said spin-on dielectric material selected from a set of dielectric material consisting essentially of silsesquioxanes, methyl-silsesquioxanes and spin-on glass.
4. The method as recited in claim 1 wherein forming further includes forming said first film from an organic acrylate and disposing further includes forming said second film from a conductive material.
5. The method as recited in claim 2 wherein disposing further includes forming said second film from a dielectric material is selected from a set of conductive material consisting essentially of copper, tungsten, titanium, ti-tungsten and conductive polymers.
6. The method as recited in claim 1 wherein forming further includes forming said first film to provide said subset of projections with a shoulder disposed between said apex surface and said nadir surface.
7. The method as recited in claim 1 wherein removing said first film further includes selectively removing portions of said first and second films to expose portions of said substrate in superimposition with said projections while avoiding exposure of areas of said substrate outside of said portions.
8. A method of patterning a substrate, said method comprising: forming, on said substrate, a multi-layer film defining a junction having a plurality of first portions each of which has a first etch rate associated therewith and a second portion having a second etch rate associated therewith, with adjacent first portions being separated by said second portion; and transferring a pattern defined, in part, by said junction into said substrate, with a difference between said first and second etch rates being selected to minimize bowing of recessed features formed in said pattern.
9. The method as recited in claim 8 further including cooling said substrate to a predetermined temperature below ambient temperature.
10 The method as recited in claim 8 wherein transferring further includes removing said junction employing reducing chemistries.
11. The method as recited in claim 8 wherein transferring further includes sequentially removing said first and second portions uniformly over a volume thereof.
12. The method as recited in claim 8 wherein forming further includes forming said plurality of first portions with a first quantity of silicon and forming said second portion with a second quantity of silicon, differing from said first portion and defining a difference between one of said plurality of first portions and second portion for a given etch chemistry.
13. The method as recited in claim 8 wherein forming further includes forming said plurality of first portions with a quantity of silicon in a range of 2-6% by weight and forming said second portion with a second quantity of silicon with at least 10% by weight.
14. The method as recited in claim 8 wherein forming further includes depositing a first layer upon said substrate comprising isobornyl acrylate, n-hexyl acrylate, ethylene glycol diacrylate, 2- hydroxy-2-methyl-1-phenyl-propan-1-one, acryloxymethylpentamethyldisiloxane and a surfactant, and depositing upon said first layer a second layer comprising a hydroxyl-functional polysiloxane, hexamethoxymethylmelamine, toluenesulfonic acid and methyl amyl ketone.
15. The method as recited in claim 14 wherein depositing upon said first layer further includes providing said second layer with gamma-glycidoxypropyltrimethoxysilane.
16. A method of patterning a substrate having surface planarity perturbations, said method comprising: forming, on said substrate, a first film having an original pattern that includes a plurality of projections a subset of which extends from a nadir surface terminating in an apex surface defining a height therebetween; disposing, upon said first film, a second film defining a normalization surface spaced-apart from said apex surface of said plurality of projections, with a variation in a distance between said apex surface of any one of said plurality of projections and said normalization surface being within a predetermined range; and transferring onto said substrate, a recorded pattern corresponding to said original pattern, with said predetermined range being selected to minimize pattern distortions in said recorded pattern.
17. The method as recited in claim 16 wherein forming further includes forming said second portion to provide said variation that is less than ^ said height.
18. The method as recited in claim 16 wherein forming further includes forming said first film to provide said subset of projections with a shoulder disposed between said apex surface and said nadir surface.
19. The method as recited in claim 16 wherein forming further includes depositing said first film with a nadir region, in superimposition with said nadir surface, having a thickness associated therewith that is approximately equal to said height.
20. The method as recited in claim 16 wherein forming further includes depositing said first film with a nadir region, in superimposition with said nadir surface, having a thickness associated therewith that is greater than said height.
21. The method as recited in claim 16 wherein forming further includes depositing said first film with a nadir region, in superimposition with said nadir surface, having a thickness associated therewith that is two times greater than said height.
22. The method as recited in claim 16 wherein forming further includes depositing said first film with a nadir region, in superimposition with said nadir surface, having a thickness associated therewith that is ten times greater than said height.
23. A method of patterning a substrate, said method comprising: forming, on said substrate through viscous dominated forces, a first layer having a first pattern with a residual thickness that is substantially independent of compressive forces employed to form said first layer; and generating into said first layer, a second pattern having a shape inverse to said first pattern.
24. The method as recited in claim 23 wherein forming further includes depositing said first layer with a plurality of projections, a pair of which are separated by a recession having a nadir surface, with said plurality of projections extending from said nadir surface, terminating in an apex surface, defining a height therebetween, said first layer having a nadir region extending between said substrate and said nadir surface, said nadir region having a thickness associated therewith that is greater than said height.
25. The method as recited in claim 23 wherein forming further includes depositing said first layer with a plurality of projections, a pair of which are separated by a recession having a nadir surface, with said plurality of projections extending from said nadir surface, terminating in an apex surface, defining a height therebetween, said first layer having a nadir region extending between said substrate and said nadir surface, said nadir region having a thickness associated therewith that is two times greater than said height.
26. The method as recited in claim 23 wherein forming further includes depositing said first layer with a plurality of projections, a pair of which are separated by a recession having a nadir surface, with said plurality of projections extending from said nadir surface, terminating in an apex surface, defining a height therebetween, said first layer having a nadir region extending between said substrate and said nadir surface, said nadir region having a thickness associated therewith that is ten times greater than said height.
27. A method of patterning a substrate, said method comprising: forming, on said substrate, a first layer having a first pattern,- generating into said first layer, a second pattern having a shape inverse to said first pattern and phase-shifted along a first direction; and transferring a third pattern into said first layer with said third pattern having a shape inverse to said shape of said second pattern and shifted in phase along a second direction.
28. The method as recited in claim 27 wherein transferring further includes transferring said third pattern along said second direction, opposite to said first direction.
29. The method as recited in claim 27 wherein said first pattern includes a plurality of projections, a pair of which are separated by a recession and transferring further includes providing said third pattern with a plurality of additional projections, with said first and additional projections being in superimposition with common regions of said substrate.
30. The method as recited in claim 29 wherein forming further includes forming said first layer to include a plurality of projections with a shoulder disposed between an apex surface and an nadir surface.
31. The method as recited in claim 27 wherein said first pattern includes a plurality of projections, a pair of which are separated by a recession having a nadir surface, with said plurality of projections extending from said nadir surface, terminating in an apex surface, defining a height therebetween, said first layer having a nadir region extending between said substrate and said nadir surface, said nadir region having a thickness associated therewith that is greater than said height.
32. The method as recited in claim 27 wherein forming further includes forming said first layer with a first material substantially free of silicon and generating includes depositing a second layer upon said first layer with a first quantity of silicon, forming a crown surface and exposing said crown surface to an oxygen rich etch process to generate an etch pattern.
33. The method as recited in claim 32 further including, following exposing, removing substantially all of said second layer, wherein forming further includes depositing a third layer upon said etch pattern, with said third layer having a second quantity of silicon and forming an additional crown surface and exposing said additional crown surface to an additional oxygen rich etch process to generate said etch pattern.
34. A method of patterning a substrate, said method comprising: forming, on said substrate, a multi-layer film with a surface and an etch-differential interface, said etch rate interface being disposed between said surface and said substrate, said and having a shape; and transferring a recorded pattern onto said substrate defined, in part, by said shape.
35. The method as recited in claim 34 wherein forming further includes forming said multi-layer film with a first layer having a relief feature.
36. The method as recited in claim 34 wherein forming further includes forming said multi-layer film with a first layer having a plurality of relief features having an arcuate shape.
37. A method of patterning a substrate, said method comprising: forming, on said substrate, a patterned layer having a relief structure; depositing an etch-differential layer to form an interface with said relief structure and a surface disposed opposite to said interface, defining an etch differential interface; and transferring a recorded pattern onto said substrate, with said pattern being defined by said etch differential interface.
38. The method as recited in claim 37 wherein forming further includes forming said patterned with a plurality of relief features having an arcuate shape and transferring further includes forming said recorded pattern employing a dry etch process, with a shape of said recorded pattern differing from said original pattern and being defined by said dry-etch process and said interface.
39. The method as recited in claim 37 wherein depositing further includes forming said etch differential layer with said surface having has a smooth profile.
40. The method as recited in claim 38 wherein depositing further includes forming said etch differential layer with said surface having has a planar profile.
41. The method as recited in claim 37 wherein forming further includes forming a crown surface by removing portions of said etch differential layer, with said crown surface having a first portion with a first etch rate associated therewith and a second portion with a second etch rate associated therewith, and transferring further including removing one of said first and second portions uniformly over a volume thereof.
42. The method as recited in claim 37 wherein forming further includes forming said patterned layer with a quantity of silicon associated therewith and depositing further includes forming said etch differential layer substantially free of silicon.
EP05796480A 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure Withdrawn EP1794099A4 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP09173395A EP2146369A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure
EP09173416A EP2146370A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/946,159 US7041604B2 (en) 2004-09-21 2004-09-21 Method of patterning surfaces while providing greater control of recess anisotropy
US10/946,577 US7241395B2 (en) 2004-09-21 2004-09-21 Reverse tone patterning on surfaces having planarity perturbations
US10/946,565 US7252777B2 (en) 2004-09-21 2004-09-21 Method of forming an in-situ recessed structure
US10/946,574 US7205244B2 (en) 2004-09-21 2004-09-21 Patterning substrates employing multi-film layers defining etch-differential interfaces
US10/946,566 US7547504B2 (en) 2004-09-21 2004-09-21 Pattern reversal employing thick residual layers
PCT/US2005/032276 WO2006033872A2 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Related Child Applications (2)

Application Number Title Priority Date Filing Date
EP09173416A Division EP2146370A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure
EP09173395A Division EP2146369A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Publications (2)

Publication Number Publication Date
EP1794099A2 true EP1794099A2 (en) 2007-06-13
EP1794099A4 EP1794099A4 (en) 2008-12-17

Family

ID=36090466

Family Applications (3)

Application Number Title Priority Date Filing Date
EP09173395A Withdrawn EP2146369A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure
EP05796480A Withdrawn EP1794099A4 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure
EP09173416A Withdrawn EP2146370A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
EP09173395A Withdrawn EP2146369A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
EP09173416A Withdrawn EP2146370A3 (en) 2004-09-21 2005-09-12 Method of forming an in-situ recessed structure

Country Status (5)

Country Link
EP (3) EP2146369A3 (en)
JP (2) JP2008513229A (en)
KR (2) KR101262730B1 (en)
SG (4) SG147419A1 (en)
WO (1) WO2006033872A2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244660B2 (en) * 2005-10-31 2007-07-17 Spansion Llc Method for manufacturing a semiconductor component
JP2009105252A (en) * 2007-10-24 2009-05-14 Cheil Industries Inc Manufacturing method for fine pattern, and optical element
KR20160083080A (en) * 2013-11-08 2016-07-11 도쿄엘렉트론가부시키가이샤 Method for chemical polishing and planarization
WO2015103232A1 (en) * 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
WO2016172116A1 (en) * 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
KR20180108721A (en) 2016-02-29 2018-10-04 후지필름 가부시키가이샤 METHOD OF MANUFACTURING PATTERN LAMINATE, METHOD OF MANUFACTURING INVERTING PATTERN,
JP2023125842A (en) * 2022-02-28 2023-09-07 キヤノン株式会社 Pattern formation method and article manufacturing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002007199A1 (en) * 2000-07-18 2002-01-24 Nanonex Corporation Fluid pressure imprint lithography
US6375870B1 (en) * 1998-11-17 2002-04-23 Corning Incorporated Replicating a nanoscale pattern
EP1403928A2 (en) * 2002-09-27 2004-03-31 Hewlett-Packard Development Company, L.P. Nanometer-scale semiconductor devices and method of making

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60214532A (en) * 1984-04-11 1985-10-26 Nippon Telegr & Teleph Corp <Ntt> Formation of pattern
JPS6450425A (en) * 1987-08-20 1989-02-27 Toshiba Corp Formation of fine pattern
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4004014B2 (en) * 2000-03-28 2007-11-07 株式会社東芝 Method for forming resist pattern
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375870B1 (en) * 1998-11-17 2002-04-23 Corning Incorporated Replicating a nanoscale pattern
WO2002007199A1 (en) * 2000-07-18 2002-01-24 Nanonex Corporation Fluid pressure imprint lithography
EP1403928A2 (en) * 2002-09-27 2004-03-31 Hewlett-Packard Development Company, L.P. Nanometer-scale semiconductor devices and method of making

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JOHNSON S C ET AL: "Advances in step and flash imprint lithography" PROCEEDINGS OF THE SPIE - THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, SPIE, BELLINGHAM, VA; US, vol. 5037, 25 February 2003 (2003-02-25), pages 197-202, XP002314656 *
See also references of WO2006033872A2 *
WATANABE H ET AL: "SUBMICRON FEATURE PATTERING USING SPIN-ON-GLASS IMAGE REVERSAL (SOGIR)" JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 135, no. 11, 1 November 1988 (1988-11-01), pages 2863-2866, XP000126521 ISSN: 0013-4651 *

Also Published As

Publication number Publication date
KR20120013447A (en) 2012-02-14
WO2006033872A3 (en) 2007-02-22
JP2008513229A (en) 2008-05-01
SG147419A1 (en) 2008-11-28
SG147420A1 (en) 2008-11-28
KR101262730B1 (en) 2013-05-09
EP2146369A2 (en) 2010-01-20
JP5848386B2 (en) 2016-01-27
SG147418A1 (en) 2008-11-28
EP2146370A2 (en) 2010-01-20
EP2146370A3 (en) 2010-03-31
KR101243646B1 (en) 2013-03-25
WO2006033872A2 (en) 2006-03-30
SG147417A1 (en) 2008-11-28
EP2146369A3 (en) 2010-03-31
KR20070065334A (en) 2007-06-22
EP1794099A4 (en) 2008-12-17
JP2014150263A (en) 2014-08-21

Similar Documents

Publication Publication Date Title
US7547504B2 (en) Pattern reversal employing thick residual layers
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) Reverse tone patterning on surfaces having planarity perturbations
JP5563544B2 (en) Method for forming a recess in a surface
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
US7435074B2 (en) Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
EP1440465B1 (en) Contact planarization materials that generate no volatile byproducts or residue during curing
US8012394B2 (en) Template pattern density doubling
US7323417B2 (en) Method of forming a recessed structure employing a reverse tone process
JP5848386B2 (en) In-situ insertion structure forming method
US7122079B2 (en) Composition for an etching mask comprising a silicon-containing material
US20040211754A1 (en) Method of forming stepped structures employing imprint lithography
US20060113697A1 (en) Eliminating printability of sub-resolution defects in imprint lithography
US20110140306A1 (en) Composition for an Etching Mask Comprising a Silicon-Containing Material
US7252777B2 (en) Method of forming an in-situ recessed structure
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070223

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK YU

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20081118

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/768 20060101ALI20081113BHEP

Ipc: H01L 21/311 20060101ALI20081113BHEP

Ipc: H01L 21/308 20060101AFI20081113BHEP

17Q First examination report despatched

Effective date: 20090309

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20100923