EP1549475A4 - Imprint lithography processes and systems - Google Patents

Imprint lithography processes and systems

Info

Publication number
EP1549475A4
EP1549475A4 EP03788242A EP03788242A EP1549475A4 EP 1549475 A4 EP1549475 A4 EP 1549475A4 EP 03788242 A EP03788242 A EP 03788242A EP 03788242 A EP03788242 A EP 03788242A EP 1549475 A4 EP1549475 A4 EP 1549475A4
Authority
EP
European Patent Office
Prior art keywords
template
substrate
curable liquid
activating light
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03788242A
Other languages
German (de)
French (fr)
Other versions
EP1549475A1 (en
Inventor
Sidlgata Sreenivasan
Byung-Jin Choi
Michael Watts
Norman Schumaker
Ronald Voisin
Mario Meissl
Roger Bonnecaze
Grant Willson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/194,410 external-priority patent/US6908861B2/en
Priority claimed from US10/194,991 external-priority patent/US7077992B2/en
Priority claimed from US10/194,411 external-priority patent/US6932934B2/en
Priority claimed from US10/194,414 external-priority patent/US6900881B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to EP11176056.7A priority Critical patent/EP2388119B1/en
Publication of EP1549475A1 publication Critical patent/EP1549475A1/en
Publication of EP1549475A4 publication Critical patent/EP1549475A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C33/00Moulds or cores; Details thereof or accessories therefor
    • B29C33/42Moulds or cores; Details thereof or accessories therefor characterised by the shape of the moulding surface, e.g. ribs or grooves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P31/00Antiinfectives, i.e. antibiotics, antiseptics, chemotherapeutics
    • A61P31/04Antibacterial agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C33/00Moulds or cores; Details thereof or accessories therefor
    • B29C33/56Coatings, e.g. enameled or galvanised; Releasing, lubricating or separating agents
    • B29C33/60Releasing, lubricating or separating agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/16Surface shaping of articles, e.g. embossing; Apparatus therefor by wave energy or particle radiation, e.g. infrared heating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Definitions

  • Embodiments presented herein relate to methods and systems for imprint lithography. More particularly, embodiments relate to methods and systems for micro- and nano-imprint lithography processes.
  • a method of forming a pattern on a substrate, employing a template comprising: positioning the template and the substrate in a spaced relationship to each other so that a gap is created between the template and the substrate; filling the gap with light activating light curable liquid substantially; and solidifying the light activating light curable liquid.
  • the template is patterned and includes a first surface and a plurality of recesses formed in the template extending from the first surface toward an opposing second surface. The recesses define a plurality of features in the first surface of the patterned template. A predetermined amount of activating light curable liquid is applied to a portion of the substrate.
  • Activating light curable liquid is a low viscosity liquid having a viscosity of less than about 30 centipoise.
  • the patterned template and the substrate are positioned in a spaced relationship to each other so that a gap is created between them.
  • the template is positioned such that the curable liquid substantially fills the gap between the non-recessed portions of the template and the substrate.
  • the position of the template is such that the curable liquid is substantially absent from regions of the substrate approximately below the recesses of the template.
  • Activating light is applied to the curable liquid to form a patterned layer on the substrate.
  • the method comprises: placing the patterning area opposite a region of the substrate, defining a gap therebetween; positioning an activating light curable liquid between the substrate and the template; filling the gap with the activating light curable liquid by contacting the activating light curable liquid with both the template and the substrate, while confining the activating light curable liquid within the gap; and forming, from the activating light curable liquid, a solidified material.
  • the method may then be repeated on a second portion of the substrate. In this manner the substrate is imprinted in multiple "steps" rather in a single step. Imprint lithography process are enhanced by the use of low viscosity liquids.
  • the viscosity of the activating light curable liquid is less than about 30 centipoise.
  • Control of the liquid within the perimeter of the template may be accomplished by a number of methods.
  • the distance between the template and the substrate is set such that the force applied by the template on the liquid does not force it beyond the border of the template.
  • a template includes a plurality of recesses that define a plurality of features on the template. Liquid confinement is also controlled by forming one or more borders etched into the perimeter of the template. These borders have a depth that is substantially greater than the depth of the recessed portions of the template.
  • a planarization layer is formed by curing a curable liquid disposed on a substrate in the presence of a template that includes a planarization area.
  • a predetermined amount of an activating light curable liquid is applied to a first region of the substrate.
  • a planarization template contacts the activating light curable liquid and activating light is applied to solidify the activating light curable liquid.
  • a planar layer is formed in the first region of the substrate. The process is then repeated on additional regions the substrate. In this manner the substrate is planarized in multiple "steps" rather in a single step.
  • a system for forming a pattern on a substrate- comprises: a body supporting the substrate; a template coupled to the body and having a patterned region; a displacement system, coupled to the body, to provide relative movement between the substrate and the template and place the template in superimposition with a portion of the substrate, defining a patterning portion; a liquid dispenser coupled to dispense an activating light curable liquid onto a sub-portion of the patterning portion, with said displacement system coupled to selectively place the activating light curable liquid in contact with the template by reducing a distance between the substrate and the template, a source to impinge light upon the patterning portion, with the light being selected to solidify the activating light curable liquid; and a force detector coupled to the imprint head to generate information indicating a force applied to the template by contact between the template and the activating light curable liquid, with the displacement system establishing a rate at which the distance changes in accordance with the information to minimize a quantity of the activating light curable liquid extending to areas of the substrate outside
  • the system establishes and maintains a relative positional relation between a template and the substrate to be parallel by facilitating relative rotational movement between the template and the substrate while minimizing translational displacement therebetween.
  • a motion stage is coupled to the body to provide relative movement between the substrate and the template along first and second transverse axes.
  • the imprint head is coupled to the body to provide relative movement between the template and the substrate along a third axis that extends transversely to the first and second axes.
  • the liquid dispenser is coupled to the body to dispense an activating light curable liquid onto the substrate.
  • a patterned layer is formed by curing a curable liquid disposed on a substrate in the presence of a patterned template.
  • a system for forming a patterned layer on a substrate includes an imprint head and a motion stage .
  • the imprint head is configured to hold a patterned template.
  • the imprint head also includes a fine orientation system.
  • the fine orientation system allows motion of the patterned template with respect to a substrate to achieve a substantially parallel orientation of the patterned template.
  • the fine- orientation system is a passive system that allows the template to self-correct for non-planarity when the template contacts a liquid disposed on the substrate.
  • the imprint head further includes a force detector.
  • the force detector is coupled to the template and is configured to determine a resistive force applied to the template by the curable liquid disposed on the substrate.
  • the substrate is coupled to a motion stage.
  • the motion stage is configured to support the substrate and to move the substrate in a plane substantially parallel to the template.
  • the imprint lithography system also includes a liquid dispenser.
  • the liquid dispenser may be coupled to the imprint head or a portion of the system body.
  • the liquid dispenser is configured to dispense an activating light curable liquid onto the substrate.
  • the imprint lithography system also includes a light source optically coupled to the patterned template. The light source is configured to direct activating light through the patterned template and onto the curable liquid during use.
  • Imprint lithography systems for forming features having a feature size below 100 nm are typically sensitive to changes in temperature.
  • the supports i.e., components that support the template, substrate and other components of the imprint lithography system
  • Expansion of the supports may contribute to errors due to misalignment of the template with the substrate.
  • supports are formed from a material that has a low coefficient of thermal expansion (e.g., less than about 20 ppm/°C) .
  • the imprint lithography- system may be placed in an enclosure . The enclosure is configured to inhibit temperature variations of greater than about 1 °C within the enclosure.
  • an imprint lithography system includes an imprint head, a motion stage, a liquid dispenser, a force detector and an activating light source.
  • a fine orientation system is coupled to the motion stage instead of the imprint head.
  • fine orientation is achieved by altering the orientation of the substrate until the portion of the substrate to be imprinted and the template are substantially parallel.
  • imprint head is coupled to a support in a fixed position, while motion stage is configured to move a substrate about an X- Y plane under the template.
  • the other components of the imprint lithography system are substantially the same as described previously for other embodiments.
  • an imprint lithography system in another embodiment, includes an imprint head, a motion stage, a substrate support, a liquid dispenser, a force detector and an activating light source.
  • the imprint head is configured to hold a patterned template.
  • the imprint head also includes a fine orientation system.
  • the fine orientation system is a passive system that allows the template to self-correct for non- planarity when the template contacts a liquid disposed on the substrate.
  • the imprint head is coupled to a motion stage.
  • the motion stage is configured to move the imprint head in a plane substantially parallel to the substrate.
  • the substrate is coupled to a substrate support.
  • Substrate support is configured to hold the substrate in a fixed position during use.
  • an imprint lithography system includes an imprint head, a motion stage, a substrate support, a liquid dispenser, a force detector and an activating light source.
  • the imprint head is configured to hold a patterned template.
  • the imprint head is coupled to a motion stage.
  • the motion stage is configured to move the imprint head in a plane substantially parallel to the substrate.
  • the substrate is coupled to a substrate support.
  • Substrate support is configured to hold the substrate in a fixed position during use.
  • Substrate support also includes a fine orientation system. The fine orientation system is configured to alter the orientation of the substrate until the portion of the substrate to be imprinted and the template are substantially parallel.
  • a patterned template may be designed to allow improved liquid control.
  • the liquid When a template is brought into contact with a liquid disposed on a substrate, the liquid will tend to expand to cover a larger area of the substrate than the liquid originally covered. In some processes it is advantageous that the liquid remains within an area defined by the template. Proper design of a template will, in some embodiments, inhibit flow of liquid substantially beyond a perimeter of the template.
  • a patterned template includes a first surface and a plurality of recesses formed in one or more patterning areas of the template extending from the first surface toward an opposed second surface . The recesses define a plurality of features that are to be imprinted onto the substrate.
  • the template also includes a border formed about the perimeter of the patterning areas .
  • the border is formed as a recess that extends from the first surface toward the second surface .
  • the depth of the border is substantially greater than the depth of the recesses that define the features of the template .
  • Patterned templates that include borders may be used in any of the herein described systems.
  • the template is brought i-nto contact with a curable liquid disposed on the surface of the substrate.
  • the force applied to the substrate by the template may cause the substrate to tilt, particularly when the template is positioned near an edge of the substrate.
  • the substrate is coupled to a substrate support that includes a substrate tilt module.
  • the substrate tilt module is configured to calibrate the tilt of the substrate surface during use. Additionally, the substrate tilt module is configured to inhibit tilt of the substrate due to compliance of the tilt module when pressure is exerted on the substrate.
  • the substrate tilt module may be incorporated into either a motion stage that allows motion of the substrate during use or a fixed substrate support .
  • Another embodiment includes a method of preparing patterned structures on a substrate, with the comprising: applying an activating light curable liquid to a surface of the substrate; positioning a template proximate to the activating light curable liquid, wherein the template comprises: a non-conductive layer; an electrically conductive layer adjacent the non-conductive layer and substantially between the non-conductive layer and the substrate, wherein the electrically conductive layer forms a contiguous pattern of structures complementary to the patterned structures to be produced on the substrate; applying an electric field between the template and the substrate by passing a current through the electrically conductive layer, wherein the applied electric field creates an electric static force that attracts a portion of the activating light curable liquid toward the electrically conductive layer of the template.
  • the electrically conductive material is placed on non conductive material such that the electrically conductive material is positioned between the non-conductive material and the template during use.
  • the electrically conductive material includes a plurality of recesses that define a plurality of features to be imprinted by the template. Both the electrically conductive material and the non-conductive material may be substantially transparent to light.
  • the template may be formed of indium tin oxide and fused silica. At least a portion of the structures may have a feature size of less than about 100 nm.
  • An electric field may be applied between the template and the substrate. The application of the electric field may create a static force that attracts at least a portion of the curable liquid toward the template.
  • the portions of the curable liquid that are attracted to the template are complementary to the pattern of structures imprinted on the template.
  • the portions of the curable liquid that are attracted to the template come into contact with the template, while the remaining portions do not contact the template.
  • neither the attracted portions nor the remaining portions of the curable liquid come into contact with the template.
  • the attracted portions extend toward the template while the un-attracted portions do not extend to the same extent that the attracted portions extend toward the template.
  • the curable liquid is cured by the application of activating light. After the curable liquid is cured, the structures may be further defined by etching the cured liquid. Etching may improve the aspect ration of the structures. Any of the commonly used etching techniques may be used, including reactive ion etching. These and other embodiments are more fully described herein.
  • FIG. 1 depicts an embodiment of a system for imprint lithography
  • FIG. 2 depicts an imprint lithography system enclosure
  • FIG. 3 depicts an embodiment of an imprint lithography head coupled to an imprint lithography system
  • FIG. 4 depicts a projection view of an imprint head
  • FIG. 5 depicts an exploded view of an imprint head
  • FIG. 6 depicts a projection view of a first flexure member
  • FIG. 7 depicts a projection view of a second flexure member
  • FIG. 8 depicts a projection view of first and second flexure members coupled together
  • FIG. 9 depicts a projection view of a fine orientation system coupled to a pre-calibration system of an imprint head
  • FIG. 10 depicts a cross-sectional view of a pre-calibration system
  • FIG. 11 depicts a schematic diagram of a flexure system
  • FIG. 12 depicts a projection view of a motion stage and an imprint head of an imprint lithography system
  • FIG. 13 depicts a schematic diagram of a liquid dispense system
  • FIG. 14 depicts a projection view of an imprint head with a light source and camera optically coupled to the imprint head
  • FIGS. 15 and 16 depict side views of an interface between a liquid droplet and a portion of a template
  • FIG. 17 depicts a cross-sectional view of a first embodiment of template configured for liquid confinement at the perimeter of the template
  • FIG. 18 depicts a cross-sectional view of a second embodiment of template configured for liquid confinement at the perimeter of the template
  • FIGS. 19A-D depict cross-sectional views of a sequence of steps of a template contacting a liquid disposed on a substrate.
  • FIGS. 20A-B depict top and cross-sectional views, respectively, of a template having a plurality of patterning areas
  • FIG. 21 depicts a projection view of a rigid template support system coupled to a pre-calibration system of an imprint head
  • FIG. 22 depicts an imprint head coupled to an X-Y motion system
  • FIGS. 23A-23F depict cross-sectional views of a negative imprint lithography process
  • FIGS. 24A-24D depict cross-sectional views of a negative imprint lithography process with a transfer layer; [0032] FIGS. 25A-25D depict cross-sectional views of a positive imprint lithography process ,-
  • FIGS. 26A - 26C depict cross-sectional views of a positive imprint lithography process with a transfer layer
  • FIGS. 27A - 27F depict cross-sectional views of a combined positive and negative imprint lithography process
  • FIG. 28 depicts a schematic of an optical alignment measuring device positioned over a template and substrate
  • FIG. 29 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks by sequentially viewing and refocusing
  • FIG. 30 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks and polarized filters
  • FIG. 31 depicts a top view of an alignment mark that is formed from polarizing lines
  • FIGS. 32A-32C depict top views of patterns of curable liquid applied to a substrate
  • FIGS. 33A-33C depict a scheme for removing a template from a substrate after curing
  • FIG. 34 depicts an embodiment of a template positioned over a substrate for electric field based lithography
  • FIGS. 35A-35D depict a first embodiment of a process for forming nanoscale structures using contact with a template
  • FIGS. 36A-36C depict a first embodiment of a process for forming nanoscale structures without contacting a template;
  • FIGS. 37A-37B depict a template that includes a continuous patterned conductive layer disposed on a non- conductive base;
  • FIG. 38 depicts a motion stage having a substrate tilt module
  • FIG. 39 depicts a motion stage having a substrate tilt module
  • FIG. 40 depicts a schematic drawing of a substrate support .
  • FIG. 41 depicts a schematic drawing of an imprint lithography system that includes an imprint head disposed below a substrate support .
  • Embodiments presented herein generally relate to systems, devices, and related processes of manufacturing small devices. More specifically, embodiments presented herein relate to systems, devices, and related processes of imprint lithography. For example, these embodiments may be used for imprinting sub-100 nm features on a substrate, such as a semiconductor wafer. It should be understood that these embodiments may also be used to manufacture other kinds of devices including, but not limited to: patterned magnetic media for data storage, micro-optical devices, micro-electro-mechanical system, biological testing devices, chemical testing and reaction devices, and X-ray optical devices.
  • Imprint lithography processes have demonstrated the ability to replicate high-resolution (e.g., sub-50 nm) images on substrates using templates that contain images as topography on their surfaces .
  • Imprint lithography may be used in patterning substrates in the manufacture of microelectronic devices, optical devices, MEMS, opto-electronics, patterned magnetic media for storage applications, etc.
  • Imprint lithography techniques may be superior to optical lithography for making three-dimensional structures, such as micro lenses and T-gate structures.
  • Components of an imprint lithography system including the template, substrate, liquid and any other materials that may affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals ' forces, viscosity, density, opacity, etc., are engineered to properly accommodate a repeatable process.
  • FIG. 1 depicts an embodiment of a system for imprint lithography 3900.
  • Imprint lithography system 3900 includes an imprint head 3100.
  • Imprint head 3100 is mounted to an imprint head support 3910.
  • Imprint head 3100 is configured to hold a patterned template 3700.
  • Patterned template 3700 includes a plurality of recesses that define a pattern of features to be imprinted into a substrate.
  • Imprint head 3100 or motion stage 3600 is further configured to move patterned template 3700 toward and away from a substrate to be imprinted during use .
  • Imprint lithography system 3900 also includes a motion stage 3600. Motion stage 3600 is mounted to motion stage support 3920.
  • Motion stage 3600 is configured to hold a substrate and move the substrate in a generally planar motion about motion stage support 3920.
  • Imprint lithography system 3900 further includes an activating light source 3500 coupled to imprint head 3100.
  • Activating light source 3500 is configured to produce a curing light and direct the produced curing light through patterned template 3700 coupled to imprint head 3100.
  • Curing light includes light at an appropriate wavelength to cure a polymerizable liquid. Curing light includes ultraviolet light, visible light, infrared light, x-ray radiation and electron beam radiation.
  • Imprint head support 3910 is coupled to motion stage support 3920 by bridging supports 3930. In this manner imprint head 3100 is positioned above motion stage 3600.
  • Imprint head support 3910, motion stage support 3920 and bridging supports 3930 are herein collectively referred to as the system "body.”
  • the components of the system body may be formed from thermally stable materials. Thermally stable materials have a thermal expansion coefficient of less than about 10ppm/°C at about room temperature (e.g. °C.) . In some embodiments, the material of construction may have a thermal expansion coefficient of less than about 10 ppm/°C, or less than 1 ppm/°C.
  • Motion stage support 3920 and bridging supports 3930 are coupled to a support table 3940.
  • Support table 3940 provides a substantially vibration free support for the components of imprint lithography system 3900.
  • Support table 3940 isolates imprint lithography system 3900 from ambient vibrations (e.g., due to works, other machinery, etc.) .
  • Motion stages and vibration isolation support tables are commercially available from Newport Corporation of Irvine, California.
  • the "X-axis” refers to the axis that runs between bridging supports 3930.
  • the "Y-axis” refers to the axis that is orthogonal to the X-axis.
  • the "X-Y plane” is a plane defined by the X-axis and the Y-axis.
  • the "Z-axis” refers to an axis running from motion stage support 3920 to imprint head support 3910, orthogonal to the X-Y plane.
  • an imprint process involves moving the substrate, or the imprint head, along an X-Y plane until the proper position of the substrate with respect to patterned template 3700 is achieved.
  • Imprint lithography system 3900 may be placed in an enclosure 3960, as depicted in FIG. 2.
  • Enclosure 3960 encompasses imprint lithography system 3900 and provides a thermal and air barrier to the lithography components.
  • Enclosure 3960 includes a movable access panel 3962 that allows access to the imprint head 3100 and motion stage 3600 when moved to an "open" position, as depicted in FIG. 2.
  • Enclosure 3960 includes a temperature control system.
  • a temperature ' control system is used to control the temperature of components within enclosure 3960.
  • temperature control system is configured to inhibit temperature variations of greater than about 1°C within enclosure 3960.
  • a temperature control system inhibits variations of greater than about 0.1 °C.
  • thermostats or other temperature measuring devices in combination with one or more fans may be used to maintain a substantially constant temperature within enclosure 3960.
  • a computer controlled user interface 3964 may be coupled to enclosure 3960.
  • User interface 3964 may depict the operating parameters, diagnostic information, job progress and other information related to the functioning of the enclosed imprint system 3900.
  • User interface 3964 may also be configured to receive operator commands to alter the operating parameters of imprint lithography system 3900.
  • a staging support 3966 may also be coupled to enclosure 3960.
  • Staging support 3966 is used by an operator to support substrates, templates and other equipment during an imprint lithography process.
  • staging support 3966 may include one or more indentations 3967 configured to hold a substrate (e.g., a circular indentation for a semiconductor wafer) .
  • Staging support 3966 may also include one or more indentations 3968 for holding patterned template 3700.
  • imprint lithography system 3900 may be present depending on the processes that the imprint lithography system 3900 is designed to implement.
  • semiconductor processing equipment including, but not limited to, an automatic wafer loader, an automatic template loader and an interface to a cassette loader (all not shown) , may be coupled to imprint lithography system 3900.
  • FIG. 3 depicts an embodiment of a portion of an imprint head 3100.
  • Imprint head 3100 includes a pre- calibration system 3109 and a fine orientation system 3111 coupled to pre-calibration system 3109.
  • Template support 3130 is coupled to fine orientation system 3111.
  • Template support 3130 is designed to support and couple patterned template 3700 to fine orientation system 3111.
  • a disk-shaped flexure ring 3124 which makes up a portion of pre- calibration system 3109 is coupled to imprint head housing 3120.
  • Imprint head housing 3120 is coupled to a middle frame 3114 with guide shafts 3112a and 3112b.
  • three (3) guide shafts may be used (the back guide shaft is not visible in FIG. 4) to provide a support for housing 3120.
  • Sliders 3116A and 3116B coupled to corresponding guide shafts 3112a and 3112b about middle frame 3114 are used to facilitate the up and down motion of housing 3120.
  • a disk-shaped base plate 3122 is coupled to the bottom portion of housing 3120. Base plate 3122 may be coupled to flexure ring 3124.
  • Flexure ring 3124 supports fine orientation system 3111 components that include first flexure member 3126 and second flexure member 3128. The operation and configuration of flexure members 3126 and 3128 are discussed in detail below.
  • FIG. 5 depicts an exploded view of imprint head 3100.
  • actuators 3134a, 3134b and 3134c are fixed within housing 3120 and coupled to base plate 3122 and flexure ring 3124.
  • motion of actuators 3134a, 3134b, and 3134c controls the movement of flexure ring 3124.
  • Motion of actuators 3134a, 3134b, and 3134c may allow for a coarse pre- calibration.
  • actuators 3134a, 3134b, and 3134c may be equally spaced around housing 3120.
  • Actuators 3134a, 3134b and 3134c and flexure ring 3124 together form pre-calibration system 3109, shown in FIG. 3.
  • Actuators 3134a, 3134b and 3134c, shown in FIG. 5 allow translation of flexure ring 3124 along the Z- axis to control the gap accurately.
  • Imprint head 3100 also includes a mechanism that enables fine orientation control of patterned template 3700 so that proper orientation alignment may be achieved and a uniform gap may be maintained by the template with respect to a substrate surface. Alignment and gap control is achieved, in one embodiment, by the use of first and second flexure members, 3126 and 3128, respectively.
  • FIGS. 6 and 7 depict embodiments of first and second flexure members, 3126 and 3128, respectively, in more detail.
  • first flexure member 3126 includes a plurality of flexure joints 3160 coupled to corresponding rigid bodies 3164 and 3166.
  • Flexure joints 3160 may be notch shaped to provide motion of rigid bodies 3164 and 3166 about pivot axes that are located along the thinnest cross section of the flexure joints.
  • Flexure joints 3160 and rigid body 3164 together form arm 3172, while additional flexure joints 3160 and rigid body 3166 together form arm 3174.
  • Arms 3172 and 3174 are coupled to and extend from first flexure frame 3170.
  • First flexure frame 3170 has an opening 3182, which allows curing light (e.g., ultraviolet light) to pass through first flexure member 3126.
  • curing light e.g., ultraviolet light
  • four flexure joints 3160 allow motion of first flexure frame 3170 about a first orientation axis 3180. It should be understood, however, that more or less flexure joints may be used to achieve the desired control.
  • First flexure member 3126 is coupled to second flexure member 3128 through first flexure frame 3170, as depicted in FIG. 8.
  • First flexure member 3126 also includes two coupling members 3184 and 3186, shown in FIG. 6.
  • Coupling members 3184 and 3186 include openings that allow attachment of the coupling members to flexure ring 3124, shown in FIG. 5, using any suitable fastening means.
  • Coupling members 3184 and 3186 are coupled to first flexure frame 3170 via arms 3172 and 3174, as depicted in FIG. 6.
  • Second flexure member 3128 includes a pair of arms 3202 and 3204 extending from second flexure frame 3206, as depicted in FIG. 7. Flexure joints 3162 and rigid body 3208 together form arm 3202, while additional flexure joints 3162 and rigid body 3210 together form arm 3204. Flexure joints 3162 may be notch shaped to provide motion of rigid body 3210 and arm 3204 about pivot axes that are located along the thinnest cross-section of the flexure joints 3162. Arms 3202 and 3204 are coupled to and extend from template support 3130, shown in FIG. 8. Template support 3130 is configured to hold and retain at least a portion of a patterned template 3700.
  • Template support 3130 also has an opening 3212, which allows curing light (e.g., ultraviolet light) to pass through second flexure member 3128.
  • curing light e.g., ultraviolet light
  • four flexure joints 3162 allow motion of template support 3130 about a second orientation axis 3200. It should be understood, however, that more or less flexure joints may be used to achieve the desired control .
  • Second flexure member 3128 also includes braces 3220 and 3222. Braces 3220 and 3222 include openings that allow attachment of the braces to portions of first flexure member 3126. [0064] Referring to FIGS. 1, 6, 7 and 8, in one embodiment, first flexure member 3126 and second flexure member 3128 are joined as shown in FIG. 8 to form fine orientation system 3111.
  • Braces 3220 and 3222 are coupled to first flexure frame 3170 such that first orientation axis 3180 of first flexure member 3126 and second orientation axis 3200 of second flexure member are substantially orthogonal to each other.
  • first orientation axis 3180 and second orientation axis 3200 intersect at a pivot point 3252 at approximately the center region of a patterned template 3700 disposed in template support 3130.
  • first and second flexure members, 3126 and 3128 are depicted as discrete elements, it should be understood that the first and second flexure members, 3126 and 3128, respectively, may be formed from a single machined part where the flexure members 3126 and 3128 are integrated together.
  • Flexure members 3126 and 3128 are coupled by mating of surfaces such that motion of patterned template 3700 occurs about pivot point 3252, substantially reducing "swinging" and other motions that may shear imprinted features following imprint lithography.
  • Fine orientation system 3111 imparts negligible lateral motion at the template surface and negligible twisting motion about the ⁇ normal to the template surface due to selectively constrained high structural stiffness of flexure joints 3162.
  • Another advantage of using the herein described flexure members is that they do not generate substantial amounts of particles, especially when compared with frictional joints. This offers an advantage for imprint lithography processes as particles may disrupt such processes .
  • FIGS. 4, 3 and 9 the assembled fine orientation system 3111 is coupled to pre- calibration system 3109.
  • Patterned template 3700 is positioned within template support 3130 that is part of second flexure member 3128.
  • Second flexure member 3128 is coupled to first flexure member 3126 in a substantially orthogonal orientation.
  • First flexure member 3126 is coupled to flexure ring 3124 via coupling members 3186 and 3184.
  • Flexure ring 3124 is coupled to base plate 3122, as has been described above.
  • FIG. 10 represents a cross-section of pre- calibration system 3109, shown in Fig. 3, looking through cross-section 3260. As shown in FIG. 10, flexure ring 3124 is coupled to base plate 3122 with actuator 3134.
  • Actuator 3134 includes an end 3270 coupled to a force detector 3137 that contacts flexure ring 3124. During use activation of actuator 3134 causes movement of end 3270 toward or away from flexure ring 3124. The movement of end 3270 toward flexure ring 3124 induces a deformation of flexure ring 3124 and causes translation of fine orientation system 3111 along the Z-axis toward the substrate. Movement of end 3270 away from flexure ring 3124 allows flexure ring 3124 to move to its original shape and, in the process, moves fine orientation system 3111 away from the substrate.
  • patterned template 3700 is disposed in template support 3130 coupled to fine orientation system 3111, as depicted in previous figures. Patterned template 3700 is brought into contact with a liquid on a surface of a substrate. Compression of the liquid on the substrate as patterned template 3700 is brought closer to the substrate causes a resistive force to be applied by the liquid onto patterned template 3700. This resistive force is translated through fine orientation system 3111 and to flexure ring 3124, as shown in both FIGS. 9 and 10. The force applied against flexure ring 3124 will also be translated as a resistive force to actuator 3134. The resistive force applied to an actuator 3134 may be determined using force detector 3136. Force detector 3136 may be coupled to actuator 3134 such that a resistive force applied to actuator 3134 during use may be determined and controlled.
  • the template is disposed in a template holder coupled to the fine orientation system, as depicted in previous figures.
  • the template is brought into contact with a liquid on a surface of a substrate. Compression of the liquid on the substrate as the template is brought closer to the substrate causes a resistive force to be applied by the liquid onto the template.
  • This resistive force is translated through the fine orientation system and to flexure ring 3124 as shown in both FIGS. 9 and 10.
  • the force applied against flexure ring 3124 will also be translated as a resistive force to actuators 3134.
  • the resistive force applied to an actuator 3134 may be determined using force sensor 3135. Force sensor 3135 may be coupled to actuator 3134 such that a resistive force applied to actuator 3135 during use may be determined and controlled.
  • FIG. 11 depicts a flexure model useful in understanding the principles of operation of a fine decoupled orientation stage, such as fine orientation system 3111 described herein.
  • Flexure model 3300 may include four parallel joints: Joints 1, 2, 3 and 4 that provide a four-bar-linkage system in its nominal and rotated configurations.
  • Line 3310 denotes an axis of alignment of Joints 1 and 2.
  • Line 3312 denotes an axis of alignment of Joints 3 and 4.
  • Angle oc_ represents an angle between a perpendicular axis through the center of patterned template 3700 and line 3310.
  • Angle c 2 represents a perpendicular axis through the center of patterned template 3700 and line 3310.
  • Angles ot_ and a 2 are selected so that the compliant alignment axis (or orientation axis) lies substantially at the surface of patterned template 3700.
  • rigid body 3314 between Joints 2 and 3 may rotate about an axis depicted by Point C.
  • Rigid body 3314 may be representative of template support 3130 of second flexure member 3128.
  • Fine orientation system 3111 shown in Fig. 4, generates pure tilting motions with no substantial lateral motions at the surface of patterned template 3700 coupled to fine orientation system 3111.
  • Fine orientation system 3111 therefore allows rotations of template support 3130, shown in FIG. 3, and therefore patterned template 3700, about pivot point 3252, shown in FIG.
  • a passive orientation system is used for orientation of patterned template 3700 to a parallel orientation with respect to patterned template 3700.
  • the term "passive" refers to a motion that occurs without any user or programmable controller intervention, i.e., the system self-corrects to a proper orientation by contact of patterned template 3700 with the liquid.
  • the flexure system is adapted to position the template in a desired orientation with respect to the substrate upon which the liquid material is disposed and maintain the orientation in response to a force being exerted upon the template from, for example, compressing of the liquid material between the template and the substrate.
  • a force being exerted upon the template from, for example, compressing of the liquid material between the template and the substrate.
  • Alternate embodiments in which the motion of flexure arms 3172, 3174, 3202 and 3204, shown in FIGS. 6 and 7, are controlled by motors to produce an active flexure may also be implemented.
  • Motion of fine orientation system 3111 may be activated by direct or indirect contact with the liquid. If fine orientation system 3111 is passive, then it is, in one embodiment, designed to have the most dominant compliance about two orientation axes. The two orientation axes lie orthogonal to each other and lie on the imprinting surface of an imprinting member disposed on fine orientation system 3111. The two orthogonal torsional compliance values are set to be the same for a symmetrical imprinting member.
  • a passive fine orientation system 3111 is designed to alter the orientation of the patterned template 3700 when the patterned template 3700 is not parallel with respect to a substrate.
  • the flexure members 3126 and 3128 compensate for the resulting uneven liquid pressure on the patterned template 3700. Such compensation may be affected with minimal or no overshoot.
  • a fine orientation system 3111 as described above may hold the substantially parallel orientation between the patterned template 3700 and the substrate for a sufficiently long period to allow curing of the liquid.
  • Imprint head 3100 is mounted to imprint head support 3910, as depicted in FIG. 1.
  • imprint head support 3910 is mounted such that the imprint head 3100 remains in a fixed position at all times. During use, all movement along the X-Y plane is performed to the substrate by motion stage 3600.
  • motion stage 3600 is used to support a substrate to be imprinted and move the substrate along an X-Y plane during use.
  • Motion stage 3600 in some embodiments, is capable of moving a substrate over distances of up to several hundred millimeters with an accuracy of at least +30nm, preferably with an accuracy of about +10nm.
  • motion stage 3600 includes a substrate chuck 3610 that is coupled to carriage 3620. Carriage 3620 is moved about a base 3630 on a frictional bearing system or a non-frictional bearing system. In one embodiment, a non-frictional bearing system that includes an air bearing is used.
  • Carriage 3620 is suspended above base 3630 of motion stage 3600 using, in one embodiment, an air layer (i.e., the "air bearing") .
  • an air layer i.e., the "air bearing”
  • Magnetic or vacuum systems may be used to provide a counter balancing force to the air bearing level .
  • Both magnetic based and vacuum based systems are commercially available from a variety of suppliers and any such systems may be used in an imprint lithography process.
  • One example of a motion stage that is applicable to imprint lithography processes is the Dynam YX motion stage commercially available from Newport Corporation, Irvine, CA.
  • Motion stage 3600 also may include a tip tilt stage similar to the calibration stage, designed to approximately level the substrate to the X-Y motion plane. It also may include one or more theta stages to orient the patterns on the substrate to the X-Y motion axes.
  • imprint lithography system 3900 also includes a liquid dispense system 3125 which is used to dispense a curable liquid onto a substrate.
  • Liquid dispense system 3125 is coupled to the system body.
  • a liquid dispense system 3125 is coupled to imprint head 3100.
  • FIG. 3 depicts liquid dispenser head 2507 of a liquid dispense system 3125, shown in FIG. 13, extending out from cover 3127 of imprint head 3100.
  • Various components of liquid dispense system 3125 may be disposed in cover 3127 of imprint head 3100.
  • a liquid dispense system 3125 includes a liquid container 2501.
  • Liquid container 2501 is configured to hold an activating light curable liquid.
  • Liquid container 2501 is coupled to a pump 2504 via inlet conduit 2502.
  • An inlet valve 2503 is positioned between liquid container 2501 and pump 2504 to control flow of through inlet conduit 2502.
  • Pump 2504 is coupled to a liquid dispenser head 2507 via outlet conduit 2506.
  • Liquid dispense system 3125 is configured to allow precise volume control of the amount of liquid dispensed onto an underlying substrate.
  • liquid control is achieved using a piezoelectric valve as pump 2504. Piezoelectric valves are available commercially available from the Lee Company, Westbrook, CT.
  • a curable liquid is drawn into pump 2504 through inlet conduit 2502.
  • pump 2504 is activated to force a predetermined volume of liquid through outlet conduit 2506.
  • the liquid is then dispensed through liquid dispenser head 2507 onto the substrate.
  • liquid volume control is achieved by control of pump 2504. Rapid switching of the pump 2504 from an open to closed state allows a controlled amount of liquid to be sent to liquid dispenser head 2507.
  • Pump 2504 is configured to dispense liquid in volumes of less than about 1 ⁇ L .
  • the operation of pump 2504 may allow either droplets of liquid or a continuous pattern of liquid to be dispensed onto the substrate. Droplets of liquid are applied by rapidly cycling the pump 2504 from an open to closed state. A stream of liquid is produced on the substrate by leaving pump 2504 in an open state and moving the substrate under liquid dispenser head 2507.
  • liquid volume control may be achieved by use of liquid dispenser head 2507.
  • pump 2504 is used to supply a curable liquid-to-liquid dispenser head 2507.
  • Small drops of liquid whose volume may be accurately specified are dispensed using a liquid dispensing actuator.
  • liquid dispensing actuators include micro-solenoid valves or piezo-actuated dispensers. Piezo-actuated dispensers are commercially available from MicroFab Technologies, Inc., Piano, TX.
  • Liquid dispensing actuators are incorporated into liquid dispenser head 2507 to allow control of liquid dispensing. Liquid dispensing actuators are configured to dispense between about 50 pL to about 1000 pL of liquid per drop of liquid dispensed.
  • the scale may be formed from a variety of materials including glass, glass ceramics, and steel .
  • the scale includes a number of markings that are read by the reader to determine a relative or absolute position of the moving object.
  • the scale is coupled to motion stage 3600 by means that are known in the art.
  • a reader is coupled to the body and optically coupled to the scale.
  • an exposed linear encoder may be used. Encoders may be configured to determine the position of the motion stage 3600 along either a single axis, or in a two-axis plane.
  • An example of an exposed two-axis linear encoder is the PP model encoder available from Heidenhain Corporation, Schaumburg, IL.
  • encoders are built into many commercially available X-Y motion stages. For example, the Dynam YX motion stage available from Newport Corp. has a two-axis encoder built into the system.
  • the coarse position of patterned template 3700 along the Z-axis is also determined using a linear encoder.
  • an exposed linear encoder may be used to measure the position of patterned template 3700.
  • a scale of the linear encoder in one embodiment, is coupled to the pre-calibration ring of imprint head 3100. Alternatively, the scale may be coupled directly to the template support 3130. The reader is coupled to the body and optically coupled to the scale. Position of patterned template 3700 is determined along the Z-axis by use of encoders .
  • an air gauge 3135 may be coupled to imprint head 3100, as depicted in FIG. 3.
  • Air gauge 3135 is used to determine whether a substrate disposed on a motion stage 3600 is substantially parallel to a reference plane.
  • an "air gauge” refers to a device that measures the pressure of a stream of air directed toward a surface. When a substrate is disposed under an outlet of air gauge 3135, the distance the substrate is from the outlet of air gauge 3135 will influence the pressure air gauge 3135 senses. Generally, the further away from air gauge 3135 the substrate is, the lesser the pressure is.
  • air gauge 3135 may be used to determine differences in pressure resulting from changes in the distance between the substrate surface and air gauge 3135.
  • air gauge 3135 determines the distance between air gauge 3135 and the substrate surface at the various points measured.
  • the degree of planarity of the substrate with respect to air gauge 3135 is determined by comparing the distance between air gauge 3135 and the substrate at the various points measured.
  • the distance between at least three points on the, substrate and air gauge 3135 is used to determine if a substrate is planar. If the distance is substantially the same, the substrate is considered to be planar.
  • Significant differences in the distances measured between the substrate and air gauge 3135 indicates a non-planar relationship between the substrate and air gauge 3135.
  • This non-planar relationship may be caused by the non- planarity of the substrate or a tilt of the substrate. Prior to use, a tilt of the substrate is corrected to establish a planar relationship between the substrate and the patterned template 3700. Suitable air gauges may be obtained from Senex Inc .
  • the substrate or patterned template 3700 is placed within the measuring range of air gauge 3135.
  • Motion of the substrate toward air gauge 3135 may be accomplished by either Z-axis motion of imprint head 3100 or Z-axis motion of motion stage 3600.
  • a light curable liquid is disposed on a surface of the substrate.
  • Patterned template 3700 is brought into contact with the light curable liquid and activating light is applied to the light curable liquid.
  • activating light means light that may affect a chemical change.
  • Activating light may include ultraviolet light (e.g., light having a wavelength between about 200 nm to about 400 nm) , actinic light, visible light or infrared light.
  • any wavelength of light capable of affecting a chemical change may be classified as activating.
  • Chemical changes may be manifested in a number of forms.
  • a chemical change may include, but is not limited to, any chemical reaction that causes a polymerization or a cross-linking reaction to take place.
  • the activating light in one embodiment, is passed through patterned template 3700 prior to reaching the composition. In this manner the light curable liquid is cured to form structures complementary to the structures formed on patterned template 3700.
  • activating light source 3500 is an ultraviolet light source capable of producing light having a wavelength between about 200 nm to about 400 nm.
  • Activating light source 3500 is optically coupled to patterned template 3700 as depicted in FIG. 1.
  • activating light source 3500 is positioned proximate to imprint head 3100.
  • Imprint head 3100 includes a mirror 3121, as depicted in FIG. 4, which reflects light from activating light source 3500 to patterned template 3700.
  • Light passes through an opening in the body of imprint head 3100 and is reflected by mirror 3121 toward patterned template 3700. In this manner, activating light source 3500 irradiates patterned template 3700 without being disposed in imprint head 3100.
  • activating light sources produce a significant amount of heat during use. If activating light source 3500 is too close to imprint lithography system 3900, heat from the light source will radiate toward the body of imprint lithography system 3900 and may cause the temperature of portions of the body to increase. Since many metals expand when heated, the increase in temperature of a portion of the body of imprint lithography system 3900 may cause the body to expand. This expansion may affect the accuracy of imprint lithography system 3900 when sub-100 nm features are being produced.
  • activating light source 3500 is positioned at a sufficient distance from the body such that the system body is insulated from heat produced by activating light source 3500 by the intervening air between activating light source 3500 and imprint head 3100.
  • FIG. 14 depicts an activating light source 3500 optically coupled to imprint head 3100.
  • Activating light source 3500 includes an optical system 3510 that projects light generated by a light source toward imprint head 3100. Light passes from optical system 3510 into imprint head 3100 via opening 3123. Light is then reflected toward a template coupled to imprint head 3100 by mirror 3121 disposed within imprint head 3100, shown in FIG. 4. In this manner, the light source is thermally insulated from the body.
  • a suitable light source may be obtained from OAI Inc., Santa Clara, CA.
  • One or more optical measuring devices may be optically coupled to imprint head 3100 and/or motion stage 3600.
  • an optical measuring device is any device that allows the position and/or orientation of patterned template 3700 with respect to a substrate to be determined.
  • Optical imaging system 3800 includes an optical imaging device 3810 and an optical system 3820.
  • Optical imaging device 3810 in one embodiment, is a CCD microscope.
  • Optical imaging system 3800 is optically coupled to patterned template 3700 through imprint head 3100.
  • Optical imaging system 3800 is also optically coupled to a substrate, when the substrate is disposed under patterned template 3700.
  • Optical imaging system 3800 is used to determine the placement error between patterned template 3700 and an underlying substrate as described herein.
  • mirror 3121 shown in FIG. 4, is movable within imprint head 3100. During an alignment or optical inspection process, mirror 3121 is moved out of the optical -path of the optical imaging system.
  • the substrate or patterned template 3700 is placed within the measuring range (e.g., the field of view) of the air optical imaging system.
  • Motion of the substrate toward the optical imaging system 3800 may be accomplished by either Z-axis motion of imprint head 3100 or Z-axis motion of motion stage 3600.
  • a light curable liquid is placed on a substrate and a template is brought into contact with the liquid during an imprint lithography process.
  • the curable liquid is a low viscosity liquid monomer solution.
  • a suitable solution may have a viscosity ranging from about 0.01 cps to about 100 cps
  • the viscosity of the solution should be at or below about 30 cps, or more preferably below about 5 cps (measured at 25°C) .
  • Patterning of low viscosity light curable liquids solves each of the issues facing hot embossing techniques by utilizing a low-viscosity, light-sensitive liquid. Also use of a thick, rigid, transparent template offers the potential for easier layer-to-layer alignment.
  • the rigid template is, in general, transparent to both liquid activating light and alignment mark measurement light.
  • the curable liquid may be composed of a variety of polymerizable materials. Generally, any photopolymerizable material may be used. Photopolymerizable materials may include a mixture of monomers and a photoinitiator . In some embodiments, the curable liquid may include one or more commercially available negative photoresist materials. The viscosity of the photoresist material may be reduced by diluting the liquid photoresist with a suitable solvent. [0093] In an embodiment, a suitable curable liquid includes a monomer, a silylated monomer, and an initiator. A crosslinking agent and a dimethyl siloxane derivative may also be included. Monomers include, but are not limited to, acrylate and methacylate monomers.
  • Examples of monomers include, but are not limited to, butyl acrylate, methyl acrylate, methyl methacrylate, or mixtures thereof.
  • the monomer makes up approximately 25 to 50% by weight of the curable liquid. It is believed that the monomer ensures adequate solubility of the photoinitiator in the curable liquid. It is further believed that the monomer provides adhesion to an underlying organic transfer layer, when used.
  • the curable liquid may also include a silylated monomer.
  • Silylated monomers in general are polymerizable compounds that include a silicon group. Classes of silylated monomers include, but are not limited to, silane acrylyl and silane methacrylyl derivatives. Specific examples include methacryloxypropyl tris (tri- methylsiloxy) silane and (3-acryloxypropyl) tris (tri- methoxysiloxy) -silane . Silylated monomers may be present in amounts from 25 to 50 % by weight.
  • the curable liquid may also include a dimethyl siloxane derivative.
  • dimethyl siloxane derivatives include, but are not limited to, (acryloxypropyl) methylsiloxane dimethylsiloxane copolymer, acryloxypropyl methylsiloxane homopolymer, and acryloxy terminated polydimethylsiloxane.
  • Dimethyl siloxane derivatives are present in amounts from about 0 to 50 % by weight. It is believed that the silylated monomers and the dimethyl siloxane derivatives may impart a high oxygen etch resistance to the cured liquid. Additionally, both the silylated monomers and the dimethyl siloxane derivatives are believed to reduce the surface energy of the cured liquid, therefore increasing the ability of the template to release from the surface.
  • the silylated monomers and dimethyl siloxane derivatives listed herein are all commercially available from Gelest, Inc.
  • any material that may initiate a free radical reaction may be used as the initiator.
  • the initiator is a photoinitiator.
  • examples of initiators include, but are not limited to, alpha- hydroxyketones (e.g., 1-hydroxycyclohexyl phenyl ketone, sold by Ciba-Geigy Specialty Chemical Division as Irgacure 184), and acylphosphine oxide initiators (e.g., 1-henylbis (2 ,4, 6-trimethyl benzoyl) phosphine oxide, sold by Ciba-Geigy Specialty Chemical Division as Irgacure 819) .
  • the curable liquid may also include a cross- linking agent.
  • Cross-linking agents are monomers that include two or more polymerizable groups.
  • polyfunctional siloxane derivatives may be used as a crosslinking agent.
  • An example of a polyfunctional siloxane derivative is l,3-bis(3- methacryloxypropyl) -tetramethyl disiloxane.
  • a curable liquid may include a mixture of 50% by weight of n-butyl acrylate and 50% (3- acryloxypropyl) tris-trimethylsiloxane-silane . To this mixture 3% by weight mixture of a 1:1 Irgacure 819 and Irgacure 184 and 5% of the crosslinker 1,3 -bis (3- methacryloxypropyl) -tetramethyl disiloxane may be added. The viscosity of this mixture is less than 30 cps measured at about 25 °C.
  • the curable liquid may be formed of a monomer, an acid-generating photo- agent, and a base-generating photo-agent.
  • the monomer include, but are not limited to, phenolic polymers and epoxy resins.
  • the acid-generating photo- agent is a compound that releases acid when treated with activating light. The generated acid catalyzes polymerization of the monomer.
  • Those of ordinary skill in the art know such acid-generating additives, and the specific acid-generating additive used depends on the monomer and the desired curing conditions. In general, the acid-generating additive is selected to be sensitive to radiation at the first wavelength ⁇ i which, in some implementations, is in the visible or near ultraviolet (near UV) range.
  • the first wavelength ⁇ x is selected to be approximately 400 nm or longer.
  • a base generating photo-agent is also added to the monomer.
  • the base-generating photo-agent may inhibit curing of the monomer near the interface of the template.
  • the base generating photo-agent may be sensitive to radiation at a second wavelength ⁇ 2 , yet inert or substantially inert to radiation at the first wavelength ⁇ i.
  • the second wavelength ⁇ 2 should be selected so that radiation at the second wavelength is primarily absorbed near the surface of the monomer at the interface with the template and does not penetrate very far into the curable liquid.
  • a base generating additive that is sensitive to radiation having a wavelength ⁇ 2 in the deep UV range, in other words, radiation having a wavelength in the range of about 190-280 nm, may be used.
  • a curable liquid that includes a monomer, an acid-generating photo-agent and a base-generating photo-agent is deposited onto a substrate.
  • a template is brought into contact with the curable liquid.
  • the curable liquid is then exposed to radiation at a first wavelength ⁇ i and a second wavelength ⁇ 2 of light at substantially the same time.
  • the curing liquid may be exposed to the radiation at the second wavelength ⁇ 2 and subsequently to the radiation at the first wavelength ⁇ i .
  • Exposure of the curable liquid to radiation at the second wavelength ⁇ 2 produces an excess of base near the interface with the template.
  • the excess base serves to neutralize the acid that is produced by exposure of the curable liquid to radiation at the first wavelength ⁇ i, thereby inhibiting the acid from curing the curable liquid.
  • the radiation at the second wavelength ⁇ 2 has a shallow penetration depth into the curable liquid, the base produced by that radiation only inhibits curing of the curable liquid at or near the interface with the template.
  • the remainder of the curable liquid is cured by exposure to the longer wavelength radiation ( ⁇ i) which penetrates throughout the curable liquid.
  • the curable liquid may include a photosensitive agent which, when exposed, for example, to deep UV radiation, decomposes to produce one or more gases, such as hydrogen (H 2 ) , nitrogen (N 2 ) , nitrous oxide (N 2 0) , sulfur tri-oxide (S0 3 ) , acetylene (C 2 H 2 ) , carbon dioxide (C0 2 ) , ammonia (NH 3 ) or methane (CH 4 ) .
  • Radiation at a first wavelength ⁇ i such as visible or near UV, may be used to cure the curable liquid, and the deep UV radiation ( ⁇ 2 ) may be used to produce one or more of the foregoing gases .
  • the generation of the gases produces localized pressure near the interface between the cured liquid and the template to facilitate separation of the template from the cured liquid.
  • U.S. Patent No. 6,218,316 describes additional details concerning this process and is incorporated herein by reference .
  • a curable liquid may be composed of a monomer that cures to form a. polymer that may be decomposed by exposure to light.
  • a polymer with a doubly substituted carbon backbone is deposited on the substrate.
  • the curable liquid is exposed to radiation at a first wavelength ⁇ x (e.g., greater than 400 nm) and radiation at the second wavelength ⁇ 2 in the deep UV range . Radiation at the first wavelength serves to cure the curable liquid.
  • ⁇ x e.g., greater than 400 nm
  • an imprint lithography template is manufactured using processes including, but not limited to: optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, reactive ion etch or a combination of the above.
  • Methods for making patterned templates are described in U.S. Patent Application No. 10/136,188 filed May 1, 2002 entitled "Methods of Manufacturing a Lithography Template" to Voison which is incorporated herein by reference.
  • the imprint lithography template is substantially transparent to activating light.
  • the template includes a body having a lower surface.
  • the template further includes a plurality of recesses on the lower surface extending toward the top surface of the body.
  • the recesses may be of any suitable size, although typically at least a portion of the recesses has a feature size of less than about 250 nm.
  • a template is formed from quartz.
  • Other materials may be . used to form the template and include, but are not limited to: silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, silicon dioxide or combinations thereof.
  • Templates may also include materials used to form detectable features, such as alignment markings.
  • detectable features may be formed of SiO x , where X is less than 2. In some embodiments, X is about 1.5. In another example, detectable features may be formed of a molybdenum suicide.
  • Both SiOx and molybdenum suicide are optically transparent to light used to cure the polymerizable liquid. Both materials, however, are substantially opaque to visible light. Use of these materials allows alignment marks to be created on the template that will not interfere with curing of the underlying substrate .
  • the template is treated with a surface treatment material to form a thin layer on the surface of the template .
  • a surface treatment process is optimized to yield a low surface energy coating. Such a coating is used in preparing imprint templates for imprint lithography.
  • Treated templates have desirable release characteristics relative to untreated templates .
  • Untreated template surfaces possess surface free energies of about 65 dynes/cm or more.
  • a treatment procedure disclosed herein yields a surface treatment layer that exhibits a high ' level of durability. Durability of the surface treatment layer allows a template to be used for numerous imprints without having to replace the surface treatment layer.
  • the surface treatment layer in some embodiments, reduces the surface free energy of the lower surface measured at 25 °C to less than about 40 dynes/cm, or in some cases, to less than about 20 dynes/cm.
  • a surface treatment layer in one embodiment, is formed by the reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water. This reaction forms a silinated coating layer on the surface of the patterned template.
  • a silinated surface treatment layer is formed from a reaction product of tridecafluoro-1, 1, 2, 2- tetrahydrooctyltrichlorosilane with water.
  • a surface treatment layer may be formed using either a liquid-phase process or a vapor-phase process. In a liquid-phase process, the substrate is immersed in a solution of precursor and solvent.
  • a precursor is delivered via an inert carrier gas. It may be difficult to obtain a purely anhydrous solvent for use in a liquid-phase treatment. Water in the bulk phase during treatment may result in clump deposition, which will adversely affect the final quality or coverage of the coating.
  • the template is placed in a vacuum chamber, after which the chamber is cycle-purged to remove excess water. Some adsorbed water, however, remains on the surface of the template. A small amount of water, however, is believed to be needed to initiate a surface reaction, which forms the coating. It is believed that the reaction may be described by the formula :
  • the template is brought to a desired reaction temperature via a temperature-controlled chuck.
  • the precursor is then fed into the reaction chamber for a prescribed time.
  • Reaction parameters such as template temperature, precursor concentration, flow geometries, etc. are tailored to the specific precursor and template substrate combination.
  • the thickness of the surface treatment layer is controlled.
  • the thickness of the surface treatment layer is kept at a minimal value to minimize the interference of the surface treatment layer with the feature size.
  • a monolayer of the surface treatment layer is formed.
  • FIGS. 20A and 20B depict top and cross-sectional views, respectively, of a patterned template with recesses having two depths.
  • a template includes one or more patterning areas 401.
  • a first relatively shallow depth is associated with the recesses in patterning areas 401 of the template, as depicted in FIG. 20B.
  • Patterning areas 401 includes the area replicated during patterning of the template. Patterning areas 401 are positioned within a region defined by border/outer region 409 of the template.
  • Border 409 is defined as the region that extends from an outer edge of any of patterning areas 401 to an edge 407 of the template. Border 409 has a depth that is substantially greater than the depth of the recesses in the patterning areas 401.
  • the perimeter of the template is herein defined as the boundary between patterning areas 401 and border 409. As depicted in FIG. 20A four patterning areas are positioned within the area defined by the template. Patterning areas 401 are separated from edges 407 of the template by border 409.
  • the "perimeter" of the template is defined by edges 403a, 403b, 403c, 403d, 403e, 403f, 403g, and 403h of the patterning areas 401.
  • Patterning areas 401 may be separated from each other by channel/border regions 405.
  • Channel regions 405 are recesses that are positioned between the patterning areas 401 that have a greater depth than the recesses of . pattering areas 401.
  • both border 409 and channel regions 405 inhibit the flow of liquid between patterning areas 401 or beyond the perimeter of patterning areas 401, respectively.
  • the design of the template is chosen based on the type of lithography process used.
  • a template for positive imprint lithography has a design that favors the formation of discontinuous films on the substrate.
  • a template 12 is formed such that the depth of one or more structures is relatively large compared to the depth of structures used to form the patterning region, as depicted in FIG. 15.
  • template 12 is placed in a desired spaced relationship to substrate 20.
  • the gap (hi) between the lower surface 536 of template 12 and substrate 20 is much smaller than the gap (h 2 ) between recessed surface 534 and substrate 20.
  • hi may be less than about 200 nm, while h 2 may be greater than about 10,000 nm.
  • curable liquid 40 leaves the region under recessed surface 534 and fills the gap between lower surface 536 and substrate 20, as depicted in FIG. 16. It is believed that combinations of surface energies and capillary forces draw curable liquid 40 from the larger recess into the narrower region. As h x is decreased, forces applied to curable liquid 40 by template 12 may overcome capillary forces drawing curable liquid 40 under lower surface 536. These forces may cause spreading of curable liquid 40 into the area under recessed surface 534.
  • the minimum value of h x at which the liquid is inhibited from spreading into a recess 532 is referred to herein as the "minimum film thickness.” Additionally, as hi increases, the capillary forces are reduced, eventually allowing curable liquid 40 to spread into the deeper recessed regions. The maximum value of hi at which the capillary forces are sufficient to inhibit flow of curable liquid 40 into the deeper recessed region is herein known as the "maximum film thickness.”
  • template 12 is formed such that a curable liquid placed on substrate 20 is inhibited from flowing beyond perimeter 412 of template 12.
  • height hi is measured from substrate 20 to shallow recessed surface 552.
  • Shallow recessed surface 552 extends to the perimeter of template 12.
  • the edge of the template forms the height h 2 and is effectively infinite in comparison to height hi.
  • a deep recess is formed at the outer edge of template 12.
  • Height h 2 is measured between substrate 20 and deep recessed surface 554.
  • Height h is again measured between substrate 20 and shallow recessed surface 552.
  • height h 2 is much larger than height h x . If h x is small enough, the activating light curable liquid remains in the gap between template 12 and substrate 20 while a curing agent is applied. Deeply recessed portions are particularly useful for liquid confinement in step and repeat processes as described herein.
  • template 12 and substrate 20 each have one or more alignment marks.
  • Alignment marks may be used to align template 12 and substrate 20.
  • one or more optical imaging devices e.g., microscopes, cameras, imaging ' arrays, etc. are used to determine alignment of the alignment marks.
  • an alignment mark on the template may be substantially transparent to activating light.
  • the alignment mark may be substantially opaque to alignment mark detection light.
  • alignment mark detection light and light used for other measurement and analysis purposes is referred to as "analyzing light.”
  • analyzing light includes, but is not limited to: visible light and/or infrared light.
  • the alignment mark may be formed of a material different than the material of the body.
  • the alignment mark may be formed from SiO X/ where x is about 1.5.
  • the alignment mark may be formed of molybdenum suicide.
  • the alignment mark may include a plurality of lines etched on a surface of the body. The lines are configured to substantially diffuse activating light, but produce an analyzable mark under analyzing light.
  • one or more deep recesses as described above may project entirely through the body of the template to form openings in the template.
  • An advantage of such openings is that they may effectively ensure that height h 2 is very large with respect to hi at each opening.
  • pressurized gas or vacuum may be applied to the openings. Pressurized gas or vacuum may also be applied to one or more openings after curing the liquid. For example, pressurized gas may be applied after curing as part of a peel and pull process to assist in separating the template from the cured liquid.
  • imprint head 3100 includes fine orientation system 3111 that allows for a "passive" orientation of patterned template 3700 with respect to the substrate.
  • fine orientation system 3111 may include actuators 3134a, 3134b and 3134c coupled to flexure arms 3172, 3174, 3202 and 3204. Actuators 3134a, 3134b and 3134c may allow "active" control of fine orientation system 3111.
  • an operator or a programmable controller monitors the orientation of patterned template 3700 with respect to the substrate . The operators or a programmable controller then alters the orientation of patterned template 3700 with respect to the substrate by operating actuators 3134a, 3134b and 3134c.
  • imprint head 3100 may include pre-calibration system 3109, as described above.
  • Pre-calibration system 3109 includes flexure ring 3124, as depicted in FIG. 21.
  • template support system 4125 is coupled to pre-calibration ring.
  • template support system 4125 is formed of substantially rigid and non-compliant members 3129. These members provide a substantially rigid support for patterned template 3700 disposed in template support 3130. In this embodiment, fine orientation may be achieved using motion stage 3600 instead of template support 3130.
  • imprint head 3100 is coupled to the body in a fixed position.
  • imprint head 3100 may be mounted to a motion system that allows imprint head 3100 to be moved along the X-Y plane, as depicted in FIG. 22.
  • Imprint head 3100 is configured to support patterned template 3700 as described in any of the embodiments herein.
  • Imprint head 3100 is coupled to a motion system that includes an imprint head chuck 3110 and imprint motion stage 3123.
  • Imprint head 3100 is mounted to imprint head chuck 3110.
  • Imprint head chuck 3110 interacts with imprint motion stage 3123 to move imprint head 3100 along an X-Y plane. Mechanical or electromagnetic motion systems may be used.
  • Electromagnetic systems rely on the use of magnets to produce an X-Y planar motion in imprint head chuck 3110.
  • an electromagnetic system incorporates permanent and, electromagnetic magnets into imprint motion stage 3123 and imprint head chuck 3110. The attractive forces of these magnets is overcome by a cushion of air between imprint head chuck 3110 and imprint motion stage 3123 such that an "air bearing" is produced.
  • Imprint head chuck 3110, and therefore imprint head 3100, is moved along an X-Y plane on a cushion of air.
  • Electromagnetic X-Y motion stages are described in further detail in U.S. Patent No. 6,389,702, entitled “Method and Apparatus for Motion Control,” which is incorporated herein by reference.
  • imprint head chuck 3110 is attached to imprint motion stage 3123. Imprint motion stage 3123 is then moved by use of various mechanical means to alter the position of imprint head chuck 3110, and thus imprint head 3110, along the X-Y plane.
  • imprint head 3110 may include a passive compliant fine orientation system, an actuated fine orientation system, or a rigid template support system, as described herein.
  • imprint head- 3100 coupled to a moving - support
  • the substrate may be mounted to a stationary support.
  • imprint head 3100 is coupled to an X-Y axis motion stage as described herein.
  • a substrate is mounted to a substantially stationary substrate support 3640.
  • Stationary substrate support 3640 is depicted in FIG. 40.
  • Stationary substrate support 3640 includes a base 3642 and a substrate chuck 3644.
  • Substrate chuck 3644 is configured to support a substrate during imprint lithography processes.
  • Substrate chuck 3644 may employ any suitable means to retain a substrate to substrate chuck 3644.
  • substrate chuck 3644 may include a vacuum system which applies a vacuum to the substrate to couple the substrate to substrate chuck 3644.
  • Substrate chuck 3644 is coupled to base 3642.
  • Base 3642 is coupled to motion stage support 3920 of imprint lithography system 3900, shown in FIG. 1.
  • stationary substrate support 3640 remains in a fixed position on motion stage support 3920 while imprint head 3100 position is varied to access different portions of the substrate.
  • Coupling an imprint head to a motion stage can offer advantages over techniques in which the substrate is on a motion stage. Motion stages generally rely on an air bearing to allow substantially frictionless motion of the motion stage. Generally, motion stages are not designed to accommodate significant pressure applied along the Z-axis.
  • the motion stage chuck position will change slightly in response to this pressure.
  • a template that has a smaller area than the area of the substrate is used to form multiple imprinted areas.
  • the substrate motion " stage is relatively large compared to the template to accommodate the larger substrates .
  • the motion stage will tilt to accommodate the increased pressure. This tilt is compensated for by tilting the imprint head to ensure proper alignment. If, however, the imprint head is coupled to the motion stage, all of the forces along the Z-axis will be centered on the template, regardless of where on the substrate the imprinting is taking place. This leads to increased ease in alignment and may also increase the throughput of the system.
  • substrate tilt module 3654 may be formed in substrate support 3650 as depicted in FIG. 38.
  • Substrate support 3650 includes a substrate chuck 3652, coupled to a substrate tilt module 3654.
  • Substrate tilt module 3654 is coupled to a base 3656.
  • Base 3656 in one embodiment, is coupled to a motion stage which allows X-motion of substrate support 3650.
  • base 3656 is coupled to a support (e.g., 3920) such that substrate support 3650 is mounted to imprint lithography system 3900 in a fixed position.
  • Substrate chuck 3652 may employ any suitable means to retain a substrate to substrate chuck 3652.
  • substrate chuck 3652 may include a vacuum system which applies a vacuum to the substrate to couple the substrate to substrate chuck 3652.
  • Substrate tilt module 3654 includes a flexure ring 3658 coupled to flexure ring support 3660.
  • a plurality of actuators 3662 are coupled to flexure ring 3658 and flexure ring support 3660. Actuators 3662 are operated to alter the tilt of flexure ring 3658.
  • Actuators 3662 in one embodiment, - use a differential gear mechanism that may be manually or automatically operated. In an alternate embodiment, actuators 3662 use an eccentric roller mechanism.
  • An eccentric roller mechanism generally provides more vertical stiffness to substrate support 3650 than a differential gear system.
  • substrate tilt module 3654 has a stiffness that will inhibit tilt of the substrate when the template applies a force of between about 1 lb. to about 10 lbs. to a liquid disposed on the substrate.
  • substrate tilt module 3654 is configured to allow no more than 5 micro radians of tilt when pressure up to about 10 lbs. is applied to the substrate through the liquid on the template.
  • Substrate tilt module 3654 may also include a fine orientation system.
  • a substrate support that includes a fine orientation system is depicted in FIG. 38.
  • flexure ring 3658 includes a central recess in which substrate chuck 3652 is disposed. The depth of the central recess is such that an upper surface of a substrate disposed on substrate chuck 3652 is substantially even with an upper surface of flexure ring 3658.
  • Fine orientation may be achieved using actuators 3662 capable of controlled motion in the nanometer range. Alternatively, fine orientation may be achieved in a passive manner. Actuators 3662 may be substantially compliant.
  • the compliance of actuators 3662 may allow the substrate to self-correct for variations in tilt when a template is in contact with a liquid disposed on a substrate surface. By disposing the substrate in a position that is substantially even with flexure ring 3658, fine orientation may be achieved at the substrate-liquid interface during use. Compliance of actuators 3662 is thus transferred to the upper surface of the substrate to allow fine orientation of the substrate.
  • the above described systems are generally configured to systems in which an activating light curable liquid is dispensed onto a substrate and the substrate and template are brought into proximity to each other. It should be understood, however, that the above- described systems may be modified to allow an activating light curable liquid to be applied to a template rather than the substrate. In such an embodiment, the template is placed below the substrate.
  • FIG. 41 depicts a schematic drawing of an embodiment of a system 4100 that is configured such that the template is positioned below a substrate.
  • System 4100 includes an imprint head 4110 and a substrate support 4120 positioned above imprint head 4110.
  • Imprint head 4110 is configured to hold patterned template 3700.
  • Imprint head 4110 may have a similar design to any of the herein described imprint heads.
  • imprint head 4110 may include a fine orientation system as described herein.
  • Imprint head 4110 is be coupled to imprint head support 4130.
  • Imprint head 4110 may be coupled in a fixed position and remain substantially motionless during use. Alternatively, imprint head 4110 may be placed on a motion stage that allows X-Y planar motion of imprint head 4110 during use.
  • substrate support 4120 has a similar design to any of the herein described substrate supports.
  • substrate support 4120 may include a fine orientation system as described herein.
  • Substrate support 4120 may be coupled to a support 4140 in a fixed position and remain substantially motionless during use.
  • substrate support 4120 may be placed on a motion stage that allows X-Y planar motion of substrate support during use .
  • an activating light curable liquid 40 is placed on patterned template 3700 disposed in imprint head 4110.
  • the template may be patterned or planar, depending on the type of operation to be performed. Patterned templates may be configured for use in positive, negative, or combinations of positive and negative imprint lithography systems as described herein.
  • FIGS. 23A-23F A typical imprint lithography process is shown in FIGS. 23A-23F.
  • template 12 is. positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20.
  • Template 12 may include a surface that defines one or more desired features, which may be transferred to the substrate during patterning.
  • a “feature size” generally refers to a width, length and/or depth of one of the desired features.
  • the desired features may be defined on the surface of template 12 as recesses and or a conductive pattern formed on a surface of template 12.
  • Surface 14 of template 12 may be treated with a thin surface treatment layer 13 that lowers template 12 surface energy and assists in separation of template 12 from substrate 20. Surface treatment layers for templates are described herein.
  • curable liquid 40 may be dispensed upon substrate 20 prior to moving template 12 into a desired position relative to substrate 20.
  • Curable liquid 40 may be a curable liquid that conforms to the shape of desired features of template 12.
  • curable liquid 40 is a low viscosity liquid that at least partially fills the space of gap 31 without the use of high temperatures, shown in FIG. 24A. Low viscosity liquids may also allow gap 31 between template 12 and substrate 20 to be closed without requiring high pressures.
  • the term "low viscosity liquids" refer to liquids having a viscosity of less than about 30 centipoise measured at about 25°C. Further details regarding appropriate selections for curable liquid 40 are discussed below.
  • Template 12 may interact with curable liquid 40 to conform curable liquid 40 into a desired shape.
  • curable liquid 40 may conform to the shape of template 12, as depicted in FIG. 23B.
  • the position of template 12 may be adjusted to create a desired gap distance between template 12 and substrate 20.
  • the position of template 12 may also be adjusted to properly align template 12 with substrate 20.
  • curable liquid 40 is cured to form a masking layer 42 on substrate 20.
  • curable liquid 40 is cured using activating light 32 to form masking layer 42. Application of activating light through template 12 to cure curable liquid 40 is depicted in FIG. 23C.
  • masking layer 42 has a pattern that is complementary to the pattern of template 12.
  • Masking layer 42 may include a "base layer” (also called a “residual layer”) between one or more desired features. The separation of template 12 from masking layer 42 is done so that desired features remain intact without shearing or tearing from the surface of substrate 20. Further details regarding separation of template 12 from substrate 20 following imprinting are discussed below. [0131]
  • Masking layer 42 may be used in a variety of ways. For example, in some embodiments, masking layer 42 may be a functional layer.
  • curable liquid 40 may be curable to form a conductive layer, a semiconductive layer, a dielectric layer and/or a layer having a desired mechanical or optical property.
  • masking layer 42 may be used to cover portions of substrate 20 during further processing of substrate 20.
  • masking layer 42 may be used during a material deposition process to inhibit deposition of the material on certain portions of the substrate.
  • masking layer 42 may be used as a mask for etching substrate 20.
  • masking layer 42 only its use as a mask for an etching process will be discussed in embodiments described below. However, it is recognized that masking layers in embodiments described herein may be used in a variety of processes as previously described.
  • masking layer 42 may be etched using an etch process until portions of substrate are exposed through masking layer 42, as depicted in FIG. 23E. That is, portions of the base layer may be etched away. Portions 44 of masking layer 42 may remain on substrate 20 for use in inhibiting etching of portions of substrate 20. After etching of masking layer 42 is complete, substrate 20 may be etched using known etching processes. Portions of substrate 20 disposed under portions 44 of masking layer 42 may remain substantially unetched while the exposed portions of substrate 20 are etched. In this manner, a pattern corresponding to the pattern of template 12 may be transferred to substrate 20. The remaining portions 44 of masking layer 42 may be removed leaving a patterned substrate 20, depicted in FIG. 23F.
  • FIGS. 24A-24D illustrate an embodiment of an imprint lithography process using a transfer layer.
  • a transfer layer 18 may be formed upon an upper surface of substrate 20.
  • Transfer layer 18 may be formed from a material that has different etch characteristics than underlying substrate 20 and/or masking layer 42 formed from a curable liquid 40. That is, each layer (e.g., transfer layer 18, masking layer 42 and/or substrate 20) may be etched at least somewhat selectively with respect to the other layers.
  • Masking layer 42 is formed on the surface of transfer layer 18 by depositing a curable liquid on the surface of transfer layer 18 and curing masking layer 42, shown in FIGS. 23A-23C.
  • Masking layer 42 may be used as a mask for etching transfer layer 18.
  • Masking layer 42 is etched using an etch process until portions of transfer layer 18 are exposed through masking layer 42, as depicted in FIG. 24B.
  • Portions 44 of masking layer 42 remain on transfer layer .18 and may be used to inhibit etching of portions of transfer layer 18.
  • transfer layer 18 may be etched using known etching processes. Portions of transfer layer 18 disposed under portions 44 of masking layer 42 may remain substantially unetched while the exposed portions of transfer layer 18 are etched. In this manner, the pattern of masking layer 42 is replicated in transfer layer 18.
  • portions 44 and etched portions of transfer layer 18 together form a masking stack 46 that may be used to inhibit etching of portions 44 of underlying substrate 20.
  • Etching of substrate 20 may be performed using a known etch process (e.g., a plasma etching process, a reactive ion etching process, etc.) .
  • a known etch process e.g., a plasma etching process, a reactive ion etching process, etc.
  • masking stack 46 may inhibit etching of the underlying portions of substrate 20. Etching of the exposed portions of substrate 20 may be continued until a predetermined depth is reached.
  • FIGS. 23A-23F and FIGS. 24A-24D are examples of negative imprint lithography processes.
  • a "negative imprint lithography" process generally refers to a process in which the curable liquid is substantially conformed to the shape of the template before curing. That is, a negative image of the template is formed in the cured liquid.
  • the non- recessed portions of the template become the recessed portions of the mask layer.
  • the template therefore, is designed to have a pattern that represents a negative image of the pattern to be imparted to the mask layer.
  • a "positive imprint lithography" process generally refers to a process in which the pattern formed in the mask layer is a mirror image of the pattern of the template.
  • the non-recessed portions of the template become the non-recessed portions of the mask layer.
  • FIGS. 25A-25D A typical positive imprint lithography process is shown in FIGS. 25A-25D. As depicted in FIG.
  • template 12 is positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20.
  • Surface of template 12 may be treated with a thin surface treatment layer 13 that lowers template 12 surface energy and assists in separation of template 12 from cured masking layer 42.
  • Curable liquid 40 is disposed on the surface of substrate 20. Template 12 is brought into contact with curable liquid 40. As depicted in FIG. 25B, curable liquid 40 fills the gap between the lower surface of template 12 and substrate 20. In contrast to a negative imprint lithography process, curable liquid 40 is substantially absent from regions of substrate 20- approximately below at least a portion of the recesses of template 12.
  • curable liquid 40 is maintained as a discontinuous film on substrate 20 that is defined by the location of at least a portion of the recesses of template 12.
  • curable liquid 40 is cured to form a masking layer 42 on substrate 20.
  • Template 12 is removed from masking layer 42, leaving cured masking layer 42 on the surface of substrate 20, as depicted in FIG. 25C.
  • Masking layer 42 has a pattern that is complementary to the pattern of template 12.
  • Masking layer 42 may be used to inhibit etching of portions of substrate 20. After formation of masking layer 42 is complete, substrate 20 may be etched using known etching processes. Portions of substrate 20 disposed under masking layer 42 may remain substantially unetched while the exposed portions of substrate 20 are etched, as depicted in FIG. 25D. In this manner, the pattern of template 12 may be replicated in substrate 20. The remaining portions 44 of masking layer 42 may be removed to create patterned substrate 20.
  • FIGS. 26A-26C illustrate an embodiment of a positive imprint lithography process using a transfer layer 18.
  • Transfer layer 18 may be formed upon an upper surface of a substrate 20.
  • Transfer layer 18 is formed from a material that has different etch characteristics than the underlying transfer layer 18 and/or substrate 20.
  • Masking layer 42 is formed on the surface of transfer layer 18 by depositing a curable liquid on the surface of transfer layer 18 and curing the masking layer 42, shown in FIGS. 25A-25C.
  • Masking layer 42 may be used as a mask for etching transfer layer 18. Masking layer 42 may inhibit etching of portions of transfer layer 18. Transfer layer 18 may be etched using known etching processes. Portions of transfer layer 18, disposed under masking layer 42, may remain substantially unetched while the exposed portions of transfer layer 18 are etched. In this manner, the pattern of masking layer 42 may be replicated in transfer layer 18.
  • masking layer 42 and etched portions of transfer layer 18 together form masking stack 46 that may be used to inhibit etching of portions of the underlying substrate 20.
  • Etching of substrate 20 may be performed using known etching processes (e.g., a plasma etching process, a reactive ion etching process, etc.) .
  • the masking stack may inhibit etching of the underlying portions of substrate 20.
  • Etching of the exposed portions of substrate 20 may be continued until a predetermined depth is reached.
  • a process may combine positive and negative imprint lithography.
  • a template for a combined positive and negative imprint lithography process may include recesses suitable for positive lithography and recesses suitable for negative lithography.
  • FIG. 27A An embodiment of a template for combined positive and negative imprint lithography is depicted in FIG. 27A.
  • Template 12 as depicted in FIG. 27A, includes a lower surface 566, at least one first recess 562, and at least one second recess 564.
  • First recess 562 is configured to create a discontinuous portion of curable liquid 40 when template 12 contacts curable liquid 40.
  • a height of first recess (h 2 ) is substantially greater than a height of second recess
  • FIGS. 27A-27D A typical combined imprint lithography process is shown in FIGS. 27A-27D.
  • template 12 is positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20.
  • At least lower surface 566 of template 12 may be treated with a thin surface treatment layer (not shown) that lowers template 12 surface energy and assists in separation of template 12 from cured masking layer 42.
  • surfaces of first recesses 562 and/or second recesses 564 may be treated with the thin surface treatment layer.
  • Curable liquid 40 is disposed on the surface of substrate 20. Template 12 is brought into contact with curable liquid 40. As depicted in FIG. 27B, curable liquid 40 fills the gap between lower surface 566 of the template 12 and substrate 20. Curable liquid 40 also fills first recesses 562. However, curable liquid 40 is substantially absent from regions of substrate 20 approximately below second recesses 564. Thus, curable liquid 40 is maintained as a discontinuous film on substrate 20 that includes surface topography corresponding to the pattern formed by first recesses 562. After template 12 is properly positioned, curable liquid 40 is cured to form masking layer 42 on the substrate. Template 12 is removed from masking layer 42, leaving the cured masking layer 42 on the surface of substrate 20, as depicted in FIG.
  • Masking layer 42 may include a patterning region 568 that resembles a mask layer formed by negative imprint lithography. In addition, masking layer 42 may include a channel region 569 that does not include any masking material. [0147] In one embodiment, masking layer 42 is composed of a material that has the same or a similar etch rate as underlying substrate 20. An etch process is to be applied to masking layer 42 to remove masking layer 42 and substrate 20 at substantially the same etch rate. In this manner the multilayer pattern of template 12 may be transferred to substrate 20, as depicted in FIG. 27D. This process may also be performed using transfer layer 18 as described in other embodiments.
  • recesses 562 may have any shape desired, including one or more shoulders, one of which is shown in Fig. 27E as shoulder 563a of recess 563. Additionally, a recess may be provided with a shape so that shoulders 565a, shown in recess 565 define a height h and an additional portion 565b of recess 565 has a greater height h 2 , shown in Fig. 27F. In this manner, curable liquid 40 is disposed in superimposition with the portions of template having recesses therein that are no greater than height hi and is absent with respect to portions of template 12 having a height h 2 , for the reason discussed above.
  • a combination of positive and negative lithography is also useful for patterning multiple regions of template 12.
  • substrate 20 may include a plurality of regions that require patterning.
  • template 12 with multiple depth recesses includes two patterning regions 568 with an intervening channel/border region 569.
  • Channel region 569 inhibits flow of a liquid beyond the patterning area of template 12.
  • a "step and repeat" process refers to using a template smaller than the substrate to form a plurality of patterned regions on the substrate.
  • a step and repeat imprint process includes depositing a light curable liquid on a portion of a substrate, aligning a pattern in the cured liquid to previous patterns on the substrate, impressing a template into the liquid, curing the liquid, and separating the template from the cured liquid. Separating the template from the substrate may leave an image of the topography of the template in the cured liquid. Since the template is smaller than the total surface area of the subst-cate, only a portion of the substrate includes the patterned cured liquid.
  • the "repeat" portion of the process includes depositing a light curable liquid on a different portion of the substrate. A patterned template is then aligned with the substrate and contacted with the curable liquid.
  • the curable liquid is cured using activating light to form a second area of cured liquid. This process may be continually repeated until most of the substrate is patterned. Step and repeat processes may be used with positive, negative, or positive/negative imprint processes. Step and repeat processes may be performed with any embodiments of equipment described herein.
  • Step and repeat imprint lithography processes offer a number of advantages over other techniques. Step and repeat processes described herein are based on imprint lithography that uses low viscosity light curable liquids and rigid, transparent templates. The templates are transparent to liquid activating light and alignment mark detection light thus offering the potential for layer-to-layer alignment. For production-scale imprint lithography of multi-level devices, it is advantageous to possess very high-resolution layer-to-layer alignment (e.g., as low as l/3 rd of the minimum feature size (“MFS”) ) .
  • MFS minimum feature size
  • Step and repeat processes are used so that only a portion of a substrate is processed during a given step.
  • the size of the field processed during each step should be small enough to possess pattern distortions of less than l/3 rd the MFS. This necessitates step and repeat patterning in high- resolution imprint lithography. This is also the reason why most optical lithography tools are step and repeat systems. Also, as discussed before, a need for low CD variations and defect inspection/repair favors processing of small fields.
  • lithography is one of many unit processes used in the production of devices. The cost of all these processes, particularly in multi-layer devices, makes it highly desirable to place patterned regions as close as possible to each other without interfering with subsequent patterns. This effectively maximizes the usable area and hence the usage of the substrate.
  • imprint lithography may be used in a "mix-and-match" mode with other kinds of lithography, such as optical lithography, wherein different levels of the same device are made from different lithography technologies. It is advantageous to make the imprint lithography process compatible with other lithography techniques.
  • a kerf/border region separates two adjacent fields on a substrate. In state-of-the-art optical lithography tools this border region may be as small as 50-100 microns. The size of the border is typically limited by the size of the blades used to separate the patterned regions. This small border region is expected to get smaller as the blades that dice the individual chips get thinner.
  • the location of any excess liquid that is expelled from the patterned area should be well confined and repeatable.
  • the individual components including the template, substrate, liquid and any other materials that affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals' forces, viscosity, density, opacity, etc., are engineered as described herein to properly accommodate a repeatable process .
  • FIGS. 19A-19C depict a cross-sectional view of a process wherein discontinuous films are formed on a surface.
  • a curable liquid 40 is dispensed onto a substrate 20 as a pattern of lines or droplets, as depicted in FIG. 19A.
  • Curable liquid 40 therefore, does not cover an entire area of substrate 20 to be imprinted.
  • the force of template 12 on curable liquid 40 causes curable liquid 40 to spread over the surface of substrate 20, as depicted in FIG. 19B.
  • the more force that is applied by template 12 to curable liquid 40 the further curable liquid 40 will spread over the substrate.
  • curable liquid 40 may be forced beyond a perimeter of template 12, as depicted in FIG. 19C.
  • curable liquid 40 is confined within the predetermined borders of template 12, as depicted in FIG. 19D.
  • the amount of force applied to curable liquid 40 is related to the amount of liquid dispensed on substrate 20 and the distance template 12 is from substrate 20 during curing.
  • the amount of fluid dispensed onto the substrate should be less than or equal to a volume defined by: the volume of liquid required to substantially fill the recesses of the patterned template, the area of the substrate to be patterned, and the desired thickness of the cured layer. If the amount of cured liquid exceeds this volume, the liquid will be "displaced from the perimeter of the template when the template is brought to the appropriate distance from the substrate.
  • the amount of liquid dispensed onto the substrate should be less than the volume defined by: the desired thickness of the cured layer (i.e., the distance between the non- recessed portions of the template and the substrate) and the surface area of the portion of the substrate to be patterned.
  • the distance between the non-recessed surface of the template and the substrate is set between the minimum film thickness and the maximum film thickness, as previously described. Setting the height between these values allows the appropriate capillary forces to contain the liquid within the border-defined areas of the template. Additionally, the thickness of the layer should be approximately comparable to the height of the patterned features. If the cured layer is too thick, the features formed in the cured layer may be eroded before the features can be transferred to the underlying substrate. It is therefore desirable to control the volume as described above to allow the appropriate film thickness to be used.
  • the force applied by template 12 to curable liquid 40 is also influenced by the rate at which template 12 is brought into contact with curable liquid 40. Generally, the faster template 12 is brought into contact, the more force is applied to curable liquid 40. Thus, some measure of control of the spread of curable liquid 40 on the surface of substrate 20 may be achieved by controlling the rate at which template 12 is brought into contact with curable liquid 40. [0161] All of these features are considered when positioning template 12 with respect to substrate 20 for an imprint lithography process. By controlling these variables in a predetermined manner, the flow of curable liquid 40 may be controlled to stay confined within a predetermined area.
  • Overlay alignment schemes include measurement of alignment errors followed by compensation of these errors to achieve accurate alignment of a patterned template and a desired imprint location on a substrate. Correct placement of the template with respect to the substrate is important for achieving proper alignment of the patterned layer with any previously formed layers on the substrate. To that end, it is desirable to achieve proper alignment after the template is brought into contact with the liquid, referred to as in-liquid align. It is desirable, however, to attenuate resistance between the template and the liquid material so as to avoid shearing forces in the liquid which may distort any pattern recorded therein. Thus, in addition to contacting the liquid with the template appropriately to reduce the probability that liquid moves beyond the patterning area, the minimum distance between the template and the substrate must be selected to allowing in-liquid align.
  • Placement error generally refers to X-Y positioning errors between a template and substrate (that is, translation along the X- and/or Y- axis) . Placement errors, in one embodiment, are determined and corrected for by using a through the template optical device, as depicted in FIG. 14. [0163] FIG.
  • Optical system 3820 is configured to focus two alignment marks from different planes onto a single focal plane.
  • Optical system 3820 may use the change of focal length resulting from light with distinct wavelengths to determine the alignment of the template with an underlying substrate.
  • Optical system 3820 may include optical imaging device 3810, an illumination source (not shown), and a focusing device 3805.
  • Light with distinct wavelengths may be generated either by using individual light sources or by using a single broad band light source and inserting optical band-pass filters between the imaging plane and the alignment marks.
  • different wavelengths are selected to adjust the focal lengths.
  • each overlay mark may produce two images on the imaging plane, as depicted in FIG. 29.
  • a first image 2601 using a specific wavelength of light, is a clearly focused image.
  • a second image 2602 using the same wavelength of light, is an out-of-focus image.
  • several methods may be used.
  • a first method under illumination with a first wavelength of light, two images may be received by optical imaging device 3810. Images are depicted in FIG. 29 and generally referenced by numeral 2604. While images are depicted as squares, it should be understood that any other shape may be used, including crosses.
  • Image 2602 corresponds to an overlay alignment mark on the substrate.
  • Image 2601 corresponds to an overlay alignment mark on the template.
  • image 2601 is out-of-focus .
  • an image processing technique may be used to erase geometric data corresponding to pixels associated with image 2602.
  • the out-of-focus image of the substrate mark may be eliminated, leaving only image 2601.
  • images 2605 and 2606 may be formed on optical imaging device 3810.
  • the out-of-focus image 2606 is then eliminated, leaving only image 2605.
  • the two remaining focused images 2601 and 2605 are then combined onto a single imaging plane 2603 for making overlay error measurements .
  • a second method may utilize two coplanar polarizing arrays, as depicted in FIG. 30, and polarized illumination sources.
  • FIG. 30 illustrates overlay marks 2701 and orthogonally polarizing arrays 2702.
  • Polarizing arrays 2702 are formed on the template surface or placed above the surface.
  • only focused images 2703 (each corresponding to a distinct wavelength and polarization) may appear on the imaging plane.
  • out-of-focus images are filtered out by polarizing arrays 2702.
  • An advantage of this method may be that it may not require an image processing technique to eliminate out-focused images.
  • Moire pattern based overlay measurement has been used for optical lithography processes.- For imprint lithography processes, where two layers of Moire patterns are not on the same plane but still overlapped in the imaging array, acquiring two individual focused images may be difficult to achieve. However, carefully controlling the gap between the template and substrate within the depth of focus of the optical measurement tool and without direct contact between the template and substrate may allow two layers of Moire patterns to be simultaneously acquired with minimal focusing problems. It is believed that other standard overlay schemes based on the Moire patterns may be directly implemented to imprint lithography process.
  • the template overlay marks are not opaque lines. Rather, the template overlay marks are topographical features of the template surface. In some embodiment, the marks are made of the same material as the template .
  • UV curable liquids may have a refractive index that is similar to the refractive index of the template materials (e.g., quartz). Therefore, when the UV curable liquid fills the gap between the template and the substrate, template overlay marks may become very difficult to recognize. If the template overlay marks are made with an opaque material (e.g., chromium) , the UV curable liquid below the overlay marks may not be properly exposed to the UV light.
  • overlay marks are used on the template that are seen by optical imaging system 3800 but are opaque to the curing light (e.g., UV light) .
  • An embodiment of this approach is illustrated in FIG. 31.
  • overlay marks 3102 on the template may be formed of fine polarizing lines 3101.
  • suitable fine polarizing lines have a width about % to % A of the wavelength of activating light used as the curing agent.
  • the line width of polarizing lines 3101 should be small enough so that activating light passing between two lines is diffracted sufficiently to cause curing of all the liquid below the lines.
  • the activating light may be polarized according to the polarization of overlay marks 3102.
  • Polarizing the activating light provides a relatively uniform exposure to all the template regions including regions having overlay marks 3102.
  • Light used to locate overlay marks 3102 on the template may be broadband light or a specific wavelength that may not cure the liquid material. This light need not be polarized.
  • Polarizing lines 3101 are substantially opaque to the measuring light, thus making overlay marks 3102 visible using established overlay error measuring tools. Fine polarized overlay marks are fabricated on the template using existing techniques, such as electron beam lithography.
  • overlay marks 3102 are formed of a different material than the template.
  • a material selected to form the template overlay marks 3102 may be substantially opaque to visible light, but transparent to activating light used as the curing agent (e.g., UV light) .
  • the curing agent e.g., UV light
  • SiOx where X is less than 2 may be used as such a material .
  • structures formed of SiOx, where X is about 1.5, are substantially opaque to visible light, but transparent to UV curing light.
  • a liquid is dispensed onto a substrate. While the following description is directed to dispensing liquids on substrate, it should be understood that the same liquid dispensing techniques are also used when dispensing liquids onto a template.
  • Liquid dispensing is a carefully controlled process. In general, liquid dispensing is controlled such that a predetermined amount of liquid is dispensed in the proper location on the substrate. Additionally, the volume of liquid is also controlled. The combination of the proper volume of liquid and the proper location of the liquid is controlled by using the liquid dispensing systems described herein. Step and repeat processes, in particular, use a combination of liquid volume control and liquid placement to confine patterning to a specified field.
  • Patterns may be in the form of continuous lines or patterns of droplets of liquid.
  • relative motion between a displacement based liquid dispenser tip and an imprinting member is used to form a pattern with substantially continuous lines on a portion of the imprinting member. Balancing rates of dispensing and relative motion is used to control the size of the cross-section of the line and the shape of the line.
  • the dispenser tips are fixed near (e.g., on the order of tens of microns) to the substrate .
  • FIGS. 32A and 32B Two examples of continuous patterns are depicted in FIGS. 32A and 32B. The pattern depicted in FIGS.
  • a sinusoidal pattern is a sinusoidal pattern; however, other patterns are possible.
  • a continuous line pattern may be drawn using either a single dispenser tip 2401 or multiple dispenser tips 2402.
  • a pattern of droplets may be used, as depicted in FIG. 32C.
  • a pattern of droplets that has a central droplet that has a greater volume than surrounding droplets is used.
  • the liquid spreads to fill the patterning area of the template, as depicted in the FIG. 32C.
  • the width of the initial line pattern may normally depend on the tip size of a dispenser.
  • the dispenser tip may be fixed.
  • a liquid dispensing controller is used to control the volume of liquid dispensed (Vd) and the time taken to dispense the liquid (td) . If Vd and td are fixed, increasing the length of the line leads to lower height of the cross- section of the line patterned. Increasing pattern length may be achieved by increasing the spatial frequency of the periodic patterns. Lower height of the pattern may lead to a decrease in the amount of liquid to be displaced during imprint processes.
  • line patterns with long lengths may be formed faster as compared to the case of a single dispenser tip.
  • a plurality of closely spaced drops is used to form a line with an accurate volume .
  • the template is separated from the cured liquid. Since the template and substrate are almost perfectly parallel, the assembly of the template, imprinted layer, and substrate leads to a substantially uniform contact between the template and the cured liquid. Such a system may require a large separation force to separate the template from the cured liquid.
  • the separation in one embodiment, is performed using a "peeling process.”
  • a flexible template or substrate may be undesirable for high-resolution overlay alignment.
  • a peeling process may be difficult to implement .
  • a "peel and pull" process is performed to separate the template from an imprinted layer. An embodiment of a peel and pull process is illustrated in FIGS. 33A-33C.
  • FIG. 33A depicts template 12 embedded in curable liquid 40 after curing.
  • either the template 12 or substrate 20 may be tilted to intentionally induce an angle 3604 between template 12 and substrate 20, as depicted in FIG. 33B.
  • a pre-calibration stage either coupled to template 12 or substrate 20 may be used to induce a tilt between template 12 and curable liquid 40.
  • the relative lateral motion between template 12 and substrate 20 may be insignificant during the tilting motion if the tilting axis is located close to template 12 -substrate 20 interface.
  • angle 3604 between template 12 and substrate 20 is large enough, template 12 may be separated from the substrate 20 using only Z-axis motion
  • This peel and pull method may result in desired portions 44 being left intact on a transfer layer 18 and substrate 20 without undesirable shearing.
  • embodiments described herein include forming patterned structures by using electric fields. Cured layers formed using electric fields to induce a pattern in the cured layer may be used for single imprinting or step and repeat processes .
  • FIG. 34 depicts an embodiment of template 1200 and substrate 1202.
  • Template 1200 in one embodiment, is formed from a material that is transparent to activating light to allow curing of the polymerizable composition/activating-light-curable-liquid by exposure to activating light. Forming template 1200 from a transparent material also allows the use of established optical techniques to measure the gap between template 1200 and substrate 1202 and to measure overlay marks to perform overlay alignment and magnification correction during formation of the structures. Template 1200 is also thermally and mechanically stable to provide nano- resolution patterning capability. Template 1200 includes an electrically conducting material and/or layer 1204 to allow electric fields to be generated at template- substrate interface.
  • a blank of fused silica e.g., quartz
  • ITO Indium tin oxide
  • ITO is deposited onto base 1206.
  • ITO is transparent to visible and UV light and is a conducting material .
  • ITO may be patterned using high-resolution electron beam lithography.
  • a low-surface energy coating as previously described, may be coated onto template 1200 to improve the release characteristics between template 1200 and the activating light curable liquid.
  • Substrate 1202 may include standard wafer materials, such as Si, GaAs, SiGeC and InP.
  • a UV curable liquid and/or a thermally curable liquid may be used as polymerizable composition 1208.
  • polymerizable composition 1208 may be spin coated onto the wafer 1210.
  • a predetermined volume of polymerizable composition 1208 may be dispensed onto substrate 1202 in a predetermined pattern, as described herein.
  • transfer layer 1212 may be placed between wafer 1210 and polymerizable composition 1208. Transfer layer 1212 material properties and thickness may be chosen to allow for the creation of high-aspect ratio structures from low-aspect ratio structures created in the cured liquid material.
  • Connecting ITO to a voltage source 1214 may generate an electric field between template 1200 and substrate 1202. [0179] In FIGS. 35A-35D and FIGS. 36A-36C, two embodiments of the above-described process are illustrated.
  • a desired uniform gap may be maintained between template 1200 and substrate 1202.
  • An electric field of the desired magnitude may be applied resulting in the attraction of polymerizable composition 1208 towards the raised portions 1216 of template 1200.
  • the gap and field magnitudes are such that polymerizable composition 1208 makes direct contact and adheres to template 1200.
  • a curing agent e.g., activating light .1218 and/or heat
  • template 1200 may be separated from substrate 1202 by methods described herein.
  • template 1200 may be "adjustable".
  • template 1200 would include non-conductive material that insulates the differing conductive portions from each other.
  • Non- conductive material 1704 may be formed from, for example, silicon dioxide.
  • Conductive portions would form a pattern complementary to a pattern to be produced on a masking layer. The pattern of conductive portions is formed in non-conducting material using methods known to those skilled in the art. Conductive portions are electrically connected to voltage source 1214, either independently or together.
  • the conductive portions are independently connected to voltage source 1214, there may be a control device to independently adjust the electric field generated by one or more of the conductive portions.
  • electrical connectors may run through non-conductive material from another side to connect to the conductive portions.
  • the conductive portions may extend through the non-conductive material such that electrical connectors are not required.
  • the gap and field magnitudes may be chosen such that polymerizable composition 1208 achieves a topography that is essentially the same as that of template 1200. This topography may be achieved without making direct contact with template 1200.
  • a curing agent e.g. activating light 1218
  • a subsequent etch process may be used to remove the cured material 1220.
  • a further etch may also be used if transfer layer 1212 is present between cured material 1220 and wafer 1210, shown in FIGS. 35A-35D and FIGS. 36A-36C.
  • FIG. 37A depicts an electrically conductive template that includes a continuous layer of electrically conductive portions 1504 coupled to a non-conductive bases 1502. As shown in FIG. 37B the non-conductive bases 1502 of the template are isolated from each other by the conductive portions 1504.
  • the template may be used in a "positive" imprint process as described above .
  • Use of electric fields may allow lithographic patterned structures to be formed quickly (in a time of less than about 1 second) .
  • the structures generally have sizes of tens of nanometers.
  • curing an activating light curable liquid in the presence of electric fields creates a patterned layer on a substrate.
  • the pattern is created by placing a template with specific nanometer- scale topography at a controlled distance (e.g., within nanometers) from the surface of a thin layer of the curable liquid on a substrate. If all or a portion of the desired structures are regularly repeating patterns (such as an array of dots) , the pattern on the template may be considerably larger than the size of the desired repeating structures.
  • the replication of the pattern on the template may be achieved by applying an electric field between the template and the substrate. Because the liquid and air (or vacuum) have different dielectric constants and the electric field varies locally due to the presence of the topography of the template, an electrostatic force may be generated that attracts regions of the liquid toward the template. Surface tension or capillary pressures tend to stabilize the film. At high electric field strengths, the activating light curable liquid may be made to attach to the template and de-wet from the substrate at certain points. However, the attachment of the liquid film will occur provided the ratio of electrostatic forces is comparable to the capillary forces, which are measured by the dimensionless number ⁇ .
  • the magnitude of the electrostatic force is approximately eE 2 cf, where e is the permittivity of vacuum, E is the magnitude of the electric field, and d is the feature size.
  • the magnitude of the capillary forces is approximately yd, where y is the liquid-gas surface tension.
  • the electric field In order to deform the interface and cause it to attach to the upper surface, the electric field must be such that L is approximately unity. The precise value depends on the details of the topography of the plates and the ratio of liquid-gas permittivities and heights, but this number will be 0 (1) .
  • the electric field is approximately given by E ⁇ (y/e d) y ⁇
  • This activating light curable liquid may be hardened in place by polymerization of the composition.
  • the template may be treated with a low energy self-assembled monolayer film (e.g., a fluorinated surfactant) to aid in detachment of the template the polymerized composition.
  • a low energy self-assembled monolayer film e.g., a fluorinated surfactant
  • the activating light curable liquid may be deposited on top of a transfer layer as previously described.
  • a bi-layer process allows low aspect ratio, high-resolution structures formed using electrical fields to be followed by an etch process to yield high- aspect ratio, high-resolution structures.
  • Such a bi- layer process may also be used to perform a "metal liftoff process" to dep6sit a metal on the substrate such that the metal is left behind after lift-off in the trench areas of the originally created structures .
  • pattern formation using electric fields may be fast (e.g., less than about 1 sec), and the structure may be rapidly cured.
  • Avoiding temperature variations in the substrate and the activating light curable liquid may also avoid undesirable pattern distortion that makes nano-resolution layer-to-layer alignment impractical.
  • it is possible to quickly form a pattern without contact with the template thus eliminating defects associated with imprint methods that require direct contact .

Abstract

Processes of patterning substrates by imprint lithography using an apparatus (3900) including an imprint head (3100), an activating light source (3500), a motion stage (3600), a patterned template (3700), an imprint head support (3910), a motion stage support (3920), bridging supports (3930) and a support table (3940).

Description

IMPRINT LITHOGRAPHY PROCESSES AND SYSTEMS
BACKGROUND OF THE INVENTION [0001] Embodiments presented herein relate to methods and systems for imprint lithography. More particularly, embodiments relate to methods and systems for micro- and nano-imprint lithography processes.
[0002] Optical lithography techniques are currently used to make most microelectronic devices. However, it is believed that these methods are reaching their limits in resolution. Therefore, there is a need to provide improved lithography techniques.
SUMMARY OF THE INVENTION [0003] A method of forming a pattern on a substrate, employing a template, the method comprising: positioning the template and the substrate in a spaced relationship to each other so that a gap is created between the template and the substrate; filling the gap with light activating light curable liquid substantially; and solidifying the light activating light curable liquid. In an embodiment, the template is patterned and includes a first surface and a plurality of recesses formed in the template extending from the first surface toward an opposing second surface. The recesses define a plurality of features in the first surface of the patterned template. A predetermined amount of activating light curable liquid is applied to a portion of the substrate. Activating light curable liquid is a low viscosity liquid having a viscosity of less than about 30 centipoise. The patterned template and the substrate are positioned in a spaced relationship to each other so that a gap is created between them. The template is positioned such that the curable liquid substantially fills the gap between the non-recessed portions of the template and the substrate. The position of the template is such that the curable liquid is substantially absent from regions of the substrate approximately below the recesses of the template. Activating light is applied to the curable liquid to form a patterned layer on the substrate. [0004] In accordance with another method forming a pattern on a substrate with a template having a patterning area, the method comprises: placing the patterning area opposite a region of the substrate, defining a gap therebetween; positioning an activating light curable liquid between the substrate and the template; filling the gap with the activating light curable liquid by contacting the activating light curable liquid with both the template and the substrate, while confining the activating light curable liquid within the gap; and forming, from the activating light curable liquid, a solidified material. The method may then be repeated on a second portion of the substrate. In this manner the substrate is imprinted in multiple "steps" rather in a single step. Imprint lithography process are enhanced by the use of low viscosity liquids. In one embodiment, the viscosity of the activating light curable liquid is less than about 30 centipoise. Control of the liquid within the perimeter of the template may be accomplished by a number of methods. In one embodiment, the distance between the template and the substrate is set such that the force applied by the template on the liquid does not force it beyond the border of the template. A template includes a plurality of recesses that define a plurality of features on the template. Liquid confinement is also controlled by forming one or more borders etched into the perimeter of the template. These borders have a depth that is substantially greater than the depth of the recessed portions of the template. [0005] In another embodiment, a planarization layer is formed by curing a curable liquid disposed on a substrate in the presence of a template that includes a planarization area. In an embodiment , a predetermined amount of an activating light curable liquid is applied to a first region of the substrate. A planarization template contacts the activating light curable liquid and activating light is applied to solidify the activating light curable liquid. In this manner, a planar layer is formed in the first region of the substrate. The process is then repeated on additional regions the substrate. In this manner the substrate is planarized in multiple "steps" rather in a single step.
[0006] A system for forming a pattern on a substrate- comprises: a body supporting the substrate; a template coupled to the body and having a patterned region; a displacement system, coupled to the body, to provide relative movement between the substrate and the template and place the template in superimposition with a portion of the substrate, defining a patterning portion; a liquid dispenser coupled to dispense an activating light curable liquid onto a sub-portion of the patterning portion, with said displacement system coupled to selectively place the activating light curable liquid in contact with the template by reducing a distance between the substrate and the template, a source to impinge light upon the patterning portion, with the light being selected to solidify the activating light curable liquid; and a force detector coupled to the imprint head to generate information indicating a force applied to the template by contact between the template and the activating light curable liquid, with the displacement system establishing a rate at which the distance changes in accordance with the information to minimize a quantity of the activating light curable liquid extending to areas of the substrate outside of the patterning portion. With this configuration, the system establishes and maintains a relative positional relation between a template and the substrate to be parallel by facilitating relative rotational movement between the template and the substrate while minimizing translational displacement therebetween. Also included with the system are a motion stage, an imprint head and a liquid dispenser and a source of light. The motion stage is coupled to the body to provide relative movement between the substrate and the template along first and second transverse axes. The imprint head is coupled to the body to provide relative movement between the template and the substrate along a third axis that extends transversely to the first and second axes. The liquid dispenser is coupled to the body to dispense an activating light curable liquid onto the substrate. The source is connected to impinge light upon the substrate, with the light being selected to solidify the activating light curable liquid. In one embodiment, a patterned layer is formed by curing a curable liquid disposed on a substrate in the presence of a patterned template. In an embodiment, a system for forming a patterned layer on a substrate includes an imprint head and a motion stage . The imprint head is configured to hold a patterned template. The imprint head also includes a fine orientation system. The fine orientation system allows motion of the patterned template with respect to a substrate to achieve a substantially parallel orientation of the patterned template. In one embodiment, the fine- orientation system is a passive system that allows the template to self-correct for non-planarity when the template contacts a liquid disposed on the substrate. The imprint head further includes a force detector. The force detector is coupled to the template and is configured to determine a resistive force applied to the template by the curable liquid disposed on the substrate. The substrate is coupled to a motion stage. The motion stage is configured to support the substrate and to move the substrate in a plane substantially parallel to the template. The imprint lithography system also includes a liquid dispenser. The liquid dispenser may be coupled to the imprint head or a portion of the system body. The liquid dispenser is configured to dispense an activating light curable liquid onto the substrate. The imprint lithography system also includes a light source optically coupled to the patterned template. The light source is configured to direct activating light through the patterned template and onto the curable liquid during use. Imprint lithography systems for forming features having a feature size below 100 nm are typically sensitive to changes in temperature. As the temperature of the system increases, the supports (i.e., components that support the template, substrate and other components of the imprint lithography system) may expand. Expansion of the supports may contribute to errors due to misalignment of the template with the substrate. In one embodiment, supports are formed from a material that has a low coefficient of thermal expansion (e.g., less than about 20 ppm/°C) . In addition, the imprint lithography- system may be placed in an enclosure . The enclosure is configured to inhibit temperature variations of greater than about 1 °C within the enclosure. In an alternate embodiment, an imprint lithography system includes an imprint head, a motion stage, a liquid dispenser, a force detector and an activating light source. In this embodiment, a fine orientation system is coupled to the motion stage instead of the imprint head. Thus, fine orientation is achieved by altering the orientation of the substrate until the portion of the substrate to be imprinted and the template are substantially parallel. In this embodiment, imprint head is coupled to a support in a fixed position, while motion stage is configured to move a substrate about an X- Y plane under the template. The other components of the imprint lithography system are substantially the same as described previously for other embodiments. In another embodiment, an imprint lithography system includes an imprint head, a motion stage, a substrate support, a liquid dispenser, a force detector and an activating light source. The imprint head is configured to hold a patterned template. The imprint head also includes a fine orientation system. In one embodiment, the fine orientation system is a passive system that allows the template to self-correct for non- planarity when the template contacts a liquid disposed on the substrate. The imprint head is coupled to a motion stage. The motion stage is configured to move the imprint head in a plane substantially parallel to the substrate. The substrate is coupled to a substrate support. Substrate support is configured to hold the substrate in a fixed position during use. The other components of the imprint lithography system are substantially the same as described previously for other embodiments. In another embodiment, an imprint lithography system includes an imprint head, a motion stage, a substrate support, a liquid dispenser, a force detector and an activating light source. The imprint head is configured to hold a patterned template. The imprint head is coupled to a motion stage. The motion stage is configured to move the imprint head in a plane substantially parallel to the substrate. The substrate is coupled to a substrate support. Substrate support is configured to hold the substrate in a fixed position during use. Substrate support also includes a fine orientation system. The fine orientation system is configured to alter the orientation of the substrate until the portion of the substrate to be imprinted and the template are substantially parallel. The other components of the imprint lithography system are substantially the same as described previously for other embodiments. In some embodiments, a patterned template may be designed to allow improved liquid control. When a template is brought into contact with a liquid disposed on a substrate, the liquid will tend to expand to cover a larger area of the substrate than the liquid originally covered. In some processes it is advantageous that the liquid remains within an area defined by the template. Proper design of a template will, in some embodiments, inhibit flow of liquid substantially beyond a perimeter of the template. A patterned template includes a first surface and a plurality of recesses formed in one or more patterning areas of the template extending from the first surface toward an opposed second surface . The recesses define a plurality of features that are to be imprinted onto the substrate. The template also includes a border formed about the perimeter of the patterning areas . The border is formed as a recess that extends from the first surface toward the second surface . The depth of the border is substantially greater than the depth of the recesses that define the features of the template . Patterned templates that include borders may be used in any of the herein described systems. During use, the template is brought i-nto contact with a curable liquid disposed on the surface of the substrate. The force applied to the substrate by the template may cause the substrate to tilt, particularly when the template is positioned near an edge of the substrate. In one embodiment, the substrate is coupled to a substrate support that includes a substrate tilt module. [0007] The substrate tilt module is configured to calibrate the tilt of the substrate surface during use. Additionally, the substrate tilt module is configured to inhibit tilt of the substrate due to compliance of the tilt module when pressure is exerted on the substrate. The substrate tilt module may be incorporated into either a motion stage that allows motion of the substrate during use or a fixed substrate support .
[0008] Another embodiment includes a method of preparing patterned structures on a substrate, with the comprising: applying an activating light curable liquid to a surface of the substrate; positioning a template proximate to the activating light curable liquid, wherein the template comprises: a non-conductive layer; an electrically conductive layer adjacent the non-conductive layer and substantially between the non-conductive layer and the substrate, wherein the electrically conductive layer forms a contiguous pattern of structures complementary to the patterned structures to be produced on the substrate; applying an electric field between the template and the substrate by passing a current through the electrically conductive layer, wherein the applied electric field creates an electric static force that attracts a portion of the activating light curable liquid toward the electrically conductive layer of the template. The electrically conductive material is placed on non conductive material such that the electrically conductive material is positioned between the non-conductive material and the template during use. The electrically conductive material includes a plurality of recesses that define a plurality of features to be imprinted by the template. Both the electrically conductive material and the non-conductive material may be substantially transparent to light. In one embodiment, the template may be formed of indium tin oxide and fused silica. At least a portion of the structures may have a feature size of less than about 100 nm. An electric field may be applied between the template and the substrate. The application of the electric field may create a static force that attracts at least a portion of the curable liquid toward the template. The portions of the curable liquid that are attracted to the template are complementary to the pattern of structures imprinted on the template. In one embodiment, the portions of the curable liquid that are attracted to the template come into contact with the template, while the remaining portions do not contact the template. Alternatively, neither the attracted portions nor the remaining portions of the curable liquid come into contact with the template. The attracted portions, however, extend toward the template while the un-attracted portions do not extend to the same extent that the attracted portions extend toward the template. The curable liquid is cured by the application of activating light. After the curable liquid is cured, the structures may be further defined by etching the cured liquid. Etching may improve the aspect ration of the structures. Any of the commonly used etching techniques may be used, including reactive ion etching. These and other embodiments are more fully described herein.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] FIG. 1 depicts an embodiment of a system for imprint lithography;
[0010] FIG. 2 depicts an imprint lithography system enclosure;
[0011] FIG. 3 depicts an embodiment of an imprint lithography head coupled to an imprint lithography system;
[0012] FIG. 4 depicts a projection view of an imprint head;
[0013] FIG. 5 depicts an exploded view of an imprint head;
[0014] FIG. 6 depicts a projection view of a first flexure member;
[0015] FIG. 7 depicts a projection view of a second flexure member;
[0016] FIG. 8 depicts a projection view of first and second flexure members coupled together;
[0017] FIG. 9 depicts a projection view of a fine orientation system coupled to a pre-calibration system of an imprint head;
[0018] FIG. 10 depicts a cross-sectional view of a pre-calibration system;
[0019] FIG. 11 depicts a schematic diagram of a flexure system; [0020] FIG. 12 depicts a projection view of a motion stage and an imprint head of an imprint lithography system;
[0021] FIG. 13 depicts a schematic diagram of a liquid dispense system;
[0022] FIG. 14 depicts a projection view of an imprint head with a light source and camera optically coupled to the imprint head;
[0023] FIGS. 15 and 16 depict side views of an interface between a liquid droplet and a portion of a template;
[0024] FIG. 17 depicts a cross-sectional view of a first embodiment of template configured for liquid confinement at the perimeter of the template; [0025] FIG. 18 depicts a cross-sectional view of a second embodiment of template configured for liquid confinement at the perimeter of the template; [0026] FIGS. 19A-D depict cross-sectional views of a sequence of steps of a template contacting a liquid disposed on a substrate.
[0027] FIGS. 20A-B depict top and cross-sectional views, respectively, of a template having a plurality of patterning areas;
[0028] FIG. 21 depicts a projection view of a rigid template support system coupled to a pre-calibration system of an imprint head;
[0029] FIG. 22 depicts an imprint head coupled to an X-Y motion system;
[0030] FIGS. 23A-23F depict cross-sectional views of a negative imprint lithography process;
[0031] FIGS. 24A-24D depict cross-sectional views of a negative imprint lithography process with a transfer layer; [0032] FIGS. 25A-25D depict cross-sectional views of a positive imprint lithography process ,-
[0033] FIGS. 26A - 26C depict cross-sectional views of a positive imprint lithography process with a transfer layer;
[0034] FIGS. 27A - 27F depict cross-sectional views of a combined positive and negative imprint lithography process;
[0035] FIG. 28 depicts a schematic of an optical alignment measuring device positioned over a template and substrate;
[0036] FIG. 29 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks by sequentially viewing and refocusing;
[0037] FIG. 30 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks and polarized filters;
[0038] FIG. 31 depicts a top view of an alignment mark that is formed from polarizing lines;
[0039] FIGS. 32A-32C depict top views of patterns of curable liquid applied to a substrate;
[0040] FIGS. 33A-33C depict a scheme for removing a template from a substrate after curing;
[0041] FIG. 34 depicts an embodiment of a template positioned over a substrate for electric field based lithography;
[0042] FIGS. 35A-35D depict a first embodiment of a process for forming nanoscale structures using contact with a template;
[0043] FIGS. 36A-36C depict a first embodiment of a process for forming nanoscale structures without contacting a template; [0044] FIGS. 37A-37B depict a template that includes a continuous patterned conductive layer disposed on a non- conductive base;
[0045] FIG. 38 depicts a motion stage having a substrate tilt module;
[0046] FIG. 39 depicts a motion stage having a substrate tilt module;
[0047] FIG. 40 depicts a schematic drawing of a substrate support ; and
[0048] FIG. 41 depicts a schematic drawing of an imprint lithography system that includes an imprint head disposed below a substrate support .
DETAILED DESCRIPTION OF THE INVENTION [0049] Embodiments presented herein generally relate to systems, devices, and related processes of manufacturing small devices. More specifically, embodiments presented herein relate to systems, devices, and related processes of imprint lithography. For example, these embodiments may be used for imprinting sub-100 nm features on a substrate, such as a semiconductor wafer. It should be understood that these embodiments may also be used to manufacture other kinds of devices including, but not limited to: patterned magnetic media for data storage, micro-optical devices, micro-electro-mechanical system, biological testing devices, chemical testing and reaction devices, and X-ray optical devices.
[0050] Imprint lithography processes have demonstrated the ability to replicate high-resolution (e.g., sub-50 nm) images on substrates using templates that contain images as topography on their surfaces . Imprint lithography may be used in patterning substrates in the manufacture of microelectronic devices, optical devices, MEMS, opto-electronics, patterned magnetic media for storage applications, etc. Imprint lithography techniques may be superior to optical lithography for making three-dimensional structures, such as micro lenses and T-gate structures. Components of an imprint lithography system, including the template, substrate, liquid and any other materials that may affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals ' forces, viscosity, density, opacity, etc., are engineered to properly accommodate a repeatable process.
[0051] Methods and systems for imprint lithography are discussed in U.S. Patent No. 6,334,960 to Willson et al . entitled "Step and Flash Imprint Lithography" which is incorporated herein by reference. Additional methods and systems for imprint lithography are further discussed in U.S. Patent Applications: U.S. Serial No. 09/908,455 filed July 17, 2001 entitled "Method and System of Automatic Fluid Dispensing for Imprint Lithography Processes"; U.S. Serial No. 09/907,512 filed July 16, 2001 entitled "High-Resolution Overlay Alignment Methods and Systems for Imprint Lithography"; U.S. Serial No. 09/920,341 filed August 1, 2001 entitled "Methods for High-Precision Gap Orientation Sensing Between a Transparent Template and Substrate for Imprint Lithography"; U.S. Serial No. 09/934,248 filed August 21, 2001 entitled "Flexure Based Macro Motion Translation Stage"; U.S. Serial No. 09/698,317 filed October 27, 2000 entitled "High-Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes"; U.S. Serial No. 09/976,681 filed October 12, 2001 entitled "Template Design for Room Temperature, Low Pressure Micro- and Nano-Imprint Lithography"; U.S. Serial No. 10/136,188 filed May 1, 2002 entitled "Methods of Manufacturing a Lithography Template" to Voison; and U.S. Patent application entitled "Method and System for Fabricating Nanoscale Patterns in Light Curable Compositions Using an Electric Field" to Willson et al . filed May 16, 2001, all of which are incorporated herein by reference. Further methods and systems are discussed in the following publications, all of which are incorporated herein by reference, "Design of Orientation Stages for Step and Flash Imprint Lithography," B. J. Choi, S. Johnson, M. Colburn, S.V. Sreenivasan, C. G. Willson, to appear in J. of Precision Engineering; "Large Area High Density Quantized Magnetic Disks Fabricated Using Nanoimprint Lithography," W. Wu, B. Cui, X. Y. Sun, W. Zhang, L. Zhunag, and S. Y. Chou, J. Vac Sci Technol B
16 (6), 3825-3829, Nov-Dec 1998; "Lithographically- Induced Self-Assembly of Periodic Polymer Micropillar Arrays," S. Y. Chou, L. Zhuang, J. Vac. Sci. Technol. B
17 (6) , 3197-3202, 1999; and "Large Area Domain Alignment in Block Copolymer Thin Films Using Electric Fields," P. Mansky, J. DeRouchey, J. Mays, M. Pitsikalis, T. Morkved, H. Jaeger and T. Russell, Macromolecules 13, 4399 (1998).
[0052] FIG. 1 depicts an embodiment of a system for imprint lithography 3900. Imprint lithography system 3900 includes an imprint head 3100. Imprint head 3100 is mounted to an imprint head support 3910. Imprint head 3100 is configured to hold a patterned template 3700. Patterned template 3700 includes a plurality of recesses that define a pattern of features to be imprinted into a substrate. Imprint head 3100 or motion stage 3600 is further configured to move patterned template 3700 toward and away from a substrate to be imprinted during use . Imprint lithography system 3900 also includes a motion stage 3600. Motion stage 3600 is mounted to motion stage support 3920. Motion stage 3600 is configured to hold a substrate and move the substrate in a generally planar motion about motion stage support 3920. Imprint lithography system 3900 further includes an activating light source 3500 coupled to imprint head 3100. Activating light source 3500 is configured to produce a curing light and direct the produced curing light through patterned template 3700 coupled to imprint head 3100. Curing light includes light at an appropriate wavelength to cure a polymerizable liquid. Curing light includes ultraviolet light, visible light, infrared light, x-ray radiation and electron beam radiation.
[0053] Imprint head support 3910 is coupled to motion stage support 3920 by bridging supports 3930. In this manner imprint head 3100 is positioned above motion stage 3600. Imprint head support 3910, motion stage support 3920 and bridging supports 3930 are herein collectively referred to as the system "body." The components of the system body may be formed from thermally stable materials. Thermally stable materials have a thermal expansion coefficient of less than about 10ppm/°C at about room temperature (e.g. °C.) . In some embodiments, the material of construction may have a thermal expansion coefficient of less than about 10 ppm/°C, or less than 1 ppm/°C. Examples of such materials include silicon carbide, certain alloys of iron, including but not limited to: certain alloys of steel and nickel (e.g., alloys commercially available under the name INVAR") , and certain alloys of steel, nickel and cobalt (e.g., alloys commercially available under the name SUPER INVAR™) . Additional examples of such materials include certain ceramics, including but not limited to: ZERODUR ceramic. Motion stage support 3920 and bridging supports 3930 are coupled to a support table 3940. Support table 3940 provides a substantially vibration free support for the components of imprint lithography system 3900. Support table 3940 isolates imprint lithography system 3900 from ambient vibrations (e.g., due to works, other machinery, etc.) . Motion stages and vibration isolation support tables are commercially available from Newport Corporation of Irvine, California.
[0054] As used herein, the "X-axis" refers to the axis that runs between bridging supports 3930. As used herein the "Y-axis" refers to the axis that is orthogonal to the X-axis. As used herein the "X-Y plane" is a plane defined by the X-axis and the Y-axis. As used herein the "Z-axis" refers to an axis running from motion stage support 3920 to imprint head support 3910, orthogonal to the X-Y plane. Generally an imprint process involves moving the substrate, or the imprint head, along an X-Y plane until the proper position of the substrate with respect to patterned template 3700 is achieved. Movement of patterned template 3700, or motion stage 3600, along the Z-axis, will bring patterned template 3700 to a position that allows contact between patterned template 3700 and a liquid disposed on a surface of the substrate. [0055] Imprint lithography system 3900 may be placed in an enclosure 3960, as depicted in FIG. 2. Enclosure 3960 encompasses imprint lithography system 3900 and provides a thermal and air barrier to the lithography components. Enclosure 3960 includes a movable access panel 3962 that allows access to the imprint head 3100 and motion stage 3600 when moved to an "open" position, as depicted in FIG. 2. When in a "closed" position, the components of imprint lithography system 3900 are at least partially isolated from the room atmosphere. Access panel 3962 also serves as a thermal barrier to reduce the effects of temperature changes within the room on the temperature of the components within enclosure 3960. Enclosure 3960 includes a temperature control system. A temperature' control system is used to control the temperature of components within enclosure 3960. In one embodiment, temperature control system is configured to inhibit temperature variations of greater than about 1°C within enclosure 3960. In some embodiments, a temperature control system inhibits variations of greater than about 0.1 °C. In one embodiment, thermostats or other temperature measuring devices in combination with one or more fans may be used to maintain a substantially constant temperature within enclosure 3960. [0056] Various user interfaces may also be present on enclosure 3960. A computer controlled user interface 3964 may be coupled to enclosure 3960. User interface 3964 may depict the operating parameters, diagnostic information, job progress and other information related to the functioning of the enclosed imprint system 3900. User interface 3964 may also be configured to receive operator commands to alter the operating parameters of imprint lithography system 3900. A staging support 3966 may also be coupled to enclosure 3960. Staging support 3966 is used by an operator to support substrates, templates and other equipment during an imprint lithography process. In some embodiments, staging support 3966 may include one or more indentations 3967 configured to hold a substrate (e.g., a circular indentation for a semiconductor wafer) . Staging support 3966 may also include one or more indentations 3968 for holding patterned template 3700.
[0057] Additional components may be present depending on the processes that the imprint lithography system 3900 is designed to implement. For example, for semiconductor processing equipment including, but not limited to, an automatic wafer loader, an automatic template loader and an interface to a cassette loader (all not shown) , may be coupled to imprint lithography system 3900.
[0058] FIG. 3 depicts an embodiment of a portion of an imprint head 3100. Imprint head 3100 includes a pre- calibration system 3109 and a fine orientation system 3111 coupled to pre-calibration system 3109. Template support 3130 is coupled to fine orientation system 3111. Template support 3130 is designed to support and couple patterned template 3700 to fine orientation system 3111.
[0059] Referring to FIGS. 3 and 4, a disk-shaped flexure ring 3124, which makes up a portion of pre- calibration system 3109 is coupled to imprint head housing 3120. Imprint head housing 3120 is coupled to a middle frame 3114 with guide shafts 3112a and 3112b. In one embodiment, three (3) guide shafts may be used (the back guide shaft is not visible in FIG. 4) to provide a support for housing 3120. Sliders 3116A and 3116B coupled to corresponding guide shafts 3112a and 3112b about middle frame 3114 are used to facilitate the up and down motion of housing 3120. A disk-shaped base plate 3122 is coupled to the bottom portion of housing 3120. Base plate 3122 may be coupled to flexure ring 3124. Flexure ring 3124 supports fine orientation system 3111 components that include first flexure member 3126 and second flexure member 3128. The operation and configuration of flexure members 3126 and 3128 are discussed in detail below.
[0060] FIG. 5 depicts an exploded view of imprint head 3100. As shown in FIG. 5, actuators 3134a, 3134b and 3134c are fixed within housing 3120 and coupled to base plate 3122 and flexure ring 3124. In operation, motion of actuators 3134a, 3134b, and 3134c controls the movement of flexure ring 3124. Motion of actuators 3134a, 3134b, and 3134c may allow for a coarse pre- calibration. In some embodiments, actuators 3134a, 3134b, and 3134c may be equally spaced around housing 3120. Actuators 3134a, 3134b and 3134c and flexure ring 3124 together form pre-calibration system 3109, shown in FIG. 3. Actuators 3134a, 3134b and 3134c, shown in FIG. 5, allow translation of flexure ring 3124 along the Z- axis to control the gap accurately.
[0061] Imprint head 3100 also includes a mechanism that enables fine orientation control of patterned template 3700 so that proper orientation alignment may be achieved and a uniform gap may be maintained by the template with respect to a substrate surface. Alignment and gap control is achieved, in one embodiment, by the use of first and second flexure members, 3126 and 3128, respectively.
[0062] FIGS. 6 and 7 depict embodiments of first and second flexure members, 3126 and 3128, respectively, in more detail. As depicted in FIG. 6, first flexure member 3126 includes a plurality of flexure joints 3160 coupled to corresponding rigid bodies 3164 and 3166. Flexure joints 3160 may be notch shaped to provide motion of rigid bodies 3164 and 3166 about pivot axes that are located along the thinnest cross section of the flexure joints. Flexure joints 3160 and rigid body 3164 together form arm 3172, while additional flexure joints 3160 and rigid body 3166 together form arm 3174. Arms 3172 and 3174 are coupled to and extend from first flexure frame 3170. First flexure frame 3170 has an opening 3182, which allows curing light (e.g., ultraviolet light) to pass through first flexure member 3126. In the depicted embodiment, four flexure joints 3160 allow motion of first flexure frame 3170 about a first orientation axis 3180. It should be understood, however, that more or less flexure joints may be used to achieve the desired control. First flexure member 3126 is coupled to second flexure member 3128 through first flexure frame 3170, as depicted in FIG. 8. First flexure member 3126 also includes two coupling members 3184 and 3186, shown in FIG. 6. Coupling members 3184 and 3186 include openings that allow attachment of the coupling members to flexure ring 3124, shown in FIG. 5, using any suitable fastening means. Coupling members 3184 and 3186 are coupled to first flexure frame 3170 via arms 3172 and 3174, as depicted in FIG. 6.
[0063] Second flexure member 3128 includes a pair of arms 3202 and 3204 extending from second flexure frame 3206, as depicted in FIG. 7. Flexure joints 3162 and rigid body 3208 together form arm 3202, while additional flexure joints 3162 and rigid body 3210 together form arm 3204. Flexure joints 3162 may be notch shaped to provide motion of rigid body 3210 and arm 3204 about pivot axes that are located along the thinnest cross-section of the flexure joints 3162. Arms 3202 and 3204 are coupled to and extend from template support 3130, shown in FIG. 8. Template support 3130 is configured to hold and retain at least a portion of a patterned template 3700. Template support 3130 also has an opening 3212, which allows curing light (e.g., ultraviolet light) to pass through second flexure member 3128. In the depicted embodiment, four flexure joints 3162 allow motion of template support 3130 about a second orientation axis 3200. It should be understood, however, that more or less flexure joints may be used to achieve the desired control . Second flexure member 3128 also includes braces 3220 and 3222. Braces 3220 and 3222 include openings that allow attachment of the braces to portions of first flexure member 3126. [0064] Referring to FIGS. 1, 6, 7 and 8, in one embodiment, first flexure member 3126 and second flexure member 3128 are joined as shown in FIG. 8 to form fine orientation system 3111. Braces 3220 and 3222 are coupled to first flexure frame 3170 such that first orientation axis 3180 of first flexure member 3126 and second orientation axis 3200 of second flexure member are substantially orthogonal to each other. In such a configuration, first orientation axis 3180 and second orientation axis 3200 intersect at a pivot point 3252 at approximately the center region of a patterned template 3700 disposed in template support 3130. This coupling of the first and second flexure members, 3126 and 3128, respectively, allows fine alignment and gap control of patterned template 3700 during use. While the first and second flexure members, 3126 and 3128, are depicted as discrete elements, it should be understood that the first and second flexure members, 3126 and 3128, respectively, may be formed from a single machined part where the flexure members 3126 and 3128 are integrated together. Flexure members 3126 and 3128 are coupled by mating of surfaces such that motion of patterned template 3700 occurs about pivot point 3252, substantially reducing "swinging" and other motions that may shear imprinted features following imprint lithography. Fine orientation system 3111 imparts negligible lateral motion at the template surface and negligible twisting motion about the ■normal to the template surface due to selectively constrained high structural stiffness of flexure joints 3162. Another advantage of using the herein described flexure members is that they do not generate substantial amounts of particles, especially when compared with frictional joints. This offers an advantage for imprint lithography processes as particles may disrupt such processes .
[0065] Referring to FIGS. 4, 3 and 9 the assembled fine orientation system 3111 is coupled to pre- calibration system 3109. Patterned template 3700 is positioned within template support 3130 that is part of second flexure member 3128. Second flexure member 3128 is coupled to first flexure member 3126 in a substantially orthogonal orientation. First flexure member 3126 is coupled to flexure ring 3124 via coupling members 3186 and 3184. Flexure ring 3124 is coupled to base plate 3122, as has been described above. [0066] FIG. 10 represents a cross-section of pre- calibration system 3109, shown in Fig. 3, looking through cross-section 3260. As shown in FIG. 10, flexure ring 3124 is coupled to base plate 3122 with actuator 3134. Actuator 3134 includes an end 3270 coupled to a force detector 3137 that contacts flexure ring 3124. During use activation of actuator 3134 causes movement of end 3270 toward or away from flexure ring 3124. The movement of end 3270 toward flexure ring 3124 induces a deformation of flexure ring 3124 and causes translation of fine orientation system 3111 along the Z-axis toward the substrate. Movement of end 3270 away from flexure ring 3124 allows flexure ring 3124 to move to its original shape and, in the process, moves fine orientation system 3111 away from the substrate.
[0067] In a typical imprint process patterned template 3700 is disposed in template support 3130 coupled to fine orientation system 3111, as depicted in previous figures. Patterned template 3700 is brought into contact with a liquid on a surface of a substrate. Compression of the liquid on the substrate as patterned template 3700 is brought closer to the substrate causes a resistive force to be applied by the liquid onto patterned template 3700. This resistive force is translated through fine orientation system 3111 and to flexure ring 3124, as shown in both FIGS. 9 and 10. The force applied against flexure ring 3124 will also be translated as a resistive force to actuator 3134. The resistive force applied to an actuator 3134 may be determined using force detector 3136. Force detector 3136 may be coupled to actuator 3134 such that a resistive force applied to actuator 3134 during use may be determined and controlled.
[0068] In a typical imprint process the template is disposed in a template holder coupled to the fine orientation system, as depicted in previous figures. The template is brought into contact with a liquid on a surface of a substrate. Compression of the liquid on the substrate as the template is brought closer to the substrate causes a resistive force to be applied by the liquid onto the template. This resistive force is translated through the fine orientation system and to flexure ring 3124 as shown in both FIGS. 9 and 10. The force applied against flexure ring 3124 will also be translated as a resistive force to actuators 3134. The resistive force applied to an actuator 3134 may be determined using force sensor 3135. Force sensor 3135 may be coupled to actuator 3134 such that a resistive force applied to actuator 3135 during use may be determined and controlled.
[0069] FIG. 11 depicts a flexure model useful in understanding the principles of operation of a fine decoupled orientation stage, such as fine orientation system 3111 described herein. Flexure model 3300 may include four parallel joints: Joints 1, 2, 3 and 4 that provide a four-bar-linkage system in its nominal and rotated configurations. Line 3310 denotes an axis of alignment of Joints 1 and 2. Line 3312 denotes an axis of alignment of Joints 3 and 4. Angle oc_ represents an angle between a perpendicular axis through the center of patterned template 3700 and line 3310. Angle c2 represents a perpendicular axis through the center of patterned template 3700 and line 3310. Angles ot_ and a2 , in some embodiments, are selected so that the compliant alignment axis (or orientation axis) lies substantially at the surface of patterned template 3700. For fine orientation changes, rigid body 3314 between Joints 2 and 3 may rotate about an axis depicted by Point C. Rigid body 3314 may be representative of template support 3130 of second flexure member 3128.
[0070] Fine orientation system 3111, shown in Fig. 4, generates pure tilting motions with no substantial lateral motions at the surface of patterned template 3700 coupled to fine orientation system 3111. The use of flexure arms 3172, 3174, 3202 and 3204, shown in FIGS. 6 and 7, may provide fine orientation system 3111, shown in FIG. 4, with high stiffness in the directions where side motions or rotations are undesirable and lower stiffness in directions where necessary orientation motions are desirable. Fine orientation system 3111, therefore allows rotations of template support 3130, shown in FIG. 3, and therefore patterned template 3700, about pivot point 3252, shown in FIG. 8, at the surface of patterned template 3700, while providing sufficient resistance in a direction perpendicular to patterned template 3700 and parallel to patterned template 3700 to maintain the proper position with respect to the substrate. In this manner a passive orientation system is used for orientation of patterned template 3700 to a parallel orientation with respect to patterned template 3700. The term "passive" refers to a motion that occurs without any user or programmable controller intervention, i.e., the system self-corrects to a proper orientation by contact of patterned template 3700 with the liquid. Thus, the flexure system is adapted to position the template in a desired orientation with respect to the substrate upon which the liquid material is disposed and maintain the orientation in response to a force being exerted upon the template from, for example, compressing of the liquid material between the template and the substrate. Alternate embodiments in which the motion of flexure arms 3172, 3174, 3202 and 3204, shown in FIGS. 6 and 7, are controlled by motors to produce an active flexure may also be implemented.
[0071] Motion of fine orientation system 3111, shown in FIG. 4, may be activated by direct or indirect contact with the liquid. If fine orientation system 3111 is passive, then it is, in one embodiment, designed to have the most dominant compliance about two orientation axes. The two orientation axes lie orthogonal to each other and lie on the imprinting surface of an imprinting member disposed on fine orientation system 3111. The two orthogonal torsional compliance values are set to be the same for a symmetrical imprinting member. A passive fine orientation system 3111 is designed to alter the orientation of the patterned template 3700 when the patterned template 3700 is not parallel with respect to a substrate. When the patterned template 3700 makes contact with liquid on the substrate, the flexure members 3126 and 3128 compensate for the resulting uneven liquid pressure on the patterned template 3700. Such compensation may be affected with minimal or no overshoot. Further, a fine orientation system 3111 as described above may hold the substantially parallel orientation between the patterned template 3700 and the substrate for a sufficiently long period to allow curing of the liquid.
[0072] Imprint head 3100 is mounted to imprint head support 3910, as depicted in FIG. 1. In this embodiment, imprint head support 3910 is mounted such that the imprint head 3100 remains in a fixed position at all times. During use, all movement along the X-Y plane is performed to the substrate by motion stage 3600.
[0073] Referring to FIG. 12, motion stage 3600 is used to support a substrate to be imprinted and move the substrate along an X-Y plane during use. Motion stage 3600, in some embodiments, is capable of moving a substrate over distances of up to several hundred millimeters with an accuracy of at least +30nm, preferably with an accuracy of about +10nm. In one embodiment, motion stage 3600 includes a substrate chuck 3610 that is coupled to carriage 3620. Carriage 3620 is moved about a base 3630 on a frictional bearing system or a non-frictional bearing system. In one embodiment, a non-frictional bearing system that includes an air bearing is used. Carriage 3620 is suspended above base 3630 of motion stage 3600 using, in one embodiment, an air layer (i.e., the "air bearing") . Magnetic or vacuum systems may be used to provide a counter balancing force to the air bearing level . Both magnetic based and vacuum based systems are commercially available from a variety of suppliers and any such systems may be used in an imprint lithography process. One example of a motion stage that is applicable to imprint lithography processes is the Dynam YX motion stage commercially available from Newport Corporation, Irvine, CA. Motion stage 3600 also may include a tip tilt stage similar to the calibration stage, designed to approximately level the substrate to the X-Y motion plane. It also may include one or more theta stages to orient the patterns on the substrate to the X-Y motion axes.
[0074] Referring to both FIGS. 1 and 13, imprint lithography system 3900 also includes a liquid dispense system 3125 which is used to dispense a curable liquid onto a substrate. Liquid dispense system 3125 is coupled to the system body. In one embodiment, a liquid dispense system 3125 is coupled to imprint head 3100. FIG. 3 depicts liquid dispenser head 2507 of a liquid dispense system 3125, shown in FIG. 13, extending out from cover 3127 of imprint head 3100. Various components of liquid dispense system 3125 may be disposed in cover 3127 of imprint head 3100.
[0075] A schematic of liquid dispense system 3125 is depicted in FIG. 13. In an embodiment, a liquid dispense system 3125 includes a liquid container 2501. Liquid container 2501 is configured to hold an activating light curable liquid. Liquid container 2501 is coupled to a pump 2504 via inlet conduit 2502. An inlet valve 2503 is positioned between liquid container 2501 and pump 2504 to control flow of through inlet conduit 2502. Pump 2504 is coupled to a liquid dispenser head 2507 via outlet conduit 2506.
[0076] Liquid dispense system 3125 is configured to allow precise volume control of the amount of liquid dispensed onto an underlying substrate. In one embodiment, liquid control is achieved using a piezoelectric valve as pump 2504. Piezoelectric valves are available commercially available from the Lee Company, Westbrook, CT. During use, a curable liquid is drawn into pump 2504 through inlet conduit 2502. When a substrate is properly positioned below, pump 2504 is activated to force a predetermined volume of liquid through outlet conduit 2506. The liquid is then dispensed through liquid dispenser head 2507 onto the substrate. In this embodiment, liquid volume control is achieved by control of pump 2504. Rapid switching of the pump 2504 from an open to closed state allows a controlled amount of liquid to be sent to liquid dispenser head 2507. Pump 2504 is configured to dispense liquid in volumes of less than about 1 μL . The operation of pump 2504 may allow either droplets of liquid or a continuous pattern of liquid to be dispensed onto the substrate. Droplets of liquid are applied by rapidly cycling the pump 2504 from an open to closed state. A stream of liquid is produced on the substrate by leaving pump 2504 in an open state and moving the substrate under liquid dispenser head 2507.
[0077] In another embodiment, liquid volume control may be achieved by use of liquid dispenser head 2507. In such a system, pump 2504 is used to supply a curable liquid-to-liquid dispenser head 2507. Small drops of liquid whose volume may be accurately specified are dispensed using a liquid dispensing actuator. Examples of liquid dispensing actuators include micro-solenoid valves or piezo-actuated dispensers. Piezo-actuated dispensers are commercially available from MicroFab Technologies, Inc., Piano, TX. Liquid dispensing actuators are incorporated into liquid dispenser head 2507 to allow control of liquid dispensing. Liquid dispensing actuators are configured to dispense between about 50 pL to about 1000 pL of liquid per drop of liquid dispensed. Advantages of a system with a liquid dispensing actuator include faster dispensing time and more accurate volume control . Liquid dispensing systems are further described in U.S. Serial No. 09/908,455 filed July 17, 2001, entitled "Method and System of Automatic Fluid Dispensing for Imprint Lithography Processes, " which is incorporated herein by reference. [0078] Referring to FIG. 12, coarse determination of the position of patterned template 3700 and the substrate is determined by the use of linear encoders (e.g., exposed linear encoders) . Encoders offer a coarse measurement on the order of 0.01 μm. Linear encoders include a scale coupled to the moving object and a reader coupled to the body. The scale may be formed from a variety of materials including glass, glass ceramics, and steel . The scale includes a number of markings that are read by the reader to determine a relative or absolute position of the moving object. The scale is coupled to motion stage 3600 by means that are known in the art. A reader is coupled to the body and optically coupled to the scale. In one embodiment, an exposed linear encoder may be used. Encoders may be configured to determine the position of the motion stage 3600 along either a single axis, or in a two-axis plane. An example of an exposed two-axis linear encoder is the PP model encoder available from Heidenhain Corporation, Schaumburg, IL. Generally, encoders are built into many commercially available X-Y motion stages. For example, the Dynam YX motion stage available from Newport Corp. has a two-axis encoder built into the system.
[0079] The coarse position of patterned template 3700 along the Z-axis is also determined using a linear encoder. In one embodiment, an exposed linear encoder may be used to measure the position of patterned template 3700. A scale of the linear encoder, in one embodiment, is coupled to the pre-calibration ring of imprint head 3100. Alternatively, the scale may be coupled directly to the template support 3130. The reader is coupled to the body and optically coupled to the scale. Position of patterned template 3700 is determined along the Z-axis by use of encoders .
[0080] Referring to FIGS. 3 and 12, in an embodiment, an air gauge 3135 may be coupled to imprint head 3100, as depicted in FIG. 3. Air gauge 3135 is used to determine whether a substrate disposed on a motion stage 3600 is substantially parallel to a reference plane. As used herein, an "air gauge" refers to a device that measures the pressure of a stream of air directed toward a surface. When a substrate is disposed under an outlet of air gauge 3135, the distance the substrate is from the outlet of air gauge 3135 will influence the pressure air gauge 3135 senses. Generally, the further away from air gauge 3135 the substrate is, the lesser the pressure is. [0081] In such a configuration, air gauge 3135 may be used to determine differences in pressure resulting from changes in the distance between the substrate surface and air gauge 3135. By moving air gauge 3135 along the surface of the substrate, air gauge 3135 determines the distance between air gauge 3135 and the substrate surface at the various points measured. The degree of planarity of the substrate with respect to air gauge 3135 is determined by comparing the distance between air gauge 3135 and the substrate at the various points measured. The distance between at least three points on the, substrate and air gauge 3135 is used to determine if a substrate is planar. If the distance is substantially the same, the substrate is considered to be planar. Significant differences in the distances measured between the substrate and air gauge 3135 indicates a non-planar relationship between the substrate and air gauge 3135. This non-planar relationship may be caused by the non- planarity of the substrate or a tilt of the substrate. Prior to use, a tilt of the substrate is corrected to establish a planar relationship between the substrate and the patterned template 3700. Suitable air gauges may be obtained from Senex Inc .
[0082] During use of air gauges, the substrate or patterned template 3700 is placed within the measuring range of air gauge 3135. Motion of the substrate toward air gauge 3135 may be accomplished by either Z-axis motion of imprint head 3100 or Z-axis motion of motion stage 3600.
[0083] In an imprint lithography process, a light curable liquid is disposed on a surface of the substrate. Patterned template 3700 is brought into contact with the light curable liquid and activating light is applied to the light curable liquid. As used herein "activating light" means light that may affect a chemical change. Activating light may include ultraviolet light (e.g., light having a wavelength between about 200 nm to about 400 nm) , actinic light, visible light or infrared light. Generally, any wavelength of light capable of affecting a chemical change may be classified as activating. Chemical changes may be manifested in a number of forms. A chemical change may include, but is not limited to, any chemical reaction that causes a polymerization or a cross-linking reaction to take place. The activating light, in one embodiment, is passed through patterned template 3700 prior to reaching the composition. In this manner the light curable liquid is cured to form structures complementary to the structures formed on patterned template 3700.
[0084] In some embodiment, activating light source 3500 is an ultraviolet light source capable of producing light having a wavelength between about 200 nm to about 400 nm. Activating light source 3500 is optically coupled to patterned template 3700 as depicted in FIG. 1. In one embodiment, activating light source 3500 is positioned proximate to imprint head 3100. Imprint head 3100 includes a mirror 3121, as depicted in FIG. 4, which reflects light from activating light source 3500 to patterned template 3700. Light passes through an opening in the body of imprint head 3100 and is reflected by mirror 3121 toward patterned template 3700. In this manner, activating light source 3500 irradiates patterned template 3700 without being disposed in imprint head 3100.
[0085] Most activating light sources produce a significant amount of heat during use. If activating light source 3500 is too close to imprint lithography system 3900, heat from the light source will radiate toward the body of imprint lithography system 3900 and may cause the temperature of portions of the body to increase. Since many metals expand when heated, the increase in temperature of a portion of the body of imprint lithography system 3900 may cause the body to expand. This expansion may affect the accuracy of imprint lithography system 3900 when sub-100 nm features are being produced.
[0086] In one embodiment, activating light source 3500 is positioned at a sufficient distance from the body such that the system body is insulated from heat produced by activating light source 3500 by the intervening air between activating light source 3500 and imprint head 3100. FIG. 14 depicts an activating light source 3500 optically coupled to imprint head 3100. Activating light source 3500 includes an optical system 3510 that projects light generated by a light source toward imprint head 3100. Light passes from optical system 3510 into imprint head 3100 via opening 3123. Light is then reflected toward a template coupled to imprint head 3100 by mirror 3121 disposed within imprint head 3100, shown in FIG. 4. In this manner, the light source is thermally insulated from the body. A suitable light source may be obtained from OAI Inc., Santa Clara, CA.
[0087] One or more optical measuring devices may be optically coupled to imprint head 3100 and/or motion stage 3600. Generally, an optical measuring device is any device that allows the position and/or orientation of patterned template 3700 with respect to a substrate to be determined.
[0088] Turning to FIG. 14, a through the template optical imaging system 3800 is optically coupled to imprint head 3100. Optical imaging system 3800 includes an optical imaging device 3810 and an optical system 3820. Optical imaging device 3810, in one embodiment, is a CCD microscope. Optical imaging system 3800 is optically coupled to patterned template 3700 through imprint head 3100. Optical imaging system 3800 is also optically coupled to a substrate, when the substrate is disposed under patterned template 3700. Optical imaging system 3800 is used to determine the placement error between patterned template 3700 and an underlying substrate as described herein. In one embodiment, mirror 3121, shown in FIG. 4, is movable within imprint head 3100. During an alignment or optical inspection process, mirror 3121 is moved out of the optical -path of the optical imaging system.
[0089] During use of an optical alignment device, the substrate or patterned template 3700 is placed within the measuring range (e.g., the field of view) of the air optical imaging system. Motion of the substrate toward the optical imaging system 3800 may be accomplished by either Z-axis motion of imprint head 3100 or Z-axis motion of motion stage 3600.
[0090] As previously mentioned, a light curable liquid is placed on a substrate and a template is brought into contact with the liquid during an imprint lithography process. The curable liquid is a low viscosity liquid monomer solution. A suitable solution may have a viscosity ranging from about 0.01 cps to about 100 cps
(measured at 25°C) . Low viscosities are especially desirable for high-resolution (e.g., sub-100 nm) structures. Low viscosities also lead to faster gap closing. Additionally, low viscosities result in faster liquid filling of the gap area at low pressures. In particular, in the sub-50 nm regime, the viscosity of the solution should be at or below about 30 cps, or more preferably below about 5 cps (measured at 25°C) . [0091] Many of the problems encountered with other lithography techniques may be solved by using a low viscosity light curable liquid in an imprint lithography process. Patterning of low viscosity light curable liquids solves each of the issues facing hot embossing techniques by utilizing a low-viscosity, light-sensitive liquid. Also use of a thick, rigid, transparent template offers the potential for easier layer-to-layer alignment. The rigid template is, in general, transparent to both liquid activating light and alignment mark measurement light.
[0092] The curable liquid may be composed of a variety of polymerizable materials. Generally, any photopolymerizable material may be used. Photopolymerizable materials may include a mixture of monomers and a photoinitiator . In some embodiments, the curable liquid may include one or more commercially available negative photoresist materials. The viscosity of the photoresist material may be reduced by diluting the liquid photoresist with a suitable solvent. [0093] In an embodiment, a suitable curable liquid includes a monomer, a silylated monomer, and an initiator. A crosslinking agent and a dimethyl siloxane derivative may also be included. Monomers include, but are not limited to, acrylate and methacylate monomers. Examples of monomers include, but are not limited to, butyl acrylate, methyl acrylate, methyl methacrylate, or mixtures thereof. The monomer makes up approximately 25 to 50% by weight of the curable liquid. It is believed that the monomer ensures adequate solubility of the photoinitiator in the curable liquid. It is further believed that the monomer provides adhesion to an underlying organic transfer layer, when used.
[0094] The curable liquid may also include a silylated monomer. Silylated monomers in general are polymerizable compounds that include a silicon group. Classes of silylated monomers include, but are not limited to, silane acrylyl and silane methacrylyl derivatives. Specific examples include methacryloxypropyl tris (tri- methylsiloxy) silane and (3-acryloxypropyl) tris (tri- methoxysiloxy) -silane . Silylated monomers may be present in amounts from 25 to 50 % by weight. The curable liquid may also include a dimethyl siloxane derivative. Examples of dimethyl siloxane derivatives include, but are not limited to, (acryloxypropyl) methylsiloxane dimethylsiloxane copolymer, acryloxypropyl methylsiloxane homopolymer, and acryloxy terminated polydimethylsiloxane. Dimethyl siloxane derivatives are present in amounts from about 0 to 50 % by weight. It is believed that the silylated monomers and the dimethyl siloxane derivatives may impart a high oxygen etch resistance to the cured liquid. Additionally, both the silylated monomers and the dimethyl siloxane derivatives are believed to reduce the surface energy of the cured liquid, therefore increasing the ability of the template to release from the surface. The silylated monomers and dimethyl siloxane derivatives listed herein are all commercially available from Gelest, Inc.
[0095] Any material that may initiate a free radical reaction may be used as the initiator. For activating light curing of the curable material, it is preferred that the initiator is a photoinitiator. Examples of initiators include, but are not limited to, alpha- hydroxyketones (e.g., 1-hydroxycyclohexyl phenyl ketone, sold by Ciba-Geigy Specialty Chemical Division as Irgacure 184), and acylphosphine oxide initiators (e.g., 1-henylbis (2 ,4, 6-trimethyl benzoyl) phosphine oxide, sold by Ciba-Geigy Specialty Chemical Division as Irgacure 819) .
[0096] The curable liquid may also include a cross- linking agent. Cross-linking agents are monomers that include two or more polymerizable groups. In one embodiment, polyfunctional siloxane derivatives may be used as a crosslinking agent. An example of a polyfunctional siloxane derivative is l,3-bis(3- methacryloxypropyl) -tetramethyl disiloxane.
[0097] In one example, a curable liquid may include a mixture of 50% by weight of n-butyl acrylate and 50% (3- acryloxypropyl) tris-trimethylsiloxane-silane . To this mixture 3% by weight mixture of a 1:1 Irgacure 819 and Irgacure 184 and 5% of the crosslinker 1,3 -bis (3- methacryloxypropyl) -tetramethyl disiloxane may be added. The viscosity of this mixture is less than 30 cps measured at about 25 °C.
[0098] In an alternate embodiment, the curable liquid may be formed of a monomer, an acid-generating photo- agent, and a base-generating photo-agent. Examples of the monomer include, but are not limited to, phenolic polymers and epoxy resins. The acid-generating photo- agent is a compound that releases acid when treated with activating light. The generated acid catalyzes polymerization of the monomer. Those of ordinary skill in the art know such acid-generating additives, and the specific acid-generating additive used depends on the monomer and the desired curing conditions. In general, the acid-generating additive is selected to be sensitive to radiation at the first wavelength λi which, in some implementations, is in the visible or near ultraviolet (near UV) range. For example, in some implementations, the first wavelength λx is selected to be approximately 400 nm or longer. A base generating photo-agent is also added to the monomer. The base-generating photo-agent may inhibit curing of the monomer near the interface of the template. The base generating photo-agent may be sensitive to radiation at a second wavelength λ2, yet inert or substantially inert to radiation at the first wavelength λi. Moreover, the second wavelength λ2 should be selected so that radiation at the second wavelength is primarily absorbed near the surface of the monomer at the interface with the template and does not penetrate very far into the curable liquid. For example, in some implementations, a base generating additive that is sensitive to radiation having a wavelength λ2 in the deep UV range, in other words, radiation having a wavelength in the range of about 190-280 nm, may be used. [0099] According to an embodiment, a curable liquid that includes a monomer, an acid-generating photo-agent and a base-generating photo-agent is deposited onto a substrate. A template is brought into contact with the curable liquid. The curable liquid is then exposed to radiation at a first wavelength λi and a second wavelength λ2 of light at substantially the same time. Alternatively, the curing liquid may be exposed to the radiation at the second wavelength λ2 and subsequently to the radiation at the first wavelength λi . Exposure of the curable liquid to radiation at the second wavelength λ2 produces an excess of base near the interface with the template. The excess base serves to neutralize the acid that is produced by exposure of the curable liquid to radiation at the first wavelength λi, thereby inhibiting the acid from curing the curable liquid. Since the radiation at the second wavelength λ2 has a shallow penetration depth into the curable liquid, the base produced by that radiation only inhibits curing of the curable liquid at or near the interface with the template. The remainder of the curable liquid is cured by exposure to the longer wavelength radiation (λi) which penetrates throughout the curable liquid. U.S. Patent No. 6,218,316 entitled "Planarization of Non-Planar Surfaces in Device Fabrication" describes additional details concerning this process and is incorporated herein by reference .
[0100] In another embodiment, the curable liquid may include a photosensitive agent which, when exposed, for example, to deep UV radiation, decomposes to produce one or more gases, such as hydrogen (H2) , nitrogen (N2) , nitrous oxide (N20) , sulfur tri-oxide (S03) , acetylene (C2H2) , carbon dioxide (C02) , ammonia (NH3) or methane (CH4) . Radiation at a first wavelength λi, such as visible or near UV, may be used to cure the curable liquid, and the deep UV radiation (λ2) may be used to produce one or more of the foregoing gases . The generation of the gases produces localized pressure near the interface between the cured liquid and the template to facilitate separation of the template from the cured liquid. U.S. Patent No. 6,218,316 describes additional details concerning this process and is incorporated herein by reference .
[0101] In another embodiment, a curable liquid may be composed of a monomer that cures to form a. polymer that may be decomposed by exposure to light. In one embodiment, a polymer with a doubly substituted carbon backbone is deposited on the substrate. After the template is brought into contact with the curable liquid, the curable liquid is exposed to radiation at a first wavelength λx (e.g., greater than 400 nm) and radiation at the second wavelength λ2 in the deep UV range . Radiation at the first wavelength serves to cure the curable liquid. When the curable liquid is exposed to the second wavelength λ2, scission occurs at the substituted carbon atoms . Since deep UV radiation does not penetrate deeply into the curable liquid, the polymer decomposes only near the interface with the template. The decomposed surface of the cured liquid facilitates separation from the template. Other functional groups which facilitate the photo-decomposition of the polymer also can be used. U.S. Patent No. 6,218,316 describes additional details concerning this process and is incorporated herein by reference .
[0102] In various embodiments, an imprint lithography template is manufactured using processes including, but not limited to: optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, reactive ion etch or a combination of the above. Methods for making patterned templates are described in U.S. Patent Application No. 10/136,188 filed May 1, 2002 entitled "Methods of Manufacturing a Lithography Template" to Voison which is incorporated herein by reference. [0103] In an embodiment, the imprint lithography template is substantially transparent to activating light. The template includes a body having a lower surface. The template further includes a plurality of recesses on the lower surface extending toward the top surface of the body. The recesses may be of any suitable size, although typically at least a portion of the recesses has a feature size of less than about 250 nm.
[0104] With respect to imprint lithography processes, the durability of the template and its release characteristics may be of concern. In one embodiment, a template is formed from quartz. Other materials may be . used to form the template and include, but are not limited to: silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, silicon dioxide or combinations thereof. Templates may also include materials used to form detectable features, such as alignment markings. For example, detectable features may be formed of SiOx, where X is less than 2. In some embodiments, X is about 1.5. In another example, detectable features may be formed of a molybdenum suicide. Both SiOx and molybdenum suicide are optically transparent to light used to cure the polymerizable liquid. Both materials, however, are substantially opaque to visible light. Use of these materials allows alignment marks to be created on the template that will not interfere with curing of the underlying substrate .
[0105] As previously mentioned, the template is treated with a surface treatment material to form a thin layer on the surface of the template . A surface treatment process is optimized to yield a low surface energy coating. Such a coating is used in preparing imprint templates for imprint lithography. Treated templates have desirable release characteristics relative to untreated templates . Untreated template surfaces possess surface free energies of about 65 dynes/cm or more. A treatment procedure disclosed herein yields a surface treatment layer that exhibits a high' level of durability. Durability of the surface treatment layer allows a template to be used for numerous imprints without having to replace the surface treatment layer. The surface treatment layer, in some embodiments, reduces the surface free energy of the lower surface measured at 25 °C to less than about 40 dynes/cm, or in some cases, to less than about 20 dynes/cm.
[0106] A surface treatment layer, in one embodiment, is formed by the reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water. This reaction forms a silinated coating layer on the surface of the patterned template. For example, a silinated surface treatment layer is formed from a reaction product of tridecafluoro-1, 1, 2, 2- tetrahydrooctyltrichlorosilane with water. A surface treatment layer may be formed using either a liquid-phase process or a vapor-phase process. In a liquid-phase process, the substrate is immersed in a solution of precursor and solvent. In a vapor-phase process, a precursor is delivered via an inert carrier gas. It may be difficult to obtain a purely anhydrous solvent for use in a liquid-phase treatment. Water in the bulk phase during treatment may result in clump deposition, which will adversely affect the final quality or coverage of the coating. In an embodiment of a vapor-phase process, the template is placed in a vacuum chamber, after which the chamber is cycle-purged to remove excess water. Some adsorbed water, however, remains on the surface of the template. A small amount of water, however, is believed to be needed to initiate a surface reaction, which forms the coating. It is believed that the reaction may be described by the formula :
R-SiCI3 + 3H20 => R-Si(OH)3 + 3HCI
[0107] To facilitate the reaction, the template is brought to a desired reaction temperature via a temperature-controlled chuck. The precursor is then fed into the reaction chamber for a prescribed time. Reaction parameters such as template temperature, precursor concentration, flow geometries, etc. are tailored to the specific precursor and template substrate combination. By controlling these conditions, the thickness of the surface treatment layer is controlled. The thickness of the surface treatment layer is kept at a minimal value to minimize the interference of the surface treatment layer with the feature size. In one embodiment, a monolayer of the surface treatment layer is formed.
[0108] In an embodiment, there are at least two separate depths associated with the recesses on the lower surface of the template. FIGS. 20A and 20B depict top and cross-sectional views, respectively, of a patterned template with recesses having two depths. Referring to FIGS. 20A and 20B, a template includes one or more patterning areas 401. In such embodiments, a first relatively shallow depth is associated with the recesses in patterning areas 401 of the template, as depicted in FIG. 20B. Patterning areas 401 includes the area replicated during patterning of the template. Patterning areas 401 are positioned within a region defined by border/outer region 409 of the template. Border 409 is defined as the region that extends from an outer edge of any of patterning areas 401 to an edge 407 of the template. Border 409 has a depth that is substantially greater than the depth of the recesses in the patterning areas 401. The perimeter of the template is herein defined as the boundary between patterning areas 401 and border 409. As depicted in FIG. 20A four patterning areas are positioned within the area defined by the template. Patterning areas 401 are separated from edges 407 of the template by border 409. The "perimeter" of the template is defined by edges 403a, 403b, 403c, 403d, 403e, 403f, 403g, and 403h of the patterning areas 401.
[0109] Patterning areas 401 may be separated from each other by channel/border regions 405. Channel regions 405 are recesses that are positioned between the patterning areas 401 that have a greater depth than the recesses of . pattering areas 401. As described below, both border 409 and channel regions 405 inhibit the flow of liquid between patterning areas 401 or beyond the perimeter of patterning areas 401, respectively.
[0110] The design of the template is chosen based on the type of lithography process used. For example, a template for positive imprint lithography has a design that favors the formation of discontinuous films on the substrate. In one embodiment, a template 12 is formed such that the depth of one or more structures is relatively large compared to the depth of structures used to form the patterning region, as depicted in FIG. 15. During use, template 12 is placed in a desired spaced relationship to substrate 20. In such an embodiment, the gap (hi) between the lower surface 536 of template 12 and substrate 20 is much smaller than the gap (h2) between recessed surface 534 and substrate 20. For example, hi may be less than about 200 nm, while h2 may be greater than about 10,000 nm. When template 12 is brought into contact with curable liquid 40 on substrate 20, curable liquid 40 leaves the region under recessed surface 534 and fills the gap between lower surface 536 and substrate 20, as depicted in FIG. 16. It is believed that combinations of surface energies and capillary forces draw curable liquid 40 from the larger recess into the narrower region. As hx is decreased, forces applied to curable liquid 40 by template 12 may overcome capillary forces drawing curable liquid 40 under lower surface 536. These forces may cause spreading of curable liquid 40 into the area under recessed surface 534. The minimum value of hx at which the liquid is inhibited from spreading into a recess 532 is referred to herein as the "minimum film thickness." Additionally, as hi increases, the capillary forces are reduced, eventually allowing curable liquid 40 to spread into the deeper recessed regions. The maximum value of hi at which the capillary forces are sufficient to inhibit flow of curable liquid 40 into the deeper recessed region is herein known as the "maximum film thickness."
[0111] As depicted in FIGS. 17 and 18, in various embodiments, template 12 is formed such that a curable liquid placed on substrate 20 is inhibited from flowing beyond perimeter 412 of template 12. In the embodiment depicted in FIG. 17, height hi is measured from substrate 20 to shallow recessed surface 552. Shallow recessed surface 552 extends to the perimeter of template 12. Thus, the edge of the template forms the height h2 and is effectively infinite in comparison to height hi. In the embodiment depicted in FIG. 18, a deep recess is formed at the outer edge of template 12. Height h2 is measured between substrate 20 and deep recessed surface 554. Height h is again measured between substrate 20 and shallow recessed surface 552. In either embodiment, height h2 is much larger than height hx. If hx is small enough, the activating light curable liquid remains in the gap between template 12 and substrate 20 while a curing agent is applied. Deeply recessed portions are particularly useful for liquid confinement in step and repeat processes as described herein.
[0112] In an embodiment, template 12 and substrate 20 each have one or more alignment marks. Alignment marks may be used to align template 12 and substrate 20. For example, one or more optical imaging devices (e.g., microscopes, cameras, imaging' arrays, etc.) are used to determine alignment of the alignment marks.
[0113] In some embodiments, an alignment mark on the template may be substantially transparent to activating light. Alternatively, the alignment mark may be substantially opaque to alignment mark detection light. As used herein, alignment mark detection light and light used for other measurement and analysis purposes is referred to as "analyzing light." In an embodiment, analyzing light includes, but is not limited to: visible light and/or infrared light. The alignment mark may be formed of a material different than the material of the body. For example, the alignment mark may be formed from SiOX/ where x is about 1.5. In another example, the alignment mark may be formed of molybdenum suicide. Alternately, the alignment mark may include a plurality of lines etched on a surface of the body. The lines are configured to substantially diffuse activating light, but produce an analyzable mark under analyzing light.
[0114] In various embodiments, one or more deep recesses as described above may project entirely through the body of the template to form openings in the template. An advantage of such openings is that they may effectively ensure that height h2 is very large with respect to hi at each opening. Additionally, in some embodiments, pressurized gas or vacuum may be applied to the openings. Pressurized gas or vacuum may also be applied to one or more openings after curing the liquid. For example, pressurized gas may be applied after curing as part of a peel and pull process to assist in separating the template from the cured liquid.
[0115] The above described imprint lithography system 3900 may be modified according to alternate embodiments discussed below. It should be understood that any of the described alternative embodiments may be combined, singly or in combination, with any other system described herein.
[0116] As described above, imprint head 3100 includes fine orientation system 3111 that allows for a "passive" orientation of patterned template 3700 with respect to the substrate. In another embodiment, fine orientation system 3111 may include actuators 3134a, 3134b and 3134c coupled to flexure arms 3172, 3174, 3202 and 3204. Actuators 3134a, 3134b and 3134c may allow "active" control of fine orientation system 3111. During use an operator or a programmable controller monitors the orientation of patterned template 3700 with respect to the substrate . The operators or a programmable controller then alters the orientation of patterned template 3700 with respect to the substrate by operating actuators 3134a, 3134b and 3134c. Movement of the actuators 3134a, 3134b and 3134c causes motion of flexure arms 3172, 3174, 3202 and 3204 to alter the orientation of patterned template 3700. In this manner an "active" control of fine positioning of the template with respect to the substrate may be achieved. An active fine orientation system is further described in U.S. Serial No. 09/920,341 filed August 1, 2001 entitled "Methods for High-Precision Gap Orientation Sensing Between a Transparent Template and Substrate for Imprint Lithography," which is incorporated herein by reference. [0117] In an alternate embodiment, imprint head 3100 may include pre-calibration system 3109, as described above. Pre-calibration system 3109 includes flexure ring 3124, as depicted in FIG. 21. In place of fine orientation system 3100 as described above, template support system 4125 is coupled to pre-calibration ring. In contrast to fine orientation system 3100, template support system 4125 is formed of substantially rigid and non-compliant members 3129. These members provide a substantially rigid support for patterned template 3700 disposed in template support 3130. In this embodiment, fine orientation may be achieved using motion stage 3600 instead of template support 3130.
[0118] In previous embodiments, imprint head 3100 is coupled to the body in a fixed position. In an alternate embodiment, imprint head 3100 may be mounted to a motion system that allows imprint head 3100 to be moved along the X-Y plane, as depicted in FIG. 22. Imprint head 3100 is configured to support patterned template 3700 as described in any of the embodiments herein. Imprint head 3100 is coupled to a motion system that includes an imprint head chuck 3110 and imprint motion stage 3123. Imprint head 3100 is mounted to imprint head chuck 3110. Imprint head chuck 3110 interacts with imprint motion stage 3123 to move imprint head 3100 along an X-Y plane. Mechanical or electromagnetic motion systems may be used. Electromagnetic systems rely on the use of magnets to produce an X-Y planar motion in imprint head chuck 3110. Generally, an electromagnetic system incorporates permanent and, electromagnetic magnets into imprint motion stage 3123 and imprint head chuck 3110. The attractive forces of these magnets is overcome by a cushion of air between imprint head chuck 3110 and imprint motion stage 3123 such that an "air bearing" is produced. Imprint head chuck 3110, and therefore imprint head 3100, is moved along an X-Y plane on a cushion of air. Electromagnetic X-Y motion stages are described in further detail in U.S. Patent No. 6,389,702, entitled "Method and Apparatus for Motion Control," which is incorporated herein by reference. In a mechanical motion system, imprint head chuck 3110 is attached to imprint motion stage 3123. Imprint motion stage 3123 is then moved by use of various mechanical means to alter the position of imprint head chuck 3110, and thus imprint head 3110, along the X-Y plane. In this embodiment, imprint head 3110 may include a passive compliant fine orientation system, an actuated fine orientation system, or a rigid template support system, as described herein.
[0119] ' ith" imprint head- 3100 coupled to a moving - support, the substrate may be mounted to a stationary support. Thus, in an alternate embodiment, imprint head 3100 is coupled to an X-Y axis motion stage as described herein. A substrate is mounted to a substantially stationary substrate support 3640. Stationary substrate support 3640 is depicted in FIG. 40. Stationary substrate support 3640 includes a base 3642 and a substrate chuck 3644. Substrate chuck 3644 is configured to support a substrate during imprint lithography processes. Substrate chuck 3644 may employ any suitable means to retain a substrate to substrate chuck 3644. In one embodiment, substrate chuck 3644 may include a vacuum system which applies a vacuum to the substrate to couple the substrate to substrate chuck 3644. Substrate chuck 3644 is coupled to base 3642. Base 3642 is coupled to motion stage support 3920 of imprint lithography system 3900, shown in FIG. 1. During use, stationary substrate support 3640 remains in a fixed position on motion stage support 3920 while imprint head 3100 position is varied to access different portions of the substrate. [0120] Coupling an imprint head to a motion stage can offer advantages over techniques in which the substrate is on a motion stage. Motion stages generally rely on an air bearing to allow substantially frictionless motion of the motion stage. Generally, motion stages are not designed to accommodate significant pressure applied along the Z-axis. When pressure is applied to a motion stage chuck along the Z-axis, the motion stage chuck position will change slightly in response to this pressure. During a step and repeat process, a template that has a smaller area than the area of the substrate is used to form multiple imprinted areas. The substrate motion" stage is relatively large compared to the template to accommodate the larger substrates . When a template contacts the substrate motion stage in a position that is off-center, the motion stage will tilt to accommodate the increased pressure. This tilt is compensated for by tilting the imprint head to ensure proper alignment. If, however, the imprint head is coupled to the motion stage, all of the forces along the Z-axis will be centered on the template, regardless of where on the substrate the imprinting is taking place. This leads to increased ease in alignment and may also increase the throughput of the system.
[0121] In an embodiment, substrate tilt module 3654 may be formed in substrate support 3650 as depicted in FIG. 38. Substrate support 3650 includes a substrate chuck 3652, coupled to a substrate tilt module 3654. Substrate tilt module 3654 is coupled to a base 3656. Base 3656, in one embodiment, is coupled to a motion stage which allows X-motion of substrate support 3650. Alternatively, base 3656 is coupled to a support (e.g., 3920) such that substrate support 3650 is mounted to imprint lithography system 3900 in a fixed position.
[0122] Substrate chuck 3652 may employ any suitable means to retain a substrate to substrate chuck 3652. In one embodiment, substrate chuck 3652 may include a vacuum system which applies a vacuum to the substrate to couple the substrate to substrate chuck 3652. Substrate tilt module 3654 includes a flexure ring 3658 coupled to flexure ring support 3660. A plurality of actuators 3662 are coupled to flexure ring 3658 and flexure ring support 3660. Actuators 3662 are operated to alter the tilt of flexure ring 3658. Actuators 3662, in one embodiment, - use a differential gear mechanism that may be manually or automatically operated. In an alternate embodiment, actuators 3662 use an eccentric roller mechanism. An eccentric roller mechanism generally provides more vertical stiffness to substrate support 3650 than a differential gear system. In one embodiment, substrate tilt module 3654 has a stiffness that will inhibit tilt of the substrate when the template applies a force of between about 1 lb. to about 10 lbs. to a liquid disposed on the substrate. Specifically, substrate tilt module 3654 is configured to allow no more than 5 micro radians of tilt when pressure up to about 10 lbs. is applied to the substrate through the liquid on the template. [0123] During use sensors coupled to substrate chuck 3652 may be used to determine the tilt of the substrate. The tilt of the substrate is adjusted by actuators 3662. In this manner tilt correction of the substrate may be achieved.
[0124] Substrate tilt module 3654 may also include a fine orientation system. A substrate support that includes a fine orientation system is depicted in FIG. 38. To achieve fine orientation control, flexure ring 3658 includes a central recess in which substrate chuck 3652 is disposed. The depth of the central recess is such that an upper surface of a substrate disposed on substrate chuck 3652 is substantially even with an upper surface of flexure ring 3658. Fine orientation may be achieved using actuators 3662 capable of controlled motion in the nanometer range. Alternatively, fine orientation may be achieved in a passive manner. Actuators 3662 may be substantially compliant. The compliance of actuators 3662 may allow the substrate to self-correct for variations in tilt when a template is in contact with a liquid disposed on a substrate surface. By disposing the substrate in a position that is substantially even with flexure ring 3658, fine orientation may be achieved at the substrate-liquid interface during use. Compliance of actuators 3662 is thus transferred to the upper surface of the substrate to allow fine orientation of the substrate. [0125] The above described systems are generally configured to systems in which an activating light curable liquid is dispensed onto a substrate and the substrate and template are brought into proximity to each other. It should be understood, however, that the above- described systems may be modified to allow an activating light curable liquid to be applied to a template rather than the substrate. In such an embodiment, the template is placed below the substrate. FIG. 41 depicts a schematic drawing of an embodiment of a system 4100 that is configured such that the template is positioned below a substrate. System 4100 includes an imprint head 4110 and a substrate support 4120 positioned above imprint head 4110. Imprint head 4110 is configured to hold patterned template 3700. Imprint head 4110 may have a similar design to any of the herein described imprint heads. For example, imprint head 4110 may include a fine orientation system as described herein. Imprint head 4110 is be coupled to imprint head support 4130. Imprint head 4110 may be coupled in a fixed position and remain substantially motionless during use. Alternatively, imprint head 4110 may be placed on a motion stage that allows X-Y planar motion of imprint head 4110 during use.
[0126] The substrate to be imprinted is mounted onto a substrate support 4120. Substrate support 4120 has a similar design to any of the herein described substrate supports. For example, substrate support 4120 may include a fine orientation system as described herein. Substrate support 4120 may be coupled to a support 4140 in a fixed position and remain substantially motionless during use. Alternatively, substrate support 4120 may be placed on a motion stage that allows X-Y planar motion of substrate support during use .
[0127] During use an activating light curable liquid 40 is placed on patterned template 3700 disposed in imprint head 4110. The template may be patterned or planar, depending on the type of operation to be performed. Patterned templates may be configured for use in positive, negative, or combinations of positive and negative imprint lithography systems as described herein.
[0128] A typical imprint lithography process is shown in FIGS. 23A-23F. As depicted in FIG. 23A, template 12 is. positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20. Template 12 may include a surface that defines one or more desired features, which may be transferred to the substrate during patterning. As used herein, a "feature size" generally refers to a width, length and/or depth of one of the desired features. In various embodiments, the desired features may be defined on the surface of template 12 as recesses and or a conductive pattern formed on a surface of template 12. Surface 14 of template 12 may be treated with a thin surface treatment layer 13 that lowers template 12 surface energy and assists in separation of template 12 from substrate 20. Surface treatment layers for templates are described herein.
[0129] In an embodiment, curable liquid 40 may be dispensed upon substrate 20 prior to moving template 12 into a desired position relative to substrate 20. Curable liquid 40 may be a curable liquid that conforms to the shape of desired features of template 12. In an embodiment, curable liquid 40 is a low viscosity liquid that at least partially fills the space of gap 31 without the use of high temperatures, shown in FIG. 24A. Low viscosity liquids may also allow gap 31 between template 12 and substrate 20 to be closed without requiring high pressures. As used herein, the term "low viscosity liquids" refer to liquids having a viscosity of less than about 30 centipoise measured at about 25°C. Further details regarding appropriate selections for curable liquid 40 are discussed below. Template 12 may interact with curable liquid 40 to conform curable liquid 40 into a desired shape. For example, curable liquid 40 may conform to the shape of template 12, as depicted in FIG. 23B. The position of template 12 may be adjusted to create a desired gap distance between template 12 and substrate 20. The position of template 12 may also be adjusted to properly align template 12 with substrate 20. [0130] After template 12 is properly positioned, curable liquid 40 is cured to form a masking layer 42 on substrate 20. In an embodiment, curable liquid 40 is cured using activating light 32 to form masking layer 42. Application of activating light through template 12 to cure curable liquid 40 is depicted in FIG. 23C. After curable liquid 40 is substantially cured, template 12 is removed from masking layer 42, leaving the cured masking layer 42 on the surface of substrate 20, as depicted in FIG. 23D. Masking layer 42 has a pattern that is complementary to the pattern of template 12. Masking layer 42 may include a "base layer" (also called a "residual layer") between one or more desired features. The separation of template 12 from masking layer 42 is done so that desired features remain intact without shearing or tearing from the surface of substrate 20. Further details regarding separation of template 12 from substrate 20 following imprinting are discussed below. [0131] Masking layer 42 may be used in a variety of ways. For example, in some embodiments, masking layer 42 may be a functional layer. In such embodiments, curable liquid 40 may be curable to form a conductive layer, a semiconductive layer, a dielectric layer and/or a layer having a desired mechanical or optical property. In another embodiment, masking layer 42 may be used to cover portions of substrate 20 during further processing of substrate 20. For example, masking layer 42 may be used during a material deposition process to inhibit deposition of the material on certain portions of the substrate. Similarly, masking layer 42 may be used as a mask for etching substrate 20. To simplify further discussion of masking layer 42, only its use as a mask for an etching process will be discussed in embodiments described below. However, it is recognized that masking layers in embodiments described herein may be used in a variety of processes as previously described. [0132] For use in an etch process, masking layer 42 may be etched using an etch process until portions of substrate are exposed through masking layer 42, as depicted in FIG. 23E. That is, portions of the base layer may be etched away. Portions 44 of masking layer 42 may remain on substrate 20 for use in inhibiting etching of portions of substrate 20. After etching of masking layer 42 is complete, substrate 20 may be etched using known etching processes. Portions of substrate 20 disposed under portions 44 of masking layer 42 may remain substantially unetched while the exposed portions of substrate 20 are etched. In this manner, a pattern corresponding to the pattern of template 12 may be transferred to substrate 20. The remaining portions 44 of masking layer 42 may be removed leaving a patterned substrate 20, depicted in FIG. 23F.
[0133] FIGS. 24A-24D illustrate an embodiment of an imprint lithography process using a transfer layer. A transfer layer 18 may be formed upon an upper surface of substrate 20. Transfer layer 18 may be formed from a material that has different etch characteristics than underlying substrate 20 and/or masking layer 42 formed from a curable liquid 40. That is, each layer (e.g., transfer layer 18, masking layer 42 and/or substrate 20) may be etched at least somewhat selectively with respect to the other layers.
[0134] Masking layer 42 is formed on the surface of transfer layer 18 by depositing a curable liquid on the surface of transfer layer 18 and curing masking layer 42, shown in FIGS. 23A-23C. Masking layer 42 may be used as a mask for etching transfer layer 18. Masking layer 42 is etched using an etch process until portions of transfer layer 18 are exposed through masking layer 42, as depicted in FIG. 24B. Portions 44 of masking layer 42 remain on transfer layer .18 and may be used to inhibit etching of portions of transfer layer 18. After etching of masking layer 42 is complete, transfer layer 18 may be etched using known etching processes. Portions of transfer layer 18 disposed under portions 44 of masking layer 42 may remain substantially unetched while the exposed portions of transfer layer 18 are etched. In this manner, the pattern of masking layer 42 is replicated in transfer layer 18.
[0135] In FIG. 24C, portions 44 and etched portions of transfer layer 18 together form a masking stack 46 that may be used to inhibit etching of portions 44 of underlying substrate 20. Etching of substrate 20 may be performed using a known etch process (e.g., a plasma etching process, a reactive ion etching process, etc.) . As depicted in FIG. 24D, masking stack 46 may inhibit etching of the underlying portions of substrate 20. Etching of the exposed portions of substrate 20 may be continued until a predetermined depth is reached. An advantage of using a masking stack 46 as a mask for etching of substrate 20 is that the combined stack of layers may create a high aspect ratio mask (i.e., a mask that has a greater height than width) . A high aspect ratio masking layer may be desirable during the etching process to inhibit undercutting of mask portions 44. [0136] The processes depicted in FIGS. 23A-23F and FIGS. 24A-24D are examples of negative imprint lithography processes. As used herein a "negative imprint lithography" process generally refers to a process in which the curable liquid is substantially conformed to the shape of the template before curing. That is, a negative image of the template is formed in the cured liquid. As depicted in these figures, the non- recessed portions of the template become the recessed portions of the mask layer. The template, therefore, is designed to have a pattern that represents a negative image of the pattern to be imparted to the mask layer. [0137] As used herein a "positive imprint lithography" process generally refers to a process in which the pattern formed in the mask layer is a mirror image of the pattern of the template. As will be further described below, the non-recessed portions of the template become the non-recessed portions of the mask layer. [0138] A typical positive imprint lithography process is shown in FIGS. 25A-25D. As depicted in FIG. 25A, template 12 is positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20. Surface of template 12 may be treated with a thin surface treatment layer 13 that lowers template 12 surface energy and assists in separation of template 12 from cured masking layer 42. [0139] Curable liquid 40 is disposed on the surface of substrate 20. Template 12 is brought into contact with curable liquid 40. As depicted in FIG. 25B, curable liquid 40 fills the gap between the lower surface of template 12 and substrate 20. In contrast to a negative imprint lithography process, curable liquid 40 is substantially absent from regions of substrate 20- approximately below at least a portion of the recesses of template 12. Thus, curable liquid 40 is maintained as a discontinuous film on substrate 20 that is defined by the location of at least a portion of the recesses of template 12. After template 12 is properly positioned, curable liquid 40 is cured to form a masking layer 42 on substrate 20. Template 12 is removed from masking layer 42, leaving cured masking layer 42 on the surface of substrate 20, as depicted in FIG. 25C. Masking layer 42 has a pattern that is complementary to the pattern of template 12.
[0140] Masking layer 42 may be used to inhibit etching of portions of substrate 20. After formation of masking layer 42 is complete, substrate 20 may be etched using known etching processes. Portions of substrate 20 disposed under masking layer 42 may remain substantially unetched while the exposed portions of substrate 20 are etched, as depicted in FIG. 25D. In this manner, the pattern of template 12 may be replicated in substrate 20. The remaining portions 44 of masking layer 42 may be removed to create patterned substrate 20.
[0141] FIGS. 26A-26C illustrate an embodiment of a positive imprint lithography process using a transfer layer 18. Transfer layer 18 may be formed upon an upper surface of a substrate 20. Transfer layer 18 is formed from a material that has different etch characteristics than the underlying transfer layer 18 and/or substrate 20. Masking layer 42 is formed on the surface of transfer layer 18 by depositing a curable liquid on the surface of transfer layer 18 and curing the masking layer 42, shown in FIGS. 25A-25C.
[0142] Masking layer 42 may be used as a mask for etching transfer layer 18. Masking layer 42 may inhibit etching of portions of transfer layer 18. Transfer layer 18 may be etched using known etching processes. Portions of transfer layer 18, disposed under masking layer 42, may remain substantially unetched while the exposed portions of transfer layer 18 are etched. In this manner, the pattern of masking layer 42 may be replicated in transfer layer 18.
[0143] In FIG. 26B, masking layer 42 and etched portions of transfer layer 18 together form masking stack 46 that may be used to inhibit etching of portions of the underlying substrate 20. Etching of substrate 20 may be performed using known etching processes (e.g., a plasma etching process, a reactive ion etching process, etc.) . As depicted in FIG. 26C, the masking stack may inhibit etching of the underlying portions of substrate 20. Etching of the exposed portions of substrate 20 may be continued until a predetermined depth is reached. [0144] In an embodiment, a process may combine positive and negative imprint lithography. A template for a combined positive and negative imprint lithography process may include recesses suitable for positive lithography and recesses suitable for negative lithography. For example, an embodiment of a template for combined positive and negative imprint lithography is depicted in FIG. 27A. Template 12, as depicted in FIG. 27A, includes a lower surface 566, at least one first recess 562, and at least one second recess 564. First recess 562 is configured to create a discontinuous portion of curable liquid 40 when template 12 contacts curable liquid 40. A height of first recess (h2) is substantially greater than a height of second recess
[0145] A typical combined imprint lithography process is shown in FIGS. 27A-27D. As depicted in FIG. 27A, template 12 is positioned in a spaced relation to substrate 20 such that a gap is formed between template 12 and substrate 20. At least lower surface 566 of template 12 may be treated with a thin surface treatment layer (not shown) that lowers template 12 surface energy and assists in separation of template 12 from cured masking layer 42. Additionally, surfaces of first recesses 562 and/or second recesses 564 may be treated with the thin surface treatment layer.
[0146] Curable liquid 40 is disposed on the surface of substrate 20. Template 12 is brought into contact with curable liquid 40. As depicted in FIG. 27B, curable liquid 40 fills the gap between lower surface 566 of the template 12 and substrate 20. Curable liquid 40 also fills first recesses 562. However, curable liquid 40 is substantially absent from regions of substrate 20 approximately below second recesses 564. Thus, curable liquid 40 is maintained as a discontinuous film on substrate 20 that includes surface topography corresponding to the pattern formed by first recesses 562. After template 12 is properly positioned, curable liquid 40 is cured to form masking layer 42 on the substrate. Template 12 is removed from masking layer 42, leaving the cured masking layer 42 on the surface of substrate 20, as depicted in FIG. 27C. Masking layer 42 may include a patterning region 568 that resembles a mask layer formed by negative imprint lithography. In addition, masking layer 42 may include a channel region 569 that does not include any masking material. [0147] In one embodiment, masking layer 42 is composed of a material that has the same or a similar etch rate as underlying substrate 20. An etch process is to be applied to masking layer 42 to remove masking layer 42 and substrate 20 at substantially the same etch rate. In this manner the multilayer pattern of template 12 may be transferred to substrate 20, as depicted in FIG. 27D. This process may also be performed using transfer layer 18 as described in other embodiments.
[0148] It should be understood that recesses 562 may have any shape desired, including one or more shoulders, one of which is shown in Fig. 27E as shoulder 563a of recess 563. Additionally, a recess may be provided with a shape so that shoulders 565a, shown in recess 565 define a height h and an additional portion 565b of recess 565 has a greater height h2, shown in Fig. 27F. In this manner, curable liquid 40 is disposed in superimposition with the portions of template having recesses therein that are no greater than height hi and is absent with respect to portions of template 12 having a height h2, for the reason discussed above. [0149] A combination of positive and negative lithography is also useful for patterning multiple regions of template 12. For example, substrate 20 may include a plurality of regions that require patterning. As depicted in FIG. 27C, template 12 with multiple depth recesses includes two patterning regions 568 with an intervening channel/border region 569. Channel region 569 inhibits flow of a liquid beyond the patterning area of template 12. [0150] As used herein, a "step and repeat" process refers to using a template smaller than the substrate to form a plurality of patterned regions on the substrate. A step and repeat imprint process includes depositing a light curable liquid on a portion of a substrate, aligning a pattern in the cured liquid to previous patterns on the substrate, impressing a template into the liquid, curing the liquid, and separating the template from the cured liquid. Separating the template from the substrate may leave an image of the topography of the template in the cured liquid. Since the template is smaller than the total surface area of the subst-cate, only a portion of the substrate includes the patterned cured liquid. The "repeat" portion of the process includes depositing a light curable liquid on a different portion of the substrate. A patterned template is then aligned with the substrate and contacted with the curable liquid. The curable liquid is cured using activating light to form a second area of cured liquid. This process may be continually repeated until most of the substrate is patterned. Step and repeat processes may be used with positive, negative, or positive/negative imprint processes. Step and repeat processes may be performed with any embodiments of equipment described herein.
[0151] Step and repeat imprint lithography processes offer a number of advantages over other techniques. Step and repeat processes described herein are based on imprint lithography that uses low viscosity light curable liquids and rigid, transparent templates. The templates are transparent to liquid activating light and alignment mark detection light thus offering the potential for layer-to-layer alignment. For production-scale imprint lithography of multi-level devices, it is advantageous to possess very high-resolution layer-to-layer alignment (e.g., as low as l/3rd of the minimum feature size ("MFS") ) .
[0152] There are various sources of distortion errors in the making of the templates. Step and repeat processes are used so that only a portion of a substrate is processed during a given step. The size of the field processed during each step should be small enough to possess pattern distortions of less than l/3rd the MFS. This necessitates step and repeat patterning in high- resolution imprint lithography. This is also the reason why most optical lithography tools are step and repeat systems. Also, as discussed before, a need for low CD variations and defect inspection/repair favors processing of small fields.
[0153] In order to keep process costs low, it is important for lithography equipment to possess sufficiently high throughput. Throughput requirements put a stringent limit on the patterning time allowed per field. Low viscosity liquids that are light curable are attractive from a throughput point of view. These liquids move much faster to properly fill the gap between the template and the substrate, and the lithography capability is pattern independent. The resulting low pressure, room temperature processing is suitable for high throughput, while retaining the benefits of layer- to-layer alignment.
[0154] While prior inventions have addressed patterning of low viscosity light curable liquids, they have not addressed this for a step and repeat process. In photolithography, as well as in hot embossing, a film is spin coated and hard baked onto the substrate prior to its patterning. If such an approach is used with low viscosity liquids, there are three major problems. Low viscosity liquids are difficult to spin coat since they tend to de-wet and cannot retain the form of a continuous film. Also, in a step and repeat process, the liquid undergoes evaporation, thereby causing varying amounts of liquid to be left behind on the substrate as the template steps and repeats over the substrate. Finally, a blanket light exposure tends to disperse beyond the specific field being patterned. This tends to cause partial curing of the subsequent field, thereby affecting the fluid properties of the liquid prior to imprinting. An approach that dispenses liquid suitable for a single field onto the substrate, one field at a time, may solve the above three problems. However, it is important to accurately confine the liquid to that particular field to avoid loss of usable area on the substrate. [0155] In general, lithography is one of many unit processes used in the production of devices. The cost of all these processes, particularly in multi-layer devices, makes it highly desirable to place patterned regions as close as possible to each other without interfering with subsequent patterns. This effectively maximizes the usable area and hence the usage of the substrate. Also, imprint lithography may be used in a "mix-and-match" mode with other kinds of lithography, such as optical lithography, wherein different levels of the same device are made from different lithography technologies. It is advantageous to make the imprint lithography process compatible with other lithography techniques. A kerf/border region separates two adjacent fields on a substrate. In state-of-the-art optical lithography tools this border region may be as small as 50-100 microns. The size of the border is typically limited by the size of the blades used to separate the patterned regions. This small border region is expected to get smaller as the blades that dice the individual chips get thinner. In order to achieve this stringent border size requirement, the location of any excess liquid that is expelled from the patterned area should be well confined and repeatable. As such, the individual components, including the template, substrate, liquid and any other materials that affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals' forces, viscosity, density, opacity, etc., are engineered as described herein to properly accommodate a repeatable process .
[0156] As discussed previously, discontinuous films are formed using an appropriately patterned template. For example, a template with high aspect ratio recesses that define a border region can inhibit the flow of a liquid beyond the border area. The inhibition of the liquid within a border area is influenced by a number of factors. As discussed above template design plays a role in the confinement of a liquid. Additionally, the process by which the template is contacted with the liquid also influences the confinement of the liquid. [0157] FIGS. 19A-19C depict a cross-sectional view of a process wherein discontinuous films are formed on a surface. In one embodiment, a curable liquid 40 is dispensed onto a substrate 20 as a pattern of lines or droplets, as depicted in FIG. 19A. Curable liquid 40, therefore, does not cover an entire area of substrate 20 to be imprinted. As lower surface 536 of template 12 contacts curable liquid 40, the force of template 12 on curable liquid 40 causes curable liquid 40 to spread over the surface of substrate 20, as depicted in FIG. 19B. Generally, the more force that is applied by template 12 to curable liquid 40, the further curable liquid 40 will spread over the substrate. Thus, if a sufficient amount of force is applied, curable liquid 40 may be forced beyond a perimeter of template 12, as depicted in FIG. 19C. By controlling the forces applied to curable liquid 40 by template 12, curable liquid 40 is confined within the predetermined borders of template 12, as depicted in FIG. 19D.
[0158] The amount of force applied to curable liquid 40 is related to the amount of liquid dispensed on substrate 20 and the distance template 12 is from substrate 20 during curing. For a negative imprint lithography process the amount of fluid dispensed onto the substrate should be less than or equal to a volume defined by: the volume of liquid required to substantially fill the recesses of the patterned template, the area of the substrate to be patterned, and the desired thickness of the cured layer. If the amount of cured liquid exceeds this volume, the liquid will be "displaced from the perimeter of the template when the template is brought to the appropriate distance from the substrate. For a positive imprint lithography process the amount of liquid dispensed onto the substrate should be less than the volume defined by: the desired thickness of the cured layer (i.e., the distance between the non- recessed portions of the template and the substrate) and the surface area of the portion of the substrate to be patterned.
[0159] For an imprint lithography processes that uses a template that includes one or more borders, the distance between the non-recessed surface of the template and the substrate is set between the minimum film thickness and the maximum film thickness, as previously described. Setting the height between these values allows the appropriate capillary forces to contain the liquid within the border-defined areas of the template. Additionally, the thickness of the layer should be approximately comparable to the height of the patterned features. If the cured layer is too thick, the features formed in the cured layer may be eroded before the features can be transferred to the underlying substrate. It is therefore desirable to control the volume as described above to allow the appropriate film thickness to be used.
[0160] The force applied by template 12 to curable liquid 40 is also influenced by the rate at which template 12 is brought into contact with curable liquid 40. Generally, the faster template 12 is brought into contact, the more force is applied to curable liquid 40. Thus, some measure of control of the spread of curable liquid 40 on the surface of substrate 20 may be achieved by controlling the rate at which template 12 is brought into contact with curable liquid 40. [0161] All of these features are considered when positioning template 12 with respect to substrate 20 for an imprint lithography process. By controlling these variables in a predetermined manner, the flow of curable liquid 40 may be controlled to stay confined within a predetermined area.
[0162] Overlay alignment schemes include measurement of alignment errors followed by compensation of these errors to achieve accurate alignment of a patterned template and a desired imprint location on a substrate. Correct placement of the template with respect to the substrate is important for achieving proper alignment of the patterned layer with any previously formed layers on the substrate. To that end, it is desirable to achieve proper alignment after the template is brought into contact with the liquid, referred to as in-liquid align. It is desirable, however, to attenuate resistance between the template and the liquid material so as to avoid shearing forces in the liquid which may distort any pattern recorded therein. Thus, in addition to contacting the liquid with the template appropriately to reduce the probability that liquid moves beyond the patterning area, the minimum distance between the template and the substrate must be selected to allowing in-liquid align. In other words, for a given viscosity of the liquid material, the minimum distance between the template and the substrate, upon which the liquid material is disposed, is established to attenuate resistance to movement between the template and the liquid material. For example, the distance may be established to attenuate resistance to movement between the template and the liquid material due to stiction of the liquid material. In this fashion, placement errors may be avoided while minimizing distortions in a recorded pattern. Placement error, as used herein, generally refers to X-Y positioning errors between a template and substrate (that is, translation along the X- and/or Y- axis) . Placement errors, in one embodiment, are determined and corrected for by using a through the template optical device, as depicted in FIG. 14. [0163] FIG. 28 illustrates a schematic diagram of optical system 3820 of through the template optical imaging system 3800, shown in FIG. 14. Optical system 3820 is configured to focus two alignment marks from different planes onto a single focal plane. Optical system 3820 may use the change of focal length resulting from light with distinct wavelengths to determine the alignment of the template with an underlying substrate. Optical system 3820 may include optical imaging device 3810, an illumination source (not shown), and a focusing device 3805. Light with distinct wavelengths may be generated either by using individual light sources or by using a single broad band light source and inserting optical band-pass filters between the imaging plane and the alignment marks. Depending on the gap between patterned template 3700 and substrate 2500, different wavelengths are selected to adjust the focal lengths. Under each wavelength of light used, each overlay mark may produce two images on the imaging plane, as depicted in FIG. 29. A first image 2601, using a specific wavelength of light, is a clearly focused image. A second image 2602, using the same wavelength of light, is an out-of-focus image. In order to eliminate each out-of- focus image, several methods may be used. [0164] In a first method, under illumination with a first wavelength of light, two images may be received by optical imaging device 3810. Images are depicted in FIG. 29 and generally referenced by numeral 2604. While images are depicted as squares, it should be understood that any other shape may be used, including crosses. Image 2602 corresponds to an overlay alignment mark on the substrate. Image 2601 corresponds to an overlay alignment mark on the template. When image' 2602 is focused, image 2601 is out-of-focus . In an embodiment, an image processing technique may be used to erase geometric data corresponding to pixels associated with image 2602. Thus, the out-of-focus image of the substrate mark may be eliminated, leaving only image 2601. Using the same procedure and a second wavelength of light, images 2605 and 2606 may be formed on optical imaging device 3810. The out-of-focus image 2606 is then eliminated, leaving only image 2605. The two remaining focused images 2601 and 2605 are then combined onto a single imaging plane 2603 for making overlay error measurements .
[0165] A second method may utilize two coplanar polarizing arrays, as depicted in FIG. 30, and polarized illumination sources. FIG. 30 illustrates overlay marks 2701 and orthogonally polarizing arrays 2702. Polarizing arrays 2702 are formed on the template surface or placed above the surface. Under two polarized illumination sources, only focused images 2703 (each corresponding to a distinct wavelength and polarization) may appear on the imaging plane. Thus, out-of-focus images are filtered out by polarizing arrays 2702. An advantage of this method may be that it may not require an image processing technique to eliminate out-focused images. [0166] Moire pattern based overlay measurement has been used for optical lithography processes.- For imprint lithography processes, where two layers of Moire patterns are not on the same plane but still overlapped in the imaging array, acquiring two individual focused images may be difficult to achieve. However, carefully controlling the gap between the template and substrate within the depth of focus of the optical measurement tool and without direct contact between the template and substrate may allow two layers of Moire patterns to be simultaneously acquired with minimal focusing problems. It is believed that other standard overlay schemes based on the Moire patterns may be directly implemented to imprint lithography process.
[0167] Another concern with overlay alignment for imprint lithography processes that use UV curable liquid materials may be the visibility of the alignment marks. For the overlay placement error measurement, two overlay marks, one on the template and the other on substrate are used. However, since it is desirable for the template to be transparent to a curing agent, the template overlay marks, in some embodiments, are not opaque lines. Rather, the template overlay marks are topographical features of the template surface. In some embodiment, the marks are made of the same material as the template . In addition, UV curable liquids may have a refractive index that is similar to the refractive index of the template materials (e.g., quartz). Therefore, when the UV curable liquid fills the gap between the template and the substrate, template overlay marks may become very difficult to recognize. If the template overlay marks are made with an opaque material (e.g., chromium) , the UV curable liquid below the overlay marks may not be properly exposed to the UV light.
[0168] In an embodiment, overlay marks are used on the template that are seen by optical imaging system 3800 but are opaque to the curing light (e.g., UV light) . An embodiment of this approach is illustrated in FIG. 31. In FIG. 31, instead of completely opaque lines, overlay marks 3102 on the template may be formed of fine polarizing lines 3101. For example, suitable fine polarizing lines have a width about % to %A of the wavelength of activating light used as the curing agent. The line width of polarizing lines 3101 should be small enough so that activating light passing between two lines is diffracted sufficiently to cause curing of all the liquid below the lines. In such an embodiment, the activating light may be polarized according to the polarization of overlay marks 3102. Polarizing the activating light provides a relatively uniform exposure to all the template regions including regions having overlay marks 3102. Light used to locate overlay marks 3102 on the template may be broadband light or a specific wavelength that may not cure the liquid material. This light need not be polarized. Polarizing lines 3101 are substantially opaque to the measuring light, thus making overlay marks 3102 visible using established overlay error measuring tools. Fine polarized overlay marks are fabricated on the template using existing techniques, such as electron beam lithography.
[0169] In another embodiment, overlay marks 3102 are formed of a different material than the template. For example, a material selected to form the template overlay marks 3102 may be substantially opaque to visible light, but transparent to activating light used as the curing agent (e.g., UV light) . For example, SiOx where X is less than 2 may be used as such a material . In particular, structures formed of SiOx, where X is about 1.5, are substantially opaque to visible light, but transparent to UV curing light.
[0170] In all embodiments of an imprint lithography process, a liquid is dispensed onto a substrate. While the following description is directed to dispensing liquids on substrate, it should be understood that the same liquid dispensing techniques are also used when dispensing liquids onto a template. Liquid dispensing is a carefully controlled process. In general, liquid dispensing is controlled such that a predetermined amount of liquid is dispensed in the proper location on the substrate. Additionally, the volume of liquid is also controlled. The combination of the proper volume of liquid and the proper location of the liquid is controlled by using the liquid dispensing systems described herein. Step and repeat processes, in particular, use a combination of liquid volume control and liquid placement to confine patterning to a specified field.
[0171] A variety of liquid dispensing patterns are used. Patterns may be in the form of continuous lines or patterns of droplets of liquid. In some embodiments, relative motion between a displacement based liquid dispenser tip and an imprinting member is used to form a pattern with substantially continuous lines on a portion of the imprinting member. Balancing rates of dispensing and relative motion is used to control the size of the cross-section of the line and the shape of the line. During the dispensing process, the dispenser tips are fixed near (e.g., on the order of tens of microns) to the substrate . Two examples of continuous patterns are depicted in FIGS. 32A and 32B. The pattern depicted in FIGS. 32A and 32B is a sinusoidal pattern; however, other patterns are possible. As depicted in FIGS. 32A and 32B, a continuous line pattern may be drawn using either a single dispenser tip 2401 or multiple dispenser tips 2402. Alternatively, a pattern of droplets may be used, as depicted in FIG. 32C. In one embodiment, a pattern of droplets that has a central droplet that has a greater volume than surrounding droplets is used. When the template contacts the droplets, the liquid spreads to fill the patterning area of the template, as depicted in the FIG. 32C. [0172] Dispensing rate, Vd, and relative lateral velocity of an imprinting member, vs, may be related as follows:
(1) vd = Vd / td (dispensing volume/dispensing period) ,
(2) vs = L / td (line length/dispensing period) ,
(3) vd = a L (where, 'a' is the cross section area of line pattern) ,
Therefore,
(4) vd = a vs)
[0173] The width of the initial line pattern may normally depend on the tip size of a dispenser. The dispenser tip may be fixed. In an embodiment, a liquid dispensing controller is used to control the volume of liquid dispensed (Vd) and the time taken to dispense the liquid (td) . If Vd and td are fixed, increasing the length of the line leads to lower height of the cross- section of the line patterned. Increasing pattern length may be achieved by increasing the spatial frequency of the periodic patterns. Lower height of the pattern may lead to a decrease in the amount of liquid to be displaced during imprint processes. By using multiple tips connected to the same dispensing line, line patterns with long lengths may be formed faster as compared to the case of a single dispenser tip. Alternatively, a plurality of closely spaced drops is used to form a line with an accurate volume . [0174] After curing of the liquid is completed, the template is separated from the cured liquid. Since the template and substrate are almost perfectly parallel, the assembly of the template, imprinted layer, and substrate leads to a substantially uniform contact between the template and the cured liquid. Such a system may require a large separation force to separate the template from the cured liquid. In the case of a flexible template or substrate, the separation, in one embodiment, is performed using a "peeling process." However, use of a flexible template or substrate may be undesirable for high-resolution overlay alignment. In the case of a quartz template and a silicon substrate, a peeling process may be difficult to implement . In one embodiment, a "peel and pull" process is performed to separate the template from an imprinted layer. An embodiment of a peel and pull process is illustrated in FIGS. 33A-33C.
[0175] FIG. 33A depicts template 12 embedded in curable liquid 40 after curing. After curing of curable liquid 40, either the template 12 or substrate 20 may be tilted to intentionally induce an angle 3604 between template 12 and substrate 20, as depicted in FIG. 33B. A pre-calibration stage, either coupled to template 12 or substrate 20 may be used to induce a tilt between template 12 and curable liquid 40. The relative lateral motion between template 12 and substrate 20 may be insignificant during the tilting motion if the tilting axis is located close to template 12 -substrate 20 interface. Once angle 3604 between template 12 and substrate 20 is large enough, template 12 may be separated from the substrate 20 using only Z-axis motion
(i.e., vertical motion) . This peel and pull method may result in desired portions 44 being left intact on a transfer layer 18 and substrate 20 without undesirable shearing.
[0176] In addition to the above-described embodiments, embodiments described herein include forming patterned structures by using electric fields. Cured layers formed using electric fields to induce a pattern in the cured layer may be used for single imprinting or step and repeat processes .
[0177] FIG. 34 depicts an embodiment of template 1200 and substrate 1202. Template 1200, in one embodiment, is formed from a material that is transparent to activating light to allow curing of the polymerizable composition/activating-light-curable-liquid by exposure to activating light. Forming template 1200 from a transparent material also allows the use of established optical techniques to measure the gap between template 1200 and substrate 1202 and to measure overlay marks to perform overlay alignment and magnification correction during formation of the structures. Template 1200 is also thermally and mechanically stable to provide nano- resolution patterning capability. Template 1200 includes an electrically conducting material and/or layer 1204 to allow electric fields to be generated at template- substrate interface.
[0178] In one embodiment, a blank of fused silica (e.g., quartz) is used as the material for base 1206 of template 1200. Indium tin oxide (ITO) is deposited onto base 1206. ITO is transparent to visible and UV light and is a conducting material . ITO may be patterned using high-resolution electron beam lithography. A low-surface energy coating, as previously described, may be coated onto template 1200 to improve the release characteristics between template 1200 and the activating light curable liquid. Substrate 1202 may include standard wafer materials, such as Si, GaAs, SiGeC and InP. A UV curable liquid and/or a thermally curable liquid may be used as polymerizable composition 1208. In an embodiment, polymerizable composition 1208 may be spin coated onto the wafer 1210. In another embodiment, a predetermined volume of polymerizable composition 1208 may be dispensed onto substrate 1202 in a predetermined pattern, as described herein. In some embodiments, transfer layer 1212 may be placed between wafer 1210 and polymerizable composition 1208. Transfer layer 1212 material properties and thickness may be chosen to allow for the creation of high-aspect ratio structures from low-aspect ratio structures created in the cured liquid material. Connecting ITO to a voltage source 1214 may generate an electric field between template 1200 and substrate 1202. [0179] In FIGS. 35A-35D and FIGS. 36A-36C, two embodiments of the above-described process are illustrated. In each embodiment, a desired uniform gap may be maintained between template 1200 and substrate 1202. An electric field of the desired magnitude may be applied resulting in the attraction of polymerizable composition 1208 towards the raised portions 1216 of template 1200. In FIGS. 35A-35D, the gap and field magnitudes are such that polymerizable composition 1208 makes direct contact and adheres to template 1200. A curing agent (e.g., activating light .1218 and/or heat) may be used to cure the liquid. Once desired structures have been formed, template 1200 may be separated from substrate 1202 by methods described herein. [0180] Referring to FIGS. 34, 35A-D and 36A-C, in a further embodiment, template 1200 may be "adjustable". The term "adjustable", in the context of this embodiment, generally refers to independent control of differing conductive portions of conducting material and/or layer 1204. Controlling the conductive portions refers to turning on, turning off, and/or adjusting an electric field of the conductive portions . To that end, template 1200 would include non-conductive material that insulates the differing conductive portions from each other. Non- conductive material 1704 may be formed from, for example, silicon dioxide. Conductive portions would form a pattern complementary to a pattern to be produced on a masking layer. The pattern of conductive portions is formed in non-conducting material using methods known to those skilled in the art. Conductive portions are electrically connected to voltage source 1214, either independently or together. In an embodiment where the conductive portions are independently connected to voltage source 1214, there may be a control device to independently adjust the electric field generated by one or more of the conductive portions. In an embodiment, electrical connectors may run through non-conductive material from another side to connect to the conductive portions. In an alternate embodiment, the conductive portions may extend through the non-conductive material such that electrical connectors are not required. [0181] In FIGS. 36A-36C, the gap and field magnitudes may be chosen such that polymerizable composition 1208 achieves a topography that is essentially the same as that of template 1200. This topography may be achieved without making direct contact with template 1200. A curing agent (e.g. activating light 1218) may be used to cure the liquid. In the embodiment of FIGS. 35A-35D and FIGS. 36A-36C, a subsequent etch process may be used to remove the cured material 1220. A further etch may also be used if transfer layer 1212 is present between cured material 1220 and wafer 1210, shown in FIGS. 35A-35D and FIGS. 36A-36C.
[0182] In another embodiment, FIG. 37A depicts an electrically conductive template that includes a continuous layer of electrically conductive portions 1504 coupled to a non-conductive bases 1502. As shown in FIG. 37B the non-conductive bases 1502 of the template are isolated from each other by the conductive portions 1504. The template may be used in a "positive" imprint process as described above .
[0183] Use of electric fields, as described in these embodiments, may allow lithographic patterned structures to be formed quickly (in a time of less than about 1 second) . The structures generally have sizes of tens of nanometers. In one embodiment, curing an activating light curable liquid in the presence of electric fields creates a patterned layer on a substrate. The pattern is created by placing a template with specific nanometer- scale topography at a controlled distance (e.g., within nanometers) from the surface of a thin layer of the curable liquid on a substrate. If all or a portion of the desired structures are regularly repeating patterns (such as an array of dots) , the pattern on the template may be considerably larger than the size of the desired repeating structures.
[0184] The replication of the pattern on the template may be achieved by applying an electric field between the template and the substrate. Because the liquid and air (or vacuum) have different dielectric constants and the electric field varies locally due to the presence of the topography of the template, an electrostatic force may be generated that attracts regions of the liquid toward the template. Surface tension or capillary pressures tend to stabilize the film. At high electric field strengths, the activating light curable liquid may be made to attach to the template and de-wet from the substrate at certain points. However, the attachment of the liquid film will occur provided the ratio of electrostatic forces is comparable to the capillary forces, which are measured by the dimensionless number Λ. The magnitude of the electrostatic force is approximately eE2cf, where e is the permittivity of vacuum, E is the magnitude of the electric field, and d is the feature size. The magnitude of the capillary forces is approximately yd, where y is the liquid-gas surface tension. The ratio of these two forces is Λ = eE2d/y . In order to deform the interface and cause it to attach to the upper surface, the electric field must be such that L is approximately unity. The precise value depends on the details of the topography of the plates and the ratio of liquid-gas permittivities and heights, but this number will be 0 (1) . Thus, the electric field is approximately given by E ~ (y/e d)y\ This activating light curable liquid may be hardened in place by polymerization of the composition. The template may be treated with a low energy self-assembled monolayer film (e.g., a fluorinated surfactant) to aid in detachment of the template the polymerized composition. [0185] An example of the above approximations is given below. For d = 100 nm and γ = 30 mJ/m and e = 8.85 x 10- 12 C2/J-m, E = 1.8 X 108 V/m, which corresponds to a potential difference between the plates of modest 18 V if the plate spacing is 100 nm or 180 V if the plate spacing is 1000 nm. Note that the feature size d ~ y/eE2, which means that the size of the feature decreases with the square of the electric field. Thus, 50 nm features would require voltages on the order of 25 or 250 V for 100 and 1000 nm plate spacings, respectively.
[0186] It may be possible to control the electric field, the design of the topography of the template, and the proximity of the template to the liquid surface so as to create a pattern in the activating light curable liquid that does not come into contact with the surface of the template. This technique may eliminate the need for mechanical separation of the template from the polymerized composition. This technique may also eliminate a potential source of defects in the pattern. In the absence of contact, however, the liquid may not form sharp, high-resolution structures that are as well defined, as in the case of contact. This may be addressed by first creating structures in the activating light curable liquid that are partially defined at a given electric field. Subsequently, the gap may be increased between the template and substrate while simultaneously increasing the magnitude of the electric field to "draw-out" the liquid to form clearly defined structures without requiring contact .
[0187] The activating light curable liquid may be deposited on top of a transfer layer as previously described. Such a bi-layer process allows low aspect ratio, high-resolution structures formed using electrical fields to be followed by an etch process to yield high- aspect ratio, high-resolution structures. Such a bi- layer process may also be used to perform a "metal liftoff process" to dep6sit a metal on the substrate such that the metal is left behind after lift-off in the trench areas of the originally created structures . [0188] Using a low viscosity activating light curable liquid, pattern formation using electric fields may be fast (e.g., less than about 1 sec), and the structure may be rapidly cured. Avoiding temperature variations in the substrate and the activating light curable liquid may also avoid undesirable pattern distortion that makes nano-resolution layer-to-layer alignment impractical. In addition, as mentioned above, it is possible to quickly form a pattern without contact with the template, thus eliminating defects associated with imprint methods that require direct contact .
[0189] In this patent, certain U.S. patents, and U.S. patent applications have been incorporated by reference. The text of such U.S. patents and U.S. patent applications is, however, only incorporated by reference to the extent that no conflict exists between such text and the other statements and drawings set forth herein. In the event of such conflict, U.S. patents and U.S. patent applications are specifically not incorporated by reference in this patent.
[0190] While this invention has been described with references to various illustrative embodiments, the description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments as well as other embodiments of the invention will be apparent to persons skilled in the art upon reference to the description. It is, therefore, intended that the appended claims encompass any such modifications or embodiments.

Claims

In the claims :
1. A method of forming a pattern on a substrate, employing a template, the 'method comprising: positioning the template and the substrate in a spaced relationship to each other so that a gap is created between the template and the substrate; filling the gap with light activating light curable liquid substantially; and solidifying the light activating light curable liquid.
2. The method as recited in claim 1 further including, before filing the gap, position the template and the substrate, applying a predetermined amount of the activating light curable liquid to a portion of the substrate .
3. The method as recited in claim 1 further including, before filing the gap, position the template and the substrate, applying a predetermined amount of the activating light curable liquid to a portion of the template .
4. The method as recited in claim 1 further including providing the template with a first surface and a plurality of recesses formed in the template extending from the first surface toward an opposing second surface, where the recesses define a plurality of features in the first surface of the patterned template and filling the gap further includes filling the gap between the non- recessed portions of the template and the substrate, and wherein the activating light curable liquid is substantially absent from regions of the substrate approximately below the recesses of the template.
5. The method of claim 1, wherein the activating light curable liquid has a viscosity of less than about 30 centipoise measured at 25 °C.
6. The method of claim 1, wherein the activating light curable liquid has a viscosity of less than about 10 centipoise measured at 25 °C.
7. The method of claim 1, wherein the template further comprises a surface treatment layer on at least a portion of the lower surface, and wherein the surface treatment layer reduces the surface free energy of the lower surface measured at 25 °C to less than about 40 dynes/cm.
8. The method of claim 1, wherein the template comprises a first surface treatment layer on at least a portion of the lower surface, and a second surface treatment layer on the recessed portions of the template, wherein the first and second surface treatment layers have different wetting characteristics with respect to the activating light curable liquid.
9. The method of claim 1, wherein applying the activating light curable liquid to a portion of the substrate comprises dispensing the liquid with a fluid dispenser such that a predetermined pattern of the activating light curable liquid is produced on the portion of the substrate.
10. The method of claim 1, wherein applying the activating light curable liquid to a portion of the substrate comprises applying the liquid to areas of the substrate corresponding to areas of the template that are not recessed and not applying the liquid to areas of the substrate corresponding to areas of the template that are recessed.
11. The method of claim 1, wherein positioning the template and the substrate in a spaced relationship comprises : positioning the template over the substrate; and moving the template toward the substrate until a desired spaced relationship is achieved, wherein the liquid on the substrate substantially fills the gap between the non-recessed portions of the template and the substrate as the template is positioned over the substrate .
12. The method of claim 1, wherein positioning the template and the substrate in a spaced relationship comprises positioning the template at a distance of less than about 500 nm from the substrate.-
13. The method of claim 1, further comprising separating the template from the cured liquid by applying a separating light to the cured liquid, with the separating light alters the chemical composition of a portion of cured liquid such that the adhesion of the template to the cured liquid is reduced.
14. The method of claim 1, further comprising separating the template from the cured liquid by applying a separating light to the cured liquid, with the separating light alters the chemical composition of a portion of the cured liquid such that a gas is released by the cured liquid near the interface of the template and the cured liquid.
15. The method of claim 1, further comprising sensing the distance between the template and the substrate, and altering the distance between the template and the substrate, prior to applying activating light to the activating light curable liquid.
16. The method of claim 1, further comprising sensing the force applied to position the template and the substrate in a spaced relationship, and altering the force applied to the template prior to applying activating light to the activating light curable liquid.
17. A method of forming a pattern on a substrate with a template having a patterning area, the method comprising: placing the patterning area opposite a region of the substrate, defining a gap therebetween; positioning an activating light curable liquid between the substrate and the template; filling the gap with the activating light curable liquid by contacting the activating light curable liquid with both the template and the substrate, while confining the activating light curable liquid within the gap; and forming, from the activating light curable liquid, a solidified material.
18. The method as recited in claim 17 further including placing said patterning area opposite an additional region of the substrate, defining an additional gap therebetween, positioning additional activating light curable liquid between the substrate and the template, filling the additional gap with the additional activating light curable liquid by contacting the additional activating light curable liquid with both the template and the substrate, while confining the additional activating light curable liquid within the additional gap; and forming, from the additional activating light curable liquid, additional solidified material.
19. The method as recited in claim 17 further including repeatably placing said patterning area opposite additional regions of the substrate to form a gap between the patterning area and each of the additional regions, defining a plurality of additional gaps, positioning additional activating light curable filling each of the plurality of additional gaps with the additional activating light curable liquid by contacting the additional activating light curable liquid with both the template and the substrate, while confining the additional activating light curable liquid within the plurality of additional gaps; and forming, from the additional activating light curable liquid, additional solidified material.
20. The method as recited in claim 17 wherein positioning the activating light curable liquid between the substrate and the template further includes applying the activating light curable liquid to a surface of the template..
21. The method as recited in claim 17 wherein positioning the activating light curable liquid between the substrate and the template further includes applying the activating light curable liquid to a surface of the substrate .
22. The method as recited in claim 17 wherein positioning the activating light curable liquid between the substrate and the template further includes applying a predetermined pattern of the activating light curable liquid to the template.
23. The method as recited in claim 17 wherein positioning the activating light curable liquid between the substrate and the template further includes applying a predetermined pattern of the activating light curable liquid to a surface of the substrate.
24. The method of claim 17 further including determining a distance between the template and the substrate by determining a resistive force between the template and the activating light curable liquid.
25. The method of claim 17 wherein the patterned template comprises a first surface, facing the substrate, and a plurality of recesses formed extending from the first surface toward a second surface of the template, disposed opposite the first surface, with the plurality of recesses defined the patterning area.
26. The method of claim 17 wherein positioning the activating light curable liquid further includes placing a predetermined volume between the template and the substrate, with the predetermined volume being no greater than a quantity required to substantially fill the recesses, and a maximum fluid film thickness at which surface forces of a liquid-template interface and a liquid-substrate interface are sufficient to inhibit spreading of the activating light curable liquid beyond the patterning area.
27. The method of claim 17 further including positioning said template a desired orientation with respect to said substrate and maintaining said orientation in response to a force being exerted upon said template.
28. The method of claim 17 wherein filling the gap with the activating light curable liquid further includes, before placing the patterning area opposite said region, disposing a plurality of droplets of said activating light curable liquid upon one of said template and said substrate .
29. A system for forming a pattern on a substrate comprising: a body supporting the substrate; a template coupled to the body and having a patterned region; a displacement system, coupled to the body, to provide relative movement between the substrate and the template and place the template in superimposition with a portion of the substrate, defining a patterning portion; a liquid dispenser coupled to dispense an activating light curable liquid onto a sub-portion of the patterning portion, with said displacement system coupled to selectively place the activating light curable liquid in contact with the template by reducing a distance between the substrate and the template, a source to impinge light upon the patterning portion, with the light being selected to solidify the activating light curable liquid; and a force detector coupled to the imprint head to generate information indicating a force applied to the template by contact between the template and the activating light curable liquid, with the displacement system establishing a rate at which the distance changes in accordance with the information to minimize a quantity of the activating light curable liquid extending to areas of the substrate outside of the patterning portion.
30. The system as recited in claim 29 wherein the displacement system further includes a motion stage, upon which the substrate is supported, to provide relative movement between the substrate and the template along first and second transverse axes and an imprint head, to which the template is attached, to provide relative movement between the template and the substrate along a third axis that extends transversely to the first and second axes .
31. The system of claim 29 further including a fine orientation system connected to the template to allow pivotal movement of the template with respect to the substrate to maintain a substantially parallel orientation with respect to the substrate in response to a force applied to the template.
32. The system as recited in claim 29 further including a fine orientation system connected to the motion stage to allow motion of the substrate with respect to the template in response to a force applied to the substrate.
33. The system as recited in claim 32 wherein said motion stage further includes a substrate chuck to support the substrate and a substrate tilt module coupled to the substrate chuck, and a fine orientation system connected to the tilt module to allow the tilt module to vary the tilt of the substrate and maintain a substantially parallel orientation of the substrate and the template in response to forces being applied to the substrate.
34. The system of claim 29 wherein the template further includes first and second opposed sides with the pattern region and a first surface disposed on the first side, the pattern region including a pattern surface and a recess extending from the pattern surface toward the second side, ending in a terminus, with the first surface being spaced-apart from the second side a first distance, the terminus being spaced apart from the second side a second distance and the pattern surface being spaced- apart from the second side a third distance, with the first distance differing from both the second and third distances .
35. The system of claim 29 further including a fine orientation system having a first flexure member, wherein the first flexure member is configured to pivot about a first orientation axis and a second flexure member coupled to the first flexure member, wherein the second flexure member is configured to pivot about a second orientation axis a support coupled to the second flexure member, wherein the support to which the template is attached.
36. The system of claim 29 further comprising a precalibration stage coupled to the fine orientation system, wherein the precalibration stage is configured to move the fine orientation system toward and away from the substrate during use .
37. The system of claim 29 further comprising an enclosure around at least the imprint head and the motion stage, and a temperature control system, wherein the temperature control system is configure to inhibit temperature variations of greater than about 1 °C within the enclosure during use .
38. The system of claim 29 further comprising an air gauge coupled to the motion stage, wherein the air gauge is configured to determine a distance between the substrate and the template.
39. A method of preparing patterned structures on a substrate, comprising: applying an activating light curable liquid to a surface of the substrate,- positioning a template proximate to the activating light curable liquid, wherein the template comprises: a non-conductive layer; an electrically conductive layer adjacent the non- conductive layer and substantially between the non- conductive layer and the substrate, wherein the electrically conductive layer forms a contiguous pattern of structures complementary to the patterned structures to be produced on the substrate; applying an electric field between the template and the substrate by passing a current through the electrically conductive layer, wherein the applied electric field creates an electric static force that attracts a portion of the activating light curable liquid toward the electrically conductive layer of the template.
40. The method of claim 39, further comprising curing the activating light curable liquid by applying activating light to the activating light curable liquid.
41. The method of claim 39, further comprising forming a transfer layer on the substrate prior to applying the activating light curable liquid.
42. The method of claim 39, wherein the activating light curable liquid has a viscosity of less than about 30 centipoise measured at 25 °C.
43. The method of claim 39, further comprising curing the activating light curable liquid, wherein the electric field is applied between the template and the substrate while curing the activating light curable liquid.
44. The method of claim 39, wherein the template is substantially transparent to activating light.
45. The method of claim 39, wherein the substrate is substantially transparent to activating light and the electrically conductive material comprises indium tin oxide .
46. The method of claim 39, wherein the template further comprises a low-surface energy coating.
47. The method of claim 39, wherein the template further comprises a low-surface energy coating, and wherein the low-surface energy coating is a fluorine containing coating.
48. The method of claim 39, wherein applying the electric field between the template and the substrate causes a portion of the activating light curable liquid to contact a portion of the template.
49. The method of claim 39, wherein the activating light curable liquid is attracted to the electrically conductive layer but does not contact the electrically conductive layer when the electric field is applied between the template and the substrate.
50. The method of claim 39 wherein the substrate comprises of material selected from a set of materials consisting of GaAs, SiGeC and InP.
EP03788242A 2002-07-11 2003-07-10 Imprint lithography processes and systems Withdrawn EP1549475A4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP11176056.7A EP2388119B1 (en) 2002-07-11 2003-07-10 An imprint lithography process

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US10/194,410 US6908861B2 (en) 2002-07-11 2002-07-11 Method for imprint lithography using an electric field
US10/194,991 US7077992B2 (en) 2002-07-11 2002-07-11 Step and repeat imprint lithography processes
US194410 2002-07-11
US194991 2002-07-11
US10/194,411 US6932934B2 (en) 2002-07-11 2002-07-11 Formation of discontinuous films during an imprint lithography process
US194414 2002-07-11
US10/194,414 US6900881B2 (en) 2002-07-11 2002-07-11 Step and repeat imprint lithography systems
PCT/US2003/021556 WO2004016406A1 (en) 2002-07-11 2003-07-10 Imprint lithography processes and systems
US194411 2005-08-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
EP11176056.7A Division EP2388119B1 (en) 2002-07-11 2003-07-10 An imprint lithography process

Publications (2)

Publication Number Publication Date
EP1549475A1 EP1549475A1 (en) 2005-07-06
EP1549475A4 true EP1549475A4 (en) 2009-04-01

Family

ID=31892234

Family Applications (2)

Application Number Title Priority Date Filing Date
EP11176056.7A Expired - Lifetime EP2388119B1 (en) 2002-07-11 2003-07-10 An imprint lithography process
EP03788242A Withdrawn EP1549475A4 (en) 2002-07-11 2003-07-10 Imprint lithography processes and systems

Family Applications Before (1)

Application Number Title Priority Date Filing Date
EP11176056.7A Expired - Lifetime EP2388119B1 (en) 2002-07-11 2003-07-10 An imprint lithography process

Country Status (8)

Country Link
EP (2) EP2388119B1 (en)
JP (2) JP2005533393A (en)
KR (1) KR100963510B1 (en)
CN (2) CN1668437A (en)
AU (1) AU2003253862A1 (en)
MY (2) MY164487A (en)
TW (5) TWI319509B (en)
WO (1) WO2004016406A1 (en)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7261830B2 (en) 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
JP4481698B2 (en) * 2004-03-29 2010-06-16 キヤノン株式会社 Processing equipment
JP4393244B2 (en) 2004-03-29 2010-01-06 キヤノン株式会社 Imprint device
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
WO2006023297A1 (en) * 2004-08-16 2006-03-02 Molecular Imprints, Inc. Method and composition to provide a layer with uniform etch characteristics
US7676088B2 (en) 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
JP4641835B2 (en) * 2005-03-16 2011-03-02 リコー光学株式会社 Method of manufacturing phase shifter optical element and element obtained
US20080102225A1 (en) * 2005-03-23 2008-05-01 Braun Christopher P Method for Manufacturing a Device Using Imprint Lithography and Direct Write Technology
JP4736522B2 (en) * 2005-04-28 2011-07-27 旭硝子株式会社 Method of manufacturing processed substrate processed by etching
JP4742665B2 (en) * 2005-04-28 2011-08-10 旭硝子株式会社 Method of manufacturing processed substrate processed by etching
JP4701008B2 (en) * 2005-05-25 2011-06-15 東芝機械株式会社 Transfer device with gimbal mechanism
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP4515413B2 (en) * 2005-05-27 2010-07-28 エーエスエムエル ネザーランズ ビー.ブイ. Imprint lithography
US7927089B2 (en) 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
JP5213335B2 (en) * 2006-02-01 2013-06-19 キヤノン株式会社 Imprint mold and method for producing structure using the mold
US20070216048A1 (en) * 2006-03-20 2007-09-20 Heptagon Oy Manufacturing optical elements
KR20080114681A (en) * 2006-04-03 2008-12-31 몰레큘러 임프린츠 인코퍼레이티드 Lithography imprinting system
KR20090003153A (en) * 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
JP4795300B2 (en) * 2006-04-18 2011-10-19 キヤノン株式会社 Alignment method, imprint method, alignment apparatus, imprint apparatus, and position measurement method
KR20090031349A (en) * 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 Siloxane epoxy polymers for redistribution layer applications
KR101261606B1 (en) * 2006-05-09 2013-05-09 삼성디스플레이 주식회사 Apparatus for manufacturing a display panel and method for manufacturing the same
JP2007329276A (en) 2006-06-07 2007-12-20 Tokyo Ohka Kogyo Co Ltd Method for forming resist pattern by nanoimprint lithography
KR100857521B1 (en) * 2006-06-13 2008-09-08 엘지디스플레이 주식회사 Manufacturing apparatus and method thereof for TFT
US20080020303A1 (en) * 2006-07-24 2008-01-24 Wei Wu Alignment for contact lithography
EP2584408B1 (en) * 2007-02-06 2020-10-07 Canon Kabushiki Kaisha Imprint method and imprint apparatus
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
KR101413233B1 (en) * 2007-09-14 2014-06-30 삼성전자 주식회사 Nano-imprint lithography process
JP4467611B2 (en) 2007-09-28 2010-05-26 株式会社日立製作所 Optical imprint method
JP2009212471A (en) * 2008-03-06 2009-09-17 Sanyo Electric Co Ltd Method for manufacturing semiconductor device
US20110076353A1 (en) * 2008-03-14 2011-03-31 Masamitsu Shirai Photo- imprinting process, mold-duplicating process, and mold replica
CN101612697B (en) * 2008-06-25 2012-07-18 鸿富锦精密工业(深圳)有限公司 Die core clamping tool and center correction method thereof
JP2010027743A (en) * 2008-07-16 2010-02-04 Ebara Corp Glass substrate for imprint, resist pattern forming method, and method and apparatus for inspecting glass substrate for imprint
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
JP5279397B2 (en) * 2008-08-06 2013-09-04 キヤノン株式会社 Imprint apparatus, imprint method, and device manufacturing method
JP5361309B2 (en) * 2008-09-25 2013-12-04 キヤノン株式会社 Imprint apparatus and imprint method
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2003871A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography.
WO2010095614A1 (en) * 2009-02-17 2010-08-26 Scivax株式会社 Photo imprinting member and photo imprinting apparatus
JP5377053B2 (en) * 2009-04-17 2013-12-25 株式会社東芝 Template, manufacturing method thereof, and pattern forming method
JP5173944B2 (en) 2009-06-16 2013-04-03 キヤノン株式会社 Imprint apparatus and article manufacturing method
NL2004945A (en) 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5328726B2 (en) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 Thin film deposition apparatus and organic light emitting display device manufacturing method using the same
JP5677785B2 (en) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. Thin film deposition apparatus and organic light emitting display device manufacturing method using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (en) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 Apparatus for thin layer deposition
JP2011146447A (en) 2010-01-12 2011-07-28 Canon Inc Imprint apparatus and article manufacturing method
KR101174875B1 (en) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus manufactured by the method
KR101193186B1 (en) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus manufactured by the method
KR101156441B1 (en) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 Apparatus for thin layer deposition
KR101202348B1 (en) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 Apparatus for thin layer deposition and method for manufacturing of organic light emitting display apparatus using the same
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
JP6215512B2 (en) 2010-06-30 2017-10-18 富士フイルム株式会社 Maintenance liquid
KR101223723B1 (en) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus manufactured by the method
KR101678056B1 (en) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus manufactured by the method
KR101738531B1 (en) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 Method for manufacturing of organic light emitting display apparatus, and organic light emitting display apparatus manufactured by the method
KR101723506B1 (en) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 Apparatus for organic layer deposition and method for manufacturing of organic light emitting display apparatus using the same
KR20120045865A (en) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 Apparatus for organic layer deposition
KR20120065789A (en) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 Apparatus for organic layer deposition
JP5773761B2 (en) * 2010-12-17 2015-09-02 キヤノン株式会社 Lithographic system and article manufacturing method using the same
KR101760897B1 (en) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 Deposition source and apparatus for organic layer deposition having the same
KR101923174B1 (en) 2011-05-11 2018-11-29 삼성디스플레이 주식회사 ESC, apparatus for thin layer deposition therewith, and method for manufacturing of organic light emitting display apparatus using the same
KR101852517B1 (en) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 Apparatus for organic layer deposition and method for manufacturing of organic light emitting display apparatus using the same
KR101840654B1 (en) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 Apparatus for organic layer deposition and method for manufacturing of organic light emitting display apparatus using the same
KR101857249B1 (en) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 Patterning slit sheet assembly, apparatus for organic layer deposition, method for manufacturing organic light emitting display apparatus and organic light emitting display apparatus
KR20130004830A (en) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 Apparatus for thin layer deposition and method for manufacturing of organic light emitting display apparatus using the same
KR101826068B1 (en) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 Apparatus for thin layer deposition
JP6004738B2 (en) * 2011-09-07 2016-10-12 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same
KR20130069037A (en) 2011-12-16 2013-06-26 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus
JP6092200B2 (en) * 2012-05-25 2017-03-08 綜研化学株式会社 Photo-curable resin composition for imprint, production method and structure
KR101959974B1 (en) 2012-07-10 2019-07-16 삼성디스플레이 주식회사 Apparatus for organic layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus manufactured by the method
US9496524B2 (en) 2012-07-10 2016-11-15 Samsung Display Co., Ltd. Organic layer deposition apparatus, method of manufacturing organic light-emitting display apparatus using the same, and organic light-emitting display apparatus manufactured using the method
KR102013318B1 (en) 2012-09-20 2019-08-23 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus
JP6252098B2 (en) 2012-11-01 2017-12-27 信越化学工業株式会社 Square mold substrate
JP5971561B2 (en) 2013-01-29 2016-08-17 株式会社東芝 Pattern forming method and pattern forming apparatus
JP6385145B2 (en) * 2013-06-18 2018-09-05 キヤノン株式会社 Structure manufacturing method and manufacturing apparatus
KR102108361B1 (en) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 Apparatus for monitoring deposition rate, apparatus for organic layer deposition using the same, method for monitoring deposition rate, and method for manufacturing of organic light emitting display apparatus using the same
US9700869B2 (en) * 2013-07-17 2017-07-11 Newport Fab, Llc Continuously producing digital micro-scale patterns on a thin polymer film
US9348231B2 (en) * 2013-07-17 2016-05-24 Palo Alto Research Center Incorporated Continuously producing digital micro-scale patterns on a thin polymer film
JP5771256B2 (en) * 2013-10-01 2015-08-26 株式会社荏原製作所 Imprint glass substrate, resist pattern forming method, imprint glass substrate inspection method and inspection apparatus
KR102162797B1 (en) 2013-12-23 2020-10-08 삼성디스플레이 주식회사 Method for manufacturing of organic light emitting display apparatus
JP6398902B2 (en) 2014-08-19 2018-10-03 信越化学工業株式会社 Rectangular substrate for imprint lithography and method for manufacturing the same
JP6005698B2 (en) 2014-08-29 2016-10-12 株式会社ミノグループ Manufacturing method of substrate with fine uneven pattern
JP2016164961A (en) 2014-10-30 2016-09-08 キヤノン株式会社 Liquid discharging device, nanoimprint device, liquid-containing tank for nanoimprint, method for manufacturing hardened material pattern, method for manufacturing optical component, method for manufacturing circuit board, and method for manufacturing imprint mold
JP6011671B2 (en) * 2015-04-02 2016-10-19 大日本印刷株式会社 Imprint substrate and imprint method
US10024654B2 (en) * 2015-04-06 2018-07-17 Kla-Tencor Corporation Method and system for determining in-plane distortions in a substrate
JP7077223B2 (en) 2015-10-15 2022-05-30 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム A versatile method for precision nanoscale manufacturing
US10191368B2 (en) * 2015-11-05 2019-01-29 Board Of Regents, The University Of Texas System Multi-field overlay control in jet and flash imprint lithography
JP2017157639A (en) * 2016-02-29 2017-09-07 キヤノン株式会社 Imprint device and method for manufacturing article
JP6924828B2 (en) * 2016-10-18 2021-08-25 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. Structural microlithography
CA3054965A1 (en) * 2017-03-16 2018-09-20 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
JP6903146B2 (en) 2017-09-27 2021-07-14 富士フイルム株式会社 Curable composition for imprint, method of manufacturing cured product pattern, method of manufacturing circuit board and cured product
WO2020203387A1 (en) 2019-03-29 2020-10-08 富士フイルム株式会社 Composition for forming underlayer film in imprint method, kit, pattern forming method, multilayer body, and method for producing semiconductor element
JPWO2020203472A1 (en) * 2019-03-29 2020-10-08
US11422460B2 (en) * 2019-12-12 2022-08-23 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography using feedback and feedforward control
US11531267B2 (en) 2020-07-02 2022-12-20 Himax Technologies Limited Imprinting apparatus
CN113334774B (en) * 2021-06-22 2023-02-17 上海梓域材料科技有限公司 Leveling method of 3D printing platform
CA3225494A1 (en) * 2021-07-12 2023-01-19 Afshin Shahalizad NAMIN Integrated optoelectronic devices for lighting and display applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes
WO2001047003A2 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20020042027A1 (en) * 1998-10-09 2002-04-11 Chou Stephen Y. Microscale patterning and articles formed thereby

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2704001B2 (en) * 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5731152A (en) * 1996-05-13 1998-03-24 Motorola, Inc. Methods and systems for biological reagent placement
JP4846888B2 (en) * 1998-12-01 2011-12-28 キヤノン株式会社 Alignment method
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6389702B1 (en) 2000-05-12 2002-05-21 Electroglas, Inc. Method and apparatus for motion control
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
ATE356374T1 (en) * 2001-05-16 2007-03-15 Univ Texas METHOD FOR PRODUCING NANOM SCALE STRUCTURES IN LIGHT-CURING COMPOSITIONS USING AN ELECTRICAL FIELD
CN100347608C (en) * 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020042027A1 (en) * 1998-10-09 2002-04-11 Chou Stephen Y. Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes
WO2001047003A2 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2004016406A1 *

Also Published As

Publication number Publication date
JP2005533393A (en) 2005-11-04
JP2010123985A (en) 2010-06-03
EP1549475A1 (en) 2005-07-06
TWI289731B (en) 2007-11-11
TW200407682A (en) 2004-05-16
TWI295227B (en) 2008-04-01
TWI326797B (en) 2010-07-01
EP2388119B1 (en) 2016-09-07
WO2004016406A1 (en) 2004-02-26
TW200604730A (en) 2006-02-01
CN101710228A (en) 2010-05-19
EP2388119A1 (en) 2011-11-23
TWI319509B (en) 2010-01-11
KR20050024324A (en) 2005-03-10
TW200405138A (en) 2004-04-01
KR100963510B1 (en) 2010-06-15
MY164487A (en) 2017-12-29
MY144124A (en) 2011-08-15
CN101710228B (en) 2012-08-15
TW200408902A (en) 2004-06-01
CN1668437A (en) 2005-09-14
TW200426496A (en) 2004-12-01
AU2003253862A1 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
EP2388119B1 (en) An imprint lithography process
US6900881B2 (en) Step and repeat imprint lithography systems
US7727453B2 (en) Step and repeat imprint lithography processes
US6932934B2 (en) Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) Method for imprint lithography using an electric field
US6916584B2 (en) Alignment methods for imprint lithography
US7027156B2 (en) Scatterometry alignment for imprint lithography
US7070405B2 (en) Alignment systems for imprint lithography
WO2004013693A2 (en) Scatterometry alignment for imprint lithography
CN100365507C (en) Template for room temperature, low pressure micro-and nano-imprint lithography

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20050211

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

DAX Request for extension of the european patent (deleted)
RIN1 Information on inventor provided before grant (corrected)

Inventor name: WILLSON, GRANT

Inventor name: BONNECAZE, ROGER

Inventor name: MEISSL, MARIO

Inventor name: VOISIN, RONALD

Inventor name: SCHUMAKER, NORMAN

Inventor name: WATTS, MICHAEL

Inventor name: CHOI, BYUNG-JIN

Inventor name: SREENIVASAN, SIDLGATA

A4 Supplementary search report drawn up and despatched

Effective date: 20090303

17Q First examination report despatched

Effective date: 20090806

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

RTI1 Title (correction)

Free format text: IMPRINT LITHOGRAPHY PROCESSES

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20120515