EP0758148A3 - Method and apparatus for forming electrical contacts in multi-layer integrated circuits - Google Patents

Method and apparatus for forming electrical contacts in multi-layer integrated circuits Download PDF

Info

Publication number
EP0758148A3
EP0758148A3 EP96305722A EP96305722A EP0758148A3 EP 0758148 A3 EP0758148 A3 EP 0758148A3 EP 96305722 A EP96305722 A EP 96305722A EP 96305722 A EP96305722 A EP 96305722A EP 0758148 A3 EP0758148 A3 EP 0758148A3
Authority
EP
European Patent Office
Prior art keywords
carrier layer
contact
electrical contacts
trenches
flux
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP96305722A
Other languages
German (de)
French (fr)
Other versions
EP0758148A2 (en
Inventor
Zheng Xu
John Forster
Tse-Yong Yao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP0758148A2 publication Critical patent/EP0758148A2/en
Publication of EP0758148A3 publication Critical patent/EP0758148A3/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

The disclosure relates to a carrier layer (100) and a contact (118) enabled by the carrier layer which enables the fabrication of aluminium (including aluminum alloys and other conductive material having a similar melting point) electrical contacts in multilayer integrated circuit vias, through holes, or trenches (113) having an aspect ratio greater than one. In fact, the structure has been shown to enable such contact fabrication in vias, through holes, and trenches having aspect ratios as high as at least 5:1, and should be capable of filing apertures having aspect ratios up to about 12:1. The carrier layer (100) in addition to permitting the formation of a conductive contact at high aspect ratio, provides a diffusion barrier which prevents the aluminum from migrating into surrounding substrate material (110) which operates in conjunction with the electrical contact. The carrier layer preferably comprises a layer (112, 114, 116) formed by ionizing the flux of sputter deposition material, partially reacting the flux with a gas, and depositing the resulting material on a substrate.
EP96305722A 1995-08-07 1996-08-02 Method and apparatus for forming electrical contacts in multi-layer integrated circuits Withdrawn EP0758148A3 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US511825 1983-07-08
US08/511,825 US5962923A (en) 1995-08-07 1995-08-07 Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches

Publications (2)

Publication Number Publication Date
EP0758148A2 EP0758148A2 (en) 1997-02-12
EP0758148A3 true EP0758148A3 (en) 1999-08-18

Family

ID=24036608

Family Applications (1)

Application Number Title Priority Date Filing Date
EP96305722A Withdrawn EP0758148A3 (en) 1995-08-07 1996-08-02 Method and apparatus for forming electrical contacts in multi-layer integrated circuits

Country Status (4)

Country Link
US (5) US5962923A (en)
EP (1) EP0758148A3 (en)
JP (3) JP3193875B2 (en)
KR (3) KR100442023B1 (en)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2891161B2 (en) * 1996-02-15 1999-05-17 日本電気株式会社 Wiring formation method
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
DE19621855C2 (en) * 1996-05-31 2003-03-27 Univ Dresden Tech Process for producing metallizations on semiconductor bodies using a pulsed vacuum arc evaporator
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW402778B (en) * 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
EP0867940A3 (en) 1997-03-27 1999-10-13 Applied Materials, Inc. An underlayer for an aluminum interconnect
US5925225A (en) * 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6057237A (en) * 1997-04-29 2000-05-02 Applied Materials, Inc. Tantalum-containing barrier layers for copper
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
KR100480572B1 (en) * 1997-11-13 2005-09-30 삼성전자주식회사 Metalization method using aluminium
KR20010032498A (en) * 1997-11-26 2001-04-25 조셉 제이. 스위니 Damage-free sculptured coating deposition
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
JP3399814B2 (en) * 1997-11-27 2003-04-21 科学技術振興事業団 Method for manufacturing fine projection structure
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6284316B1 (en) * 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
JP3735461B2 (en) * 1998-03-27 2006-01-18 株式会社シンクロン Compound metal compound thin film forming method and thin film forming apparatus therefor
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
JP3126698B2 (en) * 1998-06-02 2001-01-22 富士通株式会社 Sputter film forming method, sputter film forming apparatus, and semiconductor device manufacturing method
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6277737B1 (en) 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6187673B1 (en) * 1998-09-03 2001-02-13 Micron Technology, Inc. Small grain size, conformal aluminum interconnects and method for their formation
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
KR100672101B1 (en) 1999-01-08 2007-01-19 어플라이드 머티어리얼스, 인코포레이티드 Method of depositing a copper seed layer which promotes improved feature surface coverage
TW426953B (en) * 1999-01-22 2001-03-21 United Microelectronics Corp Method of producing metal plug
JP2000212754A (en) * 1999-01-22 2000-08-02 Sony Corp Plating method, its device and plated structure
DE19922557B4 (en) * 1999-05-17 2004-11-04 Infineon Technologies Ag Process for depositing a TaN / Ta two-layer diffusion barrier
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100326253B1 (en) * 1999-12-28 2002-03-08 박종섭 Method for forming capacitor in semiconductor device
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
DE10014917B4 (en) * 2000-03-17 2004-12-02 Infineon Technologies Ag Method of making a contact layer
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20050181600A1 (en) * 2000-06-30 2005-08-18 Hynix Semiconductor, Inc. Method of forming a semiconductor device having a Ti/TiN/Ti<002>/a1<111> laminate
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6534394B1 (en) 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6376281B1 (en) * 2000-10-27 2002-04-23 Honeywell International, Inc. Physical vapor deposition target/backing plate assemblies
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
US6688584B2 (en) * 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
KR100433846B1 (en) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 Method for forming the Metal Layer Of Semiconductor Device
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6746950B2 (en) * 2001-11-14 2004-06-08 Vitesse Semiconductor Corporation Low temperature aluminum planarization process
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
KR100440261B1 (en) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 Method of manufacturing a metal line in semiconductor device
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
DE10208787B4 (en) * 2002-02-28 2007-04-26 Infineon Technologies Ag Method for filling partially bulbous deep trenches or deep trenches with a slope of 90 ° or greater and this method for the production of MOS field effect transistors and IGBTs
DE10214065B4 (en) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
DE10219115A1 (en) * 2002-04-29 2003-11-13 Infineon Technologies Ag Method of filling a contact hole and integrated circuit arrangement with contact hole
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6948231B2 (en) * 2002-05-21 2005-09-27 International Business Machines Corporation Method of depositing material into high aspect ratio features
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100564605B1 (en) * 2004-01-14 2006-03-28 삼성전자주식회사 Method for forming metal wiring layer of semiconductor device
KR100446300B1 (en) * 2002-05-30 2004-08-30 삼성전자주식회사 Method for forming metal interconnections of semiconductor device
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040112735A1 (en) * 2002-12-17 2004-06-17 Applied Materials, Inc. Pulsed magnetron for sputter deposition
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
KR100467783B1 (en) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 Formation method of plug in semiconductor device
EP1433740A1 (en) * 2002-12-24 2004-06-30 Interuniversitair Microelektronica Centrum Vzw Method for the closure of openings in a film
KR100688761B1 (en) * 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 Method for making metal line in semiconductor
DE10261466B4 (en) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale A method of making a conductive barrier layer having improved adhesion and resistance properties
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
JP4248928B2 (en) * 2003-05-13 2009-04-02 ローム株式会社 Semiconductor chip manufacturing method, semiconductor device manufacturing method, semiconductor chip, and semiconductor device
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US7253092B2 (en) * 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
ATE474071T1 (en) * 2003-08-11 2010-07-15 Honeywell Int Inc TARGET/SUPPORT PLATE CONSTRUCTIONS AND MANUFACTURING METHODS THEREOF
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US20050181177A1 (en) * 2004-02-18 2005-08-18 Jamie Knapp Isotropic glass-like conformal coatings and methods for applying same to non-planar substrate surfaces at microscopic levels
US7101787B1 (en) 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
KR100594276B1 (en) * 2004-05-25 2006-06-30 삼성전자주식회사 Method for forming metal wiring layer of semiconductor device
DE102005023670B4 (en) * 2004-05-25 2007-12-27 Samsung Electronics Co., Ltd., Suwon Method for forming metal-nitride layers in contact openings and integrated circuit with layers formed in this way
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
KR100667561B1 (en) * 2005-02-18 2007-01-11 주식회사 아이피에스 A thin-film manufacturing method
JP4914573B2 (en) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
WO2007023950A1 (en) * 2005-08-26 2007-03-01 Hitachi, Ltd. Semiconductor device manufacturing method
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
WO2007065460A1 (en) * 2005-12-09 2007-06-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thin-film resistor having a layer structure and method for producing a thin-film resistor having a layer structure
US20070138001A1 (en) * 2005-12-19 2007-06-21 Teng-Yuan Ko Method of forming an inductor on a semiconductor substrate
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070243708A1 (en) * 2006-04-12 2007-10-18 Jens Hahn Manufacturing method for an integrated semiconductor contact structure having an improved aluminum fill
US20090077506A1 (en) * 2007-05-16 2009-03-19 Eugene Anikin Simultaneous Multi-Layer Fill Generation
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
KR100792358B1 (en) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 Metal line in semiconductor device and method for forming the same
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
EP1947210A1 (en) * 2007-01-16 2008-07-23 ARCELOR France Method of coating a substrate, installation for implementing the method and device for supplying metal to such an installation
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
JP5448232B2 (en) * 2008-04-28 2014-03-19 コムコン・アーゲー Apparatus and method for pre-processing and coating an object
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
WO2010004890A1 (en) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 Method for thin film formation
US20100078758A1 (en) * 2008-09-29 2010-04-01 Sekar Deepak C Miim diodes
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US7969011B2 (en) * 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP2010245334A (en) 2009-04-07 2010-10-28 Renesas Electronics Corp Method of manufacturing semiconductor device
JP5144585B2 (en) 2009-05-08 2013-02-13 住友電気工業株式会社 Semiconductor device and manufacturing method thereof
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
JP5612830B2 (en) * 2009-05-18 2014-10-22 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
JP5649441B2 (en) 2009-12-29 2015-01-07 キヤノンアネルバ株式会社 Method of manufacturing electronic component having step of embedding metal film
WO2011081202A1 (en) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 Method for manufacturing an electronic component, electronic component, plasma treatment device, control program, and recording medium
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5392215B2 (en) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 Film forming method and film forming apparatus
CN102453855B (en) * 2010-10-28 2014-12-31 鸿富锦精密工业(深圳)有限公司 Shell and manufacturing method thereof
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
KR101638464B1 (en) 2012-01-24 2016-07-11 캐논 아네르바 가부시키가이샤 Electronic component manufacturing method and electrode structure
US8723115B2 (en) 2012-03-27 2014-05-13 Kla-Tencor Corporation Method and apparatus for detecting buried defects
US9449788B2 (en) 2013-09-28 2016-09-20 Kla-Tencor Corporation Enhanced defect detection in electron beam inspection and review
JP6329839B2 (en) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
JP6801200B2 (en) * 2016-03-16 2020-12-16 富士電機株式会社 Manufacturing method of silicon carbide semiconductor element
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
TWI713117B (en) * 2017-01-05 2020-12-11 聯華電子股份有限公司 Method for fabricating metal gate structure
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN107394062B (en) * 2017-07-20 2019-02-05 京东方科技集团股份有限公司 A kind of organic LED display panel and preparation method thereof, display device
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
DE102017219425B3 (en) * 2017-10-30 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nanostructured titanium multilayer electrode
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
KR20210093368A (en) * 2018-12-21 2021-07-27 어플라이드 머티어리얼스, 인코포레이티드 Methods and processing systems for forming contacts
US11670485B2 (en) * 2019-08-20 2023-06-06 Applied Materials, Inc. Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
CN110565056B (en) * 2019-09-19 2021-03-30 广东工业大学 5G metal/ceramic composite circuit board and preparation method thereof
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230134596A1 (en) * 2021-10-29 2023-05-04 Texas Instruments Incorporated Metal stack to improve stack thermal stability

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0427163A (en) * 1990-05-23 1992-01-30 Fujitsu Ltd Semiconductor device and manufacture thereof
US5290731A (en) * 1991-03-07 1994-03-01 Sony Corporation Aluminum metallization method
JPH06168891A (en) * 1992-11-30 1994-06-14 Mitsubishi Electric Corp Semiconductor fabricating system
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
EP0655780A1 (en) * 1993-11-30 1995-05-31 STMicroelectronics, Inc. Method for forming an aluminum contact
JPH10237639A (en) * 1997-02-24 1998-09-08 Anelva Corp Sputtering device for forming barrier film for integrated circuit

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3437864A (en) * 1966-08-29 1969-04-08 Boeing Co Method of producing high temperature,low pressure plasma
FR1534917A (en) * 1967-06-22 1968-08-02 Alcatel Sa Improvements in obtaining deposits by cathodic sputtering
US3649502A (en) * 1969-08-14 1972-03-14 Precision Instr Co Apparatus for supported discharge sputter-coating of a substrate
FR2082217A5 (en) * 1970-03-06 1971-12-10 Cit Alcatel Substrate coating by cathodic sputtering andevaporation
US3619403A (en) * 1970-06-30 1971-11-09 Lfe Corp Gas reaction apparatus
US3699034A (en) * 1971-03-15 1972-10-17 Sperry Rand Corp Method for sputter depositing dielectric materials
GB1399603A (en) * 1971-09-07 1975-07-02 Boswell R W Christiansen P J N Ion sources
BE788661A (en) * 1971-10-05 1973-03-12 Lefe Corp DEVICE FOR ATTACKING A MATERIAL BY A GAS IN AN ELECTROMAGNETIC FIELD
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US3873884A (en) * 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5384684A (en) 1976-12-29 1978-07-26 Fujitsu Ltd Plasma etching device
FR2475798A1 (en) * 1980-02-13 1981-08-14 Commissariat Energie Atomique METHOD AND DEVICE FOR PRODUCING HIGHLY CHARGED HEAVY IONS AND AN APPLICATION USING THE METHOD
US4351712A (en) * 1980-12-10 1982-09-28 International Business Machines Corporation Low energy ion beam oxidation process
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4499655A (en) * 1981-03-18 1985-02-19 General Electric Company Method for making alignment-enhancing feed-through conductors for stackable silicon-on-sapphire
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS5863139A (en) * 1981-10-12 1983-04-14 Nippon Telegr & Teleph Corp <Ntt> Forming insulated film on semiconductor crystal
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS59186955A (en) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk Production of beta-mercaptopropionic acid ester
JPS6043481A (en) * 1983-08-19 1985-03-08 旭硝子株式会社 Sputtering method and device
US4717632A (en) * 1983-08-22 1988-01-05 Ovonic Synthetic-Materials Company, Inc. Adhesion and composite wear resistant coating and method
FR2555362B1 (en) * 1983-11-17 1990-04-20 France Etat METHOD AND DEVICE FOR TREATING A SEMICONDUCTOR MATERIAL WITH PLASMA
JPS6164124A (en) 1984-09-06 1986-04-02 Anelva Corp Thin film manufacturing equipment
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPH0740468B2 (en) * 1984-12-11 1995-05-01 株式会社日立製作所 High frequency plasma generator
US4663009A (en) 1985-02-08 1987-05-05 Hewlett-Packard Company System and method for depositing plural thin film layers on a substrate
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS62152183A (en) * 1985-12-25 1987-07-07 Kyocera Corp Solar cell module
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
DE3632340C2 (en) * 1986-09-24 1998-01-15 Leybold Ag Inductively excited ion source
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4853102A (en) 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPS63317675A (en) 1987-06-18 1988-12-26 Nec Corp Plasma vapor growth device
JP2602276B2 (en) * 1987-06-30 1997-04-23 株式会社日立製作所 Sputtering method and apparatus
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4911814A (en) * 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
JPH0666287B2 (en) * 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0170387B1 (en) * 1989-10-03 1999-03-30 제임스 조셉 드롱 High-frequency semiconductor wafer processing method using a negative self-bias
DE3942964A1 (en) * 1989-12-23 1991-06-27 Leybold Ag DEVICE FOR PRODUCING A PLASMA
US5635036A (en) 1990-01-26 1997-06-03 Varian Associates, Inc. Collimated deposition apparatus and method
DE69129081T2 (en) 1990-01-29 1998-07-02 Varian Associates Device and method for precipitation by a collimator
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
KR960001601B1 (en) * 1992-01-23 1996-02-02 삼성전자주식회사 Contact-hole burying method of semiconductor device and its
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH0816266B2 (en) 1990-10-31 1996-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション Device for depositing material in high aspect ratio holes
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
DE4200809C2 (en) * 1991-03-20 1996-12-12 Samsung Electronics Co Ltd Method for forming a metallic wiring layer in a semiconductor device
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
US5242860A (en) 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
KR0126457B1 (en) * 1992-01-08 1997-12-26 기타오카 다카시 Large scale integrated circuit device and thin film forming method and apparatus for the same
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (en) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> Method and device for physical vapor deposition of material layer
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
JPH0824119B2 (en) * 1993-07-07 1996-03-06 日本電気株式会社 Method for manufacturing semiconductor device
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
JPH07193025A (en) * 1993-11-22 1995-07-28 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
TW295677B (en) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08107087A (en) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
JP2904263B2 (en) * 1995-12-04 1999-06-14 日本電気株式会社 Sputtering equipment
US5688718A (en) * 1997-02-03 1997-11-18 Taiwan Semiconductor Manufacturing Company Ltd Method of CVD TiN barrier layer integration
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0427163A (en) * 1990-05-23 1992-01-30 Fujitsu Ltd Semiconductor device and manufacture thereof
US5290731A (en) * 1991-03-07 1994-03-01 Sony Corporation Aluminum metallization method
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
JPH06168891A (en) * 1992-11-30 1994-06-14 Mitsubishi Electric Corp Semiconductor fabricating system
EP0655780A1 (en) * 1993-11-30 1995-05-31 STMicroelectronics, Inc. Method for forming an aluminum contact
JPH10237639A (en) * 1997-02-24 1998-09-08 Anelva Corp Sputtering device for forming barrier film for integrated circuit

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
DIXIT G A ET AL: "REACTIVELY SPUTTERED TITANIUM NITRIDE FILMS FOR SUBMICRON CONTACT BARRIER METALLIZATION", APPLIED PHYSICS LETTERS, vol. 62, no. 4, 25 January 1993 (1993-01-25), pages 357 - 359, XP000335994 *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 192 (E - 1199) 11 May 1992 (1992-05-11) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 499 (E - 1607) 19 September 1994 (1994-09-19) *
PATENT ABSTRACTS OF JAPAN vol. 098, no. 014 31 December 1998 (1998-12-31) *

Also Published As

Publication number Publication date
US5962923A (en) 1999-10-05
JPH09162293A (en) 1997-06-20
KR970072101A (en) 1997-11-07
US6217721B1 (en) 2001-04-17
US20020089027A1 (en) 2002-07-11
US6313027B1 (en) 2001-11-06
KR970013059A (en) 1997-03-29
JP2008261059A (en) 2008-10-30
KR100489916B1 (en) 2005-09-15
US6136095A (en) 2000-10-24
JP2001358091A (en) 2001-12-26
EP0758148A2 (en) 1997-02-12
KR100442023B1 (en) 2004-10-25
JP3193875B2 (en) 2001-07-30
KR100512155B1 (en) 2005-09-05

Similar Documents

Publication Publication Date Title
EP0758148A3 (en) Method and apparatus for forming electrical contacts in multi-layer integrated circuits
KR100339179B1 (en) Copper interconnection structure incorporating a metal seed layer
JP3057054B2 (en) Method for forming multilayer interconnect of copper wires
US5691571A (en) Semiconductor device having fine contact hole with high aspect ratio
WO2000005747A3 (en) Metallization structures for microelectronic applications and process for forming the structures
EP0856884A3 (en) Low temperature via and trench fill process by means of CVD of Cu followed by PVD of Cu
WO2002103782A3 (en) Barrier enhancement process for copper interconnects
KR940010277A (en) Semiconductor device of multi-layered wiring structure and manufacturing method thereof
WO2002005298A3 (en) Semiconductor inductor and methods for making the same
EP1022773A3 (en) Chip carrier substrate
EP1465245A3 (en) Vapor Deposition of Benzotriazole (BTA) for protecting Copper Interconnects
GB2366912A (en) Metallic boride and phosphide barrier layers for via linings
WO2002054484A3 (en) Metal ion diffusion barrier layers
US5880023A (en) Process for formation of wiring layer in semiconductor device
EP1261243A3 (en) Method of manufacturing printed wiring board
WO2003052798A3 (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
EP0567867A2 (en) Oxidation-resistant compound of Cu3Si and fabrication thereof
EP0163830A2 (en) Multi-layer integrated circuit substrates and method of manufacture
JPS62189707A (en) Laminated inductor
KR930001311A (en) Metal wiring layer formation method of a semiconductor device
EP0987752A3 (en) Improved-reliability damascene interconnects and process of manufacture
US20090283305A1 (en) Tin-silver compound coating on printed circuit boards
EP0805489A3 (en) Selective via fill using a sacrificial layer
KR930003841B1 (en) Integrated wiring making method using hole filling of metal liquid
KR100414745B1 (en) Method for forming metal interconnection of semiconductor device

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH DE ES FR GB GR IE IT LI NL SE

RHK1 Main classification (correction)

Ipc: H01L 23/532

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE CH DE ES FR GB GR IE IT LI NL SE

17P Request for examination filed

Effective date: 20000210

17Q First examination report despatched

Effective date: 20030328

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20030805