DE69929771T2 - Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante - Google Patents

Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante Download PDF

Info

Publication number
DE69929771T2
DE69929771T2 DE1999629771 DE69929771T DE69929771T2 DE 69929771 T2 DE69929771 T2 DE 69929771T2 DE 1999629771 DE1999629771 DE 1999629771 DE 69929771 T DE69929771 T DE 69929771T DE 69929771 T2 DE69929771 T2 DE 69929771T2
Authority
DE
Germany
Prior art keywords
layer
sih
bis
methylsilane
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE1999629771
Other languages
English (en)
Other versions
DE69929771D1 (de
Inventor
David Foster City CHEUNG
Wai-Fan Los Altos YAU
P. Robert Saratoga MANDAL
Shin-Puu Shan-Hua Tainan JENG
Kuo-Wei Campbell LIU
Yung-Cheng San Jose LU
Mike San Ramon BARNES
B. Ralf Santa Clara WILLECKE
Farhad Los Gatos MOGHADAM
Tetsuya Santa Clara Ishikawa
Tze Sunnyvale POON
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/021,788 external-priority patent/US6054379A/en
Priority claimed from US09/162,915 external-priority patent/US6287990B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69929771D1 publication Critical patent/DE69929771D1/de
Publication of DE69929771T2 publication Critical patent/DE69929771T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung von integrierten Schaltungen. Insbesondere bezieht sich die Erfindung auf ein Verfahren zur Abscheidung von dielektrischen Schichten auf einem Substrat.
  • Einer der Hauptschritte bei der Herstellung von modernen Halbleiterbauelementen besteht in der Ausbildung von metallischen und dielektrischen Filmen auf dem Substrat durch chemische Reaktion von Gasen. Auf solche Abscheidungsprozesse wird als chemische Gasphasenabscheidung oder CVD Bezug genommen. Bei herkömmlichen thermischen CVD-Prozessen werden einer Substratoberfläche, wo wärmeinduzierte chemische Reaktionen zur Erzeugung eines gewünschten Films erfolgen, reaktive Gase zugeführt. Die hohen Temperaturen, bei denen einige thermische CVD-Prozesse arbeiten, können Bauelementstrukturen beschädigen, die Schichten aufweisen, die vorher auf dem Substrat ausgebildet wurden. Ein bevorzugtes Verfahren zum Abscheiden von metallischen und dielektrischen Filmen bei relativ niedrigen Temperaturen sind plasmaverstärkte CVD-(PECVD-)Verfahren, wie sie in dem US-Patent 5,362,526 mit dem Titel "Plasmaverstärkter CVD-Prozess unter Verwendung von TEOS zur Abscheidung von Siliciumoxid" beschrieben sind. Plasmaverstärkte CVD-Verfahren begünstigen die Anregung und/oder Dissoziation der Reaktionsteilnehmergase durch Anlegen von Hochfrequenz-(RF-)Energie an eine Reaktionszone in der Nähe der Substratoberfläche, wodurch ein Plasma mit hochreaktiven Spezies erzeugt wird. Die starke Reaktivität der freigesetzten Spezies verringert die für den Ablauf einer chemischen Reaktion erforderliche Energie und senkt so die erforderliche Temperatur für solche PECVD-Prozesse ab.
  • Die Größen von Halbleiterbauelement-Geometrien haben sich drastisch verringert, seit solche Bauelemente das erste Mal vor mehreren Jahrzehnten eingeführt wurden. Seit damals folgen integrierte Schaltungen insgesamt der Regel zwei Jahre/halbe Größe (häufig als Gesetz von Moore bezeichnet), was bedeutet, dass sich die Anzahl der auf einen Chip passenden Bauelemente alle zwei Jahre verdoppelt. Die heutigen Herstellungswerke erzeugen routinemäßig Bauelemente mit Strukturelementgrößen von 0,35 μm und sogar 0,18 μm, während die Anlagen von morgen bald Bauelemente mit noch kleineren Geometrien herstellen werden.
  • Um die Größe von Bauelementen auf integrierten Schaltungen weiter zu reduzieren, wurde es erforderlich, leitende Materialien mit einem niedrigen spezifischen Widerstand und Isolatoren mit einem niedrigen k (Dielektrizitätskonstante < 4,0) zu verwenden, um die kapazitive Koppelung zwischen benachbarten Metallleitungen zu verringern. Zwischen den leitenden Materialien und den Isolatoren wurden Zwischen-/Sperrschichten verwendet, um eine Diffusion von Nebenprodukten, wie Feuchte, auf das leitende Material zu unterbinden, wie es in der internationalen Veröffentlichung WO 94/01885 beschrieben ist. Beispielsweise diffundiert Feuchte, die während der Ausbildung eines Isolators mit geringem k erzeugt werden kann, leicht zur Oberfläche des leitenden Metalls und erhöht den spezifischen Widerstand der leitenden Metalloberfläche. Eine aus herkömmlichen Siliciumoxid- oder Siliciumnitridmaterialien ausgebildete Sperr-/Zwischenschicht kann die Diffusion der Nebenprodukte blockieren. Die Sperr-/Zwischenschichten haben jedoch gewöhnlich Dielektrizitätskonstanten, die beträchtlich größer als 4,0 sind, wobei die hohen Dielektrizitätskonstanten einen kombinierten Isolator ergeben, der die Dielektrizitätskonstante nicht merklich verringert.
  • 1A zeigt einen PECVD-Prozess zum Abscheiden einer Sperr-/Zwischenschicht, wie er in der internationalen Veröffentlichung WO 94/01885 beschrieben ist. Bei dem PECVD-Prozess wird eine dielektrische Schicht aus mehreren Komponenten abgeschieden, wobei zuerst eine Siliciumdioxid-(SiO2-)Zwischenschicht 2 auf einer mit einem Muster versehenen Metallschicht abgeschieden wird, die auf einem Substrat 4 ausgebildete Metallleiter 3 aufweist. Die Zwischenschicht 2 wird durch eine plasmaverstärkte Reaktion von Silan (SiH4) und Stickoxid (N2O) bei 300°C abgeschieden. Dann wird eine selbstebnende dielektrische Schicht 5 mit niedrigem k auf der Zwischenschicht 2 durch Reaktion einer Silanverbindung und einer Peroxidverbindung abgeschieden. Die selbstebnende Schicht 5 hält Feuchte zurück, die durch Erhitzen entfernt wird. Die Zwischenschicht 2 ist ein oxidierter Silanfilm, der wirksame Sperreigenschaften hat, wenn er so abgeschieden wird, dass er eine Dielektrizitätskonstante von wenigstens 4,5 hat. Die Dielektrizitätskonstante des oxidierten Silanfilms kann auf etwa 4,1 verringert werden, wenn die Prozessbedingungen so verändert werden, dass die Feuchtesperreigenschaften des Films verringert werden. Herkömmliche Zwischenschichten, wie SiN, haben noch höhere Dielektrizitätskonstanten, und die Kombination von dielektrischen Schichten mit geringem k mit dielektrischen Zwischenschichten mit hohem k können eine geringe oder keine Verbesserung der Dielektrizitätskonstanten und der kapazitiven Koppelung der Gesamtschicht ergeben.
  • Wie in 1B gezeigt ist, beschreibt die WO 94/01885 weiterhin eine fakultative SiO2-Deckschicht 6, die auf der dielektrischen Schicht 5 mit geringem k durch die Reaktion von Silan und N2O abgeschieden wird. Die Deckschicht 6 ist ebenfalls ein oxidierter Silanfilm, der gute Sperreigenschaften hat, wenn er so abgeschieden wird, dass er eine Dielektrizitätskonstante von etwa 4,5 bereitstellt. Sowohl die Zwischenschicht als auch die Deckschicht 6 haben eine Dielektrizitätskonstante von mehr als 4,5. Die Schichten mit der hohen Dielektrizitätskonstante vermindern den Vorteil der dielektrischen Schicht 5 mit geringem k beträchtlich.
  • Wenn die Bauelemente kleiner werden, tragen die Zwischenschichten und Deckschichten mit hohen Dielektrizitätskonstanten stärker zur gesamten Dielektrizitätskonstanten einer dielektrischen Schicht mit vielen Komponenten bei. Außerdem haben bekannte dielektrische Materialien mit geringem k gewöhnlich einen niedrigen Oxidgehalt, was das Material als Ätzstoppschicht beim Ätzen von Durchgängen und/oder Zwischenverbindungen ungeeignet macht. Zur Herstellung von Zwischenverbindungsleitungen in dielektrischen Materialien mit geringem k war Siliciumnitrid das Ätzstoppmaterial der Wahl. Siliciumnitrid hat jedoch eine relativ hohe Dielektrizitätskonstante (eine Dielektrizitätskonstante von etwa 7) verglichen mit den umgebenden Dielektrizitätsschichten mit geringem k. Es wurde auch festgestellt, dass das Siliciumnitrid die kapazitive Koppelung zwischen Zwischenverbindungsleitungen beträchtlich steigern kann, auch wenn ein dielektrisches Material mit ansonsten geringem k als Hauptisolator verwendet wird. Dies kann zu einem Übersprechen und/oder einer Widerstandkapazitäts-(RC-)Verzögerung führen, was die Gesamtleistung der Vorrichtung verschlechtert. Somit werden Siliciumnitrid-Ätzstoppschichten gewöhnlich nach dem Ätzen der darunter liegenden dielektrischen Schichten entfernt.
  • Im Idealfall könnte sich eine dielektrische Schicht mit niedrigem k und sowohl guten Sperreigenschaften zur Verwendung als Zwischenschicht als auch ausreichendem Oxidgehalt zur Verwendung als Ätzstopp erweisen und in den gleichen Kammern wie vorhandene dielektrische Materialien mit geringem k abgeschieden werden. Solche Sperrschichten würden die gesamte Dielektrizitätskonstante der dielektrischen Schichten nicht erhöhen, und eine solche Ätzstoppschicht würde nach dem Ätzen der darunter liegenden Schichten nicht entfernt werden müssen.
  • Das US-Patent 5,554,570 beschreibt Sperrschichten zur Verwendung mit thermischen CVD-Siliciumoxiden, wobei zur Erhöhung der Dichte der abgeschiedenen Filme und zur Verbesserung der Haftung zwischen den Schichten anstelle von Silan ein Organosilan mit einer C-H-Gruppe oxidiert wird. Beispielsweise kann eine thermische CVD-Schicht, die aus Tetraethoxysilan (TEOS) und Ozon erzeugt wird, zwischen PECVD-Siliciumoxidfilmen abgeschieden werden, die aus einem Organosilicium und N2O oder O2 erzeugt wird.
  • Die in dem '570-Patent beschriebenen Sperrschichten sind vorzugsweise dichte Siliciumdioxidschichten mit geringen Kohlenstoffgehalten. Die dichten Schichten werden unter Verwendung von hochfrequenter HF-Leistung abgeschieden, obwohl sich auch die Verwendung von niederfrequenter HF-Leistung zur Verbesserung der Filmbelastung durchsetzt. Die Sperrschichten werden vorzugsweise aus Alkoxysilanen oder chlorierten Alkylsilanen und N2O hergestellt, um den Kohlenstoffgehalt zu verringern und um die Dichte der Schichten zu steigern.
  • Das '570-Patent gibt keine Prozessbedingungen zur Herstellung von Sperrschichten mit niedrigen Dielektrizitätskonstanten oder von Ätzstoppschichten mit hohen Oxidgehalten an. Das '570-Patent schlägt auch eine Verwendung der beschriebenen Schichten als Sperrschicht angrenzend an eine dielektrische Schicht mit geringem k oder als Ätzstopp vor.
  • Es besteht ein Bedürfnis für dielektrische Schichten mit niedrigen Dielektrizitätskonstanten, guten Sperreigenschaften und hohem Oxidgehalt zur Verwendung als Sperrschichten oder Ätzstoppschichten bei Submikron-Bauelementen.
  • Die EP-A-0522799 bezieht sich auf ein Verfahren zur Ausbildung eines dünnen Dielektrikums mit hohem Zwischenniveau durch PECVD.
  • Die vorliegende Erfindung stellt ein Verfahren zum Abscheiden eines Films mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat bereit, bei welchem eine siliciumorganische Verbindung mit einem oxidierenden Gas unter HF-Plasma-Bedingungen umgesetzt wird, die für die Abscheidung eines Films mit niedriger Dielektrizitätskonstante auf ein Halbleitersubstrat ausreicht, wobei die siliciumorganische Verbindung wenigstens ein an ein Siliciumatom gebundenes Wasserstoffatom und wenigstens ein an ein Siliciumatom gebundenes Kohlenstoffatom umfasst, die HF-Plasmabedingungen eine Leistungsdichte von unter 1 W/cm2 aufweisen und der Film mit niedriger Dielektrizitätskonstante ein Siliciumoxidfilm mit einem Kohlenstoffgehalt von 1 bis 50 Atomgew.-% und einer Dielektrizitätskonstante von unter 3 ist, und der Film mit niedriger Dielektrizitätskonstante unter Bildung einer Zwischenverbindungsöffnung geätzt wird.
  • Die Siliciumoxidschicht wird durch plasmagestützte chemische Gasphasenabscheidung eines Organosilans, eines Organosiloxans oder von Kombinationen davon unter Verwendung von HF- oder Mikrowellenleistung zur Erzeugung von reaktiven Sauerstoffatomen erzeugt. Die Eigenschaften der Siliciumoxidschichten werden einfach durch Prozessvariationen gesteuert, und es können verschiedene Schichten in einer Abscheidungskammer abgeschieden werden, wie es für einen verbesserten Doppeldamaszenprozess gezeigt ist. Zusätzlich können die Siliciumoxidschichten als Haftschicht zwischen verschiedenen Schichten oder als eine dielektrische Intermetallschicht verwendet werden. Eine Siliciumoxidschicht kann durch Reaktion von Stickoxid N2O und einer Siliciumverbindung erzeugt werden, die Si-H-Bindungen enthält, beispielsweise Methylsilan CH3SiH3, Dimethylsilan (CH3)2-SiH2 oder 1,1,3,3-Tetramethyldisiloxan (CH3)2-SiH-O-SiH-(CH3)2.
  • Die Siliciumoxidschichten werden zur Stabilisierung ihrer Eigenschaften bei niedrigem Druck und hoher Temperatur gehärtet. Die Siliciumoxidschichten können unter Verwendung von gesondertem Anlegen von HF-Leistung an die Abscheidekammer erzeugt werden, um die Porosität der abgeschiedenen Schichten zu steigern. Die Verwendung von HF-Leistung mit niedrigen Niveaus wird bei Kammerdrucken bevorzugt, die kleiner als etwa 1333 Pa (10 Torr) sind. Zum Oxidieren von Gasen in einer Dissoziationskammer wird Mikrowellenleistung bereitgestellt, um die Bildung von reaktiven Oxidationsmitteln zu steuern, ohne das Leistungsniveau in der Abscheidungskammer zu erhöhen.
  • Bei einer bevorzugten Ausführungsform wird eine Siliciumoxidschicht auf einer ein Muster bildenden Metallschicht durch plasmagestützte Reaktion von einer oder mehreren Organosilan- und/oder Organosiloxanverbindungen abgeschieden, die Si-H-Bindungen aufweisen.
  • Das Siliciumoxid der vorliegenden Erfindung ist weiterhin nützlich bei einem integrierten Prozess zur Herstellung von zuverlässigen dualen Damaszenstrukturen in einer Abscheidungskammer für eine reduzierte kapazitive Koppelung zwischen Zwischenverbindungsleitungen. Beispielsweise wird ein dielektrischer Film mit geringem k und hohem Kohlenstoffgehalt von mehr als etwa 20 Atomgew.-% als dielektrische Schicht auf Kontaktlochniveau abgeschieden. Dann wird ein dielektrischer Film mit geringem k und niedrigem Kohlenstoffgehalt von weniger als etwa 10 Atomgew.-% auf der Schicht mit hohem Kohlenstoffgehalt abgeschieden, um eine dielektrische Schicht auf Grabenniveau zu bilden. Eine Doppeldamaszen-Ätzung bildet dann die Gräben in der dielektrischen Schicht auf Grabenniveau und stoppt an der dielektrischen Schicht auf Kontaktlochniveau. Nach dem Ätzen der Kontaktlöcher der dielektrischen Schicht mit Kontaktlochniveau wird die obere Fläche planarisiert, so dass eine ebene Fläche auf der dielektrischen Schicht mit Grabenniveau verbleibt.
  • Zum Erreichen und zum Verstehen der erwähnten Merkmale, Vorteile und Ziele der vorliegenden Erfindung im Einzelnen erfolgt eine spezielle Beschreibung der vorstehend kurz zusammengefassten Erfindung unter Bezug auf Ausführungsformen, die in den beiliegenden Zeichnungen dargestellt sind.
  • Zu vermerken ist jedoch, dass die beiliegenden Zeichnungen nur typische Ausgestaltungen der Erfindung wiedergeben und deshalb ihr Umfang nicht als darauf begrenzt anzusehen ist, da die Erfindung sich auch auf andere gleichermaßen effektive Ausführungsformen erstrecken kann.
  • 1A und 1B (Stand der Technik) sind schematische Darstellungen von dielektrischen Schichten, die auf einem Substrat durch die aus dem Stand der Technik bekannten Prozesse abgeschieden werden.
  • 2 ist eine Schnittansicht eines CVD-Plasmareaktors, der zur Ausführung der vorliegenden Erfindung ausgestaltet ist.
  • 3 ist eine Ansicht des Systemmonitors des CVD-Plasmareaktors von 2.
  • 4 ist ein Ablaufdiagramm eines Rechnerprogrammprodukts zur Prozesssteuerung, das in Verbindung mit dem beispielsweisen CVD-Plasmareaktor von 2 verwendet wird.
  • 5 ist ein Ablaufdiagramm, das die Schritte zeigt, die beim Abscheiden von Zwischen- und Deckschichten in einem Spaltfüllprozess nach einer Ausführungsform der vorliegenden Erfindung ausgeführt werden.
  • 6A bis 6E sind schematische Ansichten der auf einem Substrat durch das Verfahren von 5 abgeschiedenen Schichten.
  • 7 ist eine Schnittansicht, die eine Doppeldamaszenstruktur mit den Siliciumoxidschichten zeigt.
  • 8A bis 8H sind Schnittansichten, die eine Doppeldamaszen-Abscheidesequenz zeigen.
  • 9 ist eine Schnittansicht, die eine Haftschicht zeigt, welche die Siliciumoxidschicht der vorliegenden Erfindung zwischen einer dielektrischen Prämetallschicht und einer dielektrischen Intermetallschicht aufweist.
  • 10A bis 10H sind Schnittansichten, die eine Doppeldamaszen-Abscheidesequenz zeigen, wobei das Siliciumoxid der vorliegenden Erfindung verwendet wird, um einen dielektrischen Intermetallfilm an einem herkömmlichen Ätzstopp haften zu lassen.
  • 11A bis 11D sind Schnittansichten, die eine integrierte Doppeldamaszen-Abscheidesequenz zeigen, wobei das Siliciumoxid der vorliegenden Erfindung verwendet wird, um einen herkömmlichen Ätzstopp zu beseitigen.
  • Für das weitere Verständnis der vorliegenden Erfindung wird auf die folgende, ins Einzelne gehende Beschreibung Bezug genommen.
  • Die vorliegende Erfindung stellt ein Verfahren zum Abscheiden einer Siliciumoxidschicht mit einer niedrigen Dielektrizitätskonstante, einem hohen Oxidgehalt und einem Kohlenstoffgehalt bereit, der ausreicht, um Sperreigenschaften zu geben. Die Siliciumoxidschicht weist ein oxidiertes Organosilan oder Organosiloxan auf und kann als eine Zwischen schicht angrenzend an andere dielektrische Materialien, als eine Ätzstoppschicht angrenzend an dielektrische Materialien mit geringerem Oxidgehalt, als eine dielektrische Intermetallschicht und als eine Haftschicht zwischen verschiedenen Materialien verwendet werden. Das oxidierte Organosilanmaterial wird durch plasmagestützte Oxidation der Organosilan- oder Organosiloxanverbindungen abgeschieden, wobei eine konstante HF-Leistung von etwa 10 W bis etwa 200 W oder von etwa 20 W bis etwa 500 W gepulste HF-Leistung zur Anwendung kommt. Die Siliciumoxidschicht kann auch unter Verwendung von häufigen Unterbrechungen, beispielsweise durch Wechseln der Kammern oder durch Vorsehen von Abkühlzeit, zum Verbessern der Porosität abgeschieden werden. Die HF-Leistung wird vorzugsweise mit hoher Frequenz, beispielsweise zwischen 13 MHz und 14 MHz, bereitgestellt. Die HF-Leistung wird vorzugsweise in kurz dauernden Zyklen bereitgestellt, wobei die Leistung bei den angegebenen Niveaus für Zyklen von weniger als etwa 200 Hz eingeschaltet ist und die Einschaltzyklen insgesamt etwa 10% bis etwa 30% des Gesamtarbeitszyklus ausmachen. Eine intermittierende HF-Leistung kann bei höheren Spitzenleistungsniveaus arbeiten und stellt die gleiche Gesamtleistungseingabe wie eine konstante HF-Leistung bei niedrigerem Leistungsniveau bereit.
  • Der Kohlenstoff, der in der Siliciumoxidschicht verbleibt, trägt zu niedrigen Dielektrizitätskonstanten und Sperreigenschaften bei. Der Restkohlenstoff liegt zwischen etwa 1 und etwa 50 Atomgew.-% und weist vorzugsweise ausreichende C-H- oder C-F-Bindungen auf, um der Siliciumoxidschicht hydrophobe Eigenschaften zu geben, was zu beträchtlich niedrigeren Dielektrizitätskonstanten und verbesserten Feuchtesperre-Eigenschaften führt.
  • Die Siliciumoxidschichten können aus Siliciumverbindungen erzeugt werden, die Kohlenstoff in Organogruppen enthalten, die nicht leicht durch Oxidation bei Prozessbedingungen entfernt werden. Vorzugsweise gehören dazu die -C-H-Bindungen, wie Alkyl- oder Arylgruppen, oder fluorierte Kohlenstoffderivate von ihnen. Zu geeigneten Organogruppen können auch Alkenyl- und Cyclohexenylgruppen sowie funktionelle Derivate gehören. Zu den Organosiliciumverbindungen gehören:
    Methylsilan, CH3-SiH3
    Dimethylsilan, (CH3)2-SiH2
    Trimethylsilan, (CH3)3-SiH
    Dimethylsilandiol, (CH3)2-Si-(OH)2
    Ethylsilan, CH3-CH2-SiH3
    Phenylsilan, C6H5-SiH3
    Diphenylsilan, (C6H5)2-SiH2
    Diphenylsilandiol, (C6H5)2-Si-(OH)3
    Methylphenylsilan, C6H5-SiH2-CH3
    Disilanmethan, SiH3-CH2-SiH3
    Bis(methylsilan)methan, CH3-SiH2-CH2-SiH2-CH3
    1,2-Disilanethan, SiH3-CH2-CH2-SiH3
    1,2-Bis(methylsilan)ethan, CH3-SiH2-CH2-CH2-SiH2-CH3
    2,2-Disilanpropan, SiH3-C(CH3)2-SiH3
    1,3,5-Trisilan-2,4,6-trimethylen, -(-SiH2CH2-)3- (cyclisch)
    1,3-Dimethyldisiloxan, CH3-SiH2-O-SiH2-CH3
    1,1,3,3-Tetramethyldisiloxan, (CH3)2-SiH-O-SiH-(CH3)2
    Hexamethyldisiloxan, (CH3)3-Si-O-Si-(CH3)3
    1,3-Bis(silanmethylen)disiloxan, (SiH3-CH2-SiH2-)2-O
    Bis(1-methyldisiloxanyl)methan, (CH3-SiH2-O-SiH2-)2-CH2
    2,2-Bis(1-methyldisiloxanyl)propan, (CH3-SiH2-O-SiH2-)2-C(CH3)2
    2,4,6,8-Tetramethylcyclotetrasiloxan, -(-SiHCH3-O-)4- (cyclisch)
    Octamethylcyclotetrasiloxan, -(-Si(CH3)2-O-)4- (cyclisch)
    2,4,6,8,10-Pentamethylcyclopentasiloxan, -(-SiHCH3-O-)5- (cyclisch)
    1,3,5,7-Tetrasilan-2,6-dioxy-4,8-dimethylen, -(-SiH2-CH2-SiH2-O-)2- (cyclisch)
    2,4,6-Trisilantetrahydropyran, und -SiH2-CH2-SiH2-CH2-SiH2-O- (cyclisch)
    2,5-Disilantetrahydrofuran, -SiH2-CH2-CH2-SiH2-O- (cyclisch)
  • Die siliciumorganischen Verbindungen werden während der Abscheidung durch Reaktion mit Sauerstoff (O2) oder Sauerstoff enthaltenden Verbindungen, wie Stickoxid (N2O), Ozon (O3), Kohlendioxid (CO2) und Wasser (H2O), vorzugsweise N2O, oxidiert, so dass der Kohlenstoffgehalt des abgeschiedenen Films 1 bis 50 Atomgew.-%, vorzugsweise etwa 5 bis 30%, beträgt. Die oxidierte siliciumorganische Schicht hat eine Dielektrizitätskonstante von etwa 3,0 und hervorragende Sperreigenschaften. Die oxidierten siliciumorganischen Schichten haben ferner hohe Sauerstoffgehalte verglichen mit herkömmlichen dielektrischen Schichten mit niedrigem k sowie gute Hafteigenschaften.
  • Sauerstoff und Sauerstoff enthaltende Verbindungen werden vorzugsweise dissoziiert, um die Reaktivität, wenn es erforderlich ist, zu steigern, damit ein gewünschter Kohlenstoffgehalt in dem abgeschiedenen Film erreicht wird. Die HF-Leistung kann mit der Abscheidungskammer gekoppelt werden, um die Dissoziation der oxidierenden Verbindungen zu steigern. Die oxidierten Verbindungen können auch in einer Mikrowellenkammer dissoziiert werden, bevor sie in die Abscheidekammer eintreten, um eine übermäßige Dissoziation der Silicium enthaltenden Verbindungen zu verringern. Die Abscheidung der Siliciumoxidschicht kann kontinuierlich oder diskontinuierlich erfolgen. Obwohl die Abscheidung vorzugsweise in einer einzigen Abscheidungskammer erfolgt, kann die Schicht sequenziell in zwei oder mehr Abscheidekammern abgelegt werden. Außerdem kann die HF-Leistung zyklus- oder pulsförmig sein, um das Erhitzen des Substrats zu verringern und um eine größere Porosität in dem abgeschiedenen Film zu begünstigen. Während des Abscheidens der Siliciumoxidschicht wird das Substrat auf einer Temperatur von etwa –20°C bis etwa 400°C, und vorzugsweise von etwa –20°C bis 40°C gehalten.
  • Die Organosilan- und Organosiloxanverbindungen weisen die Strukturen auf:
    Figure 00100001
    wobei jedes Si an ein oder zwei Kohlenstoffatome gebunden ist und C in einer Organogruppe eingeschlossen ist, vorzugsweise in Alkyl- oder Alkenylgruppen, wie -CH3, -CH2-CH3, -CH2- oder CH2-CH2-, oder fluorierten Kohlenstoffderivaten davon. Die Kohlenstoffatome in den fluorierten Derivaten können zum Austausch von Wasserstoffatomen vollständig oder teilweise fluoriert sein. Wenn eine Organosilan- oder Organosiloxanverbindung zwei oder mehr Si-Atome aufweist, wird jedes Si von dem anderen Si durch -O-, -C- oder -C-C- getrennt, wobei C in einer Organogruppe eingeschlossen ist, vorzugsweise in Alkyl- oder Alkenylgruppen, wie -CH2-, -CH2-CH2-, -CH(CH3)- oder -C(CH3)2-, oder in fluorierten Derivaten davon.
  • Die bevorzugten Organosilan- und Organosiloxanverbindungen sind Gase oder Flüssigkeiten in der Nähe der Raumtemperatur und können über etwa 1333 Pa (10 Torr) verflüchtigt werden. Zu bevorzugten Organosilanen und Organosiloxanen gehören:
    Methylsilan, CH3-SiH3
    Dimethylsilan, (CH3)2-SiH2
    Trimethylsilan, (CH3)3-SiH
    Disilanmethan, SiH3-CH2-SiH3
    Bis(methylsilan)methan, CH3-SiH2-CH2-SiH2-CH3
    1,2-Disilanethan, SiH3-CH2-CH2-SiH3
    1,2-Bis(methylsilan)ethan, CH3-SiH2-CH2-CH2-SiH2-CH3
    2,2-Disilanpropan, SiH3-C(CH3)2-SiH3
    1,3,5-Trisilan-2,4,6-Trimethylen, -(-SiH2CH2-)3- (cyclisch)
    1,3-Dimethyldisiloxan, CH3-SiH2-O-SiH2-CH3
    1,1,3,3-Tetramethyldisiloxan, (CH3)2-SiH-O-SiH-(CH3)2
    1,3-Bis(silanmethylen)disiloxan, (SiH3-CH2-SiH2-)2-O
    Bis(1-methyldisiloxanyl)methan, (CH3-SiH2-O-SiH2-)2-CH2
    2,2-Bis(1-methyldisiloxanyl)propan, (CH3-SiH2-O-SiH2-)2-C(CH3)2
    2,4,6,8,10-Pentamethylcyclopentasiloxan, -(-SiHCH3-O-)5- (cyclisch)
    1,3,5,7-Tetrasilan-2,6-dioxy-4,8-dimethylen, -(-SiH2-CH2-SiH2-O-)2- (cyclisch)
    2,4,6-Trisilantetrahydropyran, -SiH2-CH2-SiH2-CH2-SiH2-O- (cyclisch)
    2,5-Disilantetrahydrofuran, -SiH2-CH2-CH2-SiH2-O- (cyclisch)
    und fluorierte Kohlenstoffderivate von ihnen, wie:
    Trifluormethylsilan, CF3-SiH3
    1,2-Disilantetrafluorethylen, SiH3-CF2-CF2-SiH3
    1,2-Bis(trifluormethylsilan)tetrafluorethan, CF3-SiH2-CF2-CF2-SiH2-CF3
    2,2-Disilanhexafluorpropan, SiH3-C(CF3)2-SiH3
    1,3-Bis(silandifluormethylen)disiloxan, (SiH3-CF2-SiH2-)2-O
    Bis(1-trifluormethyldisiloxanyl)difluormethan, (CF3-SiH2-O-SiH2-)2-CF2
    2,4,6-Trisilantetrafluorpyran, und -SiH2-CF2-SiH2-CF2-SiH2-O- (cyclisch)
    2,5-Disilantetrafluorfuran, -SiH2-CF2-CF2-SiH2-O- (cyclisch)
  • Die Kohlenwasserstoffgruppen in den Organosilanen und Organosiloxanen können teilweise oder gänzlich fluoriert sein, um die C-H-Bindungen in C-F-Bindungen umzuwandeln. Viele der bevorzugten Organosilan- und Organosiloxanverbindungen sind im Handel erhältlich. Zum Erhalten einer Mischung aus gewünschten Eigenschaften, wie die Dielektrizitätskonstante, Sauerstoffgehalt, Hydrophobozität, Filmbeanspruchung und Plasmaätzeigenschaften, kann eine Kombination von zwei oder mehr der Organosilane oder Organosiloxane verwendet werden.
  • Die Organosilan- und Organosiloxanverbindungen werden vorzugsweise während des Abscheidens durch plasmagestützte Reaktion mit Sauerstoff oxidiert, der während des Abscheidungsprozesses durch Zersetzung von Stickoxid (N2O) gebildet wird. Das Stickoxid reagiert mit den Organosilanen oder Organosiloxanen ohne Plasmaunterstützung nicht, und die Sauerstoff-Stickstoff-Bindungen werden leicht bei niedrigeren Energien als die Bindungen in den Organosilanen und Organosiloxanen aufgebrochen. Die oxidierten Verbindungen haften an kontaktierten Oberflächen, beispielsweise einer mit Muster versehenen Schicht eines Halbleitersubstrats, um einen abgeschiedenen Film zu bilden. Die abgeschiedenen Filme werden bei niedrigem Druck und einer Temperatur von etwa 100 bis etwa 450°C, vorzugsweise über etwa 400°C, gehärtet, um die Sperreigenschaften der Filme zu stabilisieren. Der abgeschiedene Film hat einen Kohlenstoffgehalt, der zur Bildung der Sperreigenschaften ausreicht. Der Kohlenstoffgehalt schließt vorzugsweise C-H- oder C-F-Bindungen ein, um einen hydrophoben Film zu erhalten, der eine hervorragende Feuchtesperre bildet.
  • Ein geeigneter CVD-Plasmareaktor, bei dem das Verfahren der vorliegenden Erfindung ausgeführt werden kann, ist in 2 gezeigt, die eine vertikale Schnittansicht eines Reaktors 10 für die chemische Gasphasenabscheidung mit parallelen Platten ist, der einen Hochvakuumbereich 15 hat. Der Reaktor 10 enthält einen Gasverteiler 11 zum Dispergieren von Prozessgasen durch perforierte Löcher in dem Verteiler auf ein Substrat oder einen Wafer (nicht gezeigt), der auf einer Substratträgerplatte oder einem Suszeptor 12 liegt, der durch einen Hubmotor 14 angehoben oder abgesenkt wird. Zum Einspritzen einer flüssigen Organosilan- und/oder Organosiloxanverbindung kann ein Flüssigkeitseinspritzsystem (nicht gezeigt) vorgesehen werden, wie es gewöhnlich für Flüssigkeitseinspritzung von TEOS verwendet wird. Die bevorzugten Methylsilane sind Gase.
  • Der Reaktor 10 hat eine Heizung für die Prozessgase und das Substrat, beispielsweise Widerstandsheizwicklungen (nicht gezeigt) oder externe Lampen (nicht gezeigt). Gemäß 2 ist der Suszeptor 12 an einem Tragschaft 13 angebracht, so dass der Suszeptor 12 (und der auf der oberen Fläche des Suszeptors 12 gehaltene Wafer) steuerbar zwischen einer unteren Lade-/Entladeposition und einer oberen Behandlungsposition bewegt werden kann, die sich nahe an dem Verteiler 11 befindet.
  • Wenn sich der Suszeptor 12 und der Wafer in der Behandlungsposition 14 befinden, sind sie von einem Isolator 17 umgeben, während Prozessgase in einen Sammler 24 abgeführt werden. Während der Behandlung werden die in den Verteiler 11 eingelassenen Gase radial gleichförmig über der Oberfläche des Wafers verteilt. Eine Vakuumpumpe 32 mit einem Drosselventil steuert die Abflussrate der Gase aus der Kammer.
  • Ehe sie den Verteiler 11 erreichen, werden die Abscheidungs- und Trägergase durch Gasleitungen 18 in ein Mischsystem 19 eingegeben, wo sie kombiniert und dann zum Verteiler 11 geführt werden. In der Zuführgasleitung für das oxidierende Gas kann fakultativ ein Mikrowellenapplikator 28 angeordnet werden, um zusätzliche Energie zu liefern, die nur das oxidierende Gas dissoziiert. Der Mikrowellenapplikator stellt 0 bis 6000 W bereit. Im Allgemeinen hat die Prozessgaszuführleitung 18 für jedes der Prozessgase auch (i) Sicherheitsabsperrventile (nicht gezeigt), die verwendet werden können, um den Prozessgasstrom in die Kammer automatisch oder von Hand zu unterbrechen, und (ii) Massenstromsteuerungen (ebenfalls nicht gezeigt), die den Gasstrom durch die Gaszuführleitungen messen. Wenn bei dem Prozess giftige Gase verwendet werden, werden mehrere Sicherheitsabsperrventile in jeder Gaszuführleitung mit herkömmlichen Ausgestaltungen angeordnet.
  • Der in dem Reaktor 10 ausgeführte Abscheidungsprozess kann entweder ein thermischer Prozess oder ein plasmaverstärkter Prozess sein. Bei einem Plasmaprozess wird gewöhnlich ein reguliertes Plasma in der Nähe des Wafers durch HF-Energie gebildet, die an den Verteiler 11 von einer HF-Leistungsversorgung 25 aus (bei geerdetem Suszeptor 12) angelegt wird. Alternativ kann HF-Leistung für den Suszeptor 12 oder es kann HF-Leistung für verschiedene Komponenten bei verschiedenen Frequenzen bereitgestellt werden. Die HF-Leistungseinspeisung 25 kann HF-Leistung mit entweder Einzelfrequenz oder Mischfrequenz zuführen, um die Zersetzung von reaktiven Spezies zu verstärken, die in den Hochvakuumbereich 15 eingeführt werden. Eine Mischfrequenz-HF-Leistungseinspeisung führt gewöhnlich Leistung mit einer hohen HF-Frequenz (HF1) von 13,56 MHz dem Verteiler 11 und eine niedrige HF-Frequenz (HF2) von 360 KHz dem Suszeptor 12 zu. Die Siliciumoxidschichten der vorliegenden Erfindung werden besonders bevorzugt dadurch erzeugt, dass niedrige Pegel von HF-Leistung mit konstanter hoher Frequenz oder gepulste Pegel mit hochfrequenter HF-Leistung Verwendung finden. Gepulste HF-Leistung stellt vorzugsweise 13,56 MHz HF-Leistung bei etwa 10 W bis etwa 500 W, besonders bevorzugt von 20 W bis etwa 250 W während etwa 10% bis etwa 30% des Arbeitszyklus bereit. Eine konstante HF-Leistung sorgt vorzugsweise für 13,56 MHz HF-Leistung bei etwa 10 W bis etwa 200 W, vorzugsweise von etwa 20 W bis etwa 100 W. Eine Abscheidung bei niedriger Leistung erfolgt vorzugsweise in einem Temperaturbereich von etwa –20°C bis etwa 40°C. Bei dem bevorzugten Temperaturbereich wird der abgeschiedene Film teilweise während der Abscheidung polymerisiert, und die Polymerisation wird während der anschließenden Härtung des Films vervollständigt.
  • Wenn eine zusätzliche Dissoziation des oxidierenden Gases gewünscht ist, kann fakultativ eine Mikrowellenkammer verwendet werden, um 0 bis 300 W Mikrowellenleistung auf das oxidierende Gas vor dem Eintritt in die Abscheidungskammer aufzugeben. Eine gesonderte Aufgabe der Mikrowellenleistung würde eine übermäßige Dissoziation der Siliciumverbindungen vor der Reaktion mit dem oxidierenden Gas vermeiden. Wenn Mikrowellenleistung dem oxidierenden Gas aufgegeben wird, wird eine Gasverteilungsplatte bevorzugt, die gesonderte Kanäle für die Siliciumverbindung und das oxidierende Gas hat.
  • Gewöhnlich ist ein Teil der oder die gesamte Kammerauskleidung, der Verteiler 11, der Suszeptor 12 und verschiedene andere Reaktor-Hardware aus einem Material, wie Aluminium oder eloxiertem Aluminium, hergestellt. Ein Beispiel für einen solche CVD-Reaktor ist in dem US-Patent 5,000,113 mit dem Titel "Thermisches CVD/PECVD-Reaktor und Verwendung für die thermische chemische Gasphasenabscheidung von Siliciumdioxid sowie Planarisierungsprozess in mehrere Stufen in situ", ausgegeben für Wang et al. und übertragen auf Applied Materials, Inc., die Zessionarin der vorliegenden Erfindung, beschrieben.
  • Der Hubmotor 14 hebt und senkt den Suszeptor 12 zwischen einer Behandlungsposition und einer unteren Waferladeposition. Der Motor, das Gasmischsystem 19 und die HF-Leistungseinspeisung 25 werden von einer Systemsteuerung 34 über Steuerleitungen 36 gesteuert. Der Reaktor hat analoge Anordnungen, wie Massenstromregler (MCF), sowie Standard- oder gepulste HF-Generatoren, die von der Systemsteuerung 34 gesteuert werden, die die Systemsteuer-Software ausführt, die in einem Speicher 38 gespeichert ist, der bei der bevorzugten Ausgestaltung ein Festplattenlaufwerk ist. Zum Bewegen und zum Bestimmen der Position von beweglichen mechanischen Anordnungen, wie des Drosselventils der Vakuumpumpe 32 und des Motors zum Positionieren des Suszeptors 12, werden Motore und optische Sensoren verwendet.
  • Die Systemsteuerung 34 steuert alle Aktivitäten des CVD-Reaktors. Eine bevorzugte Ausgestaltung der Steuerung 34 hat ein Festplattenlaufwerk, ein Diskettenlaufwerk und einen Kartenkasten. Der Kartenkasten enthält einen Einzelkartenrechner (SBC), analoge und digitale Eingabe-/Ausgabekarten, Interface-Karten und Schrittmotor-Steuerkarten. Die Systemsteuerung entspricht dem Standard Versa Modular European (VME), der die Karte, den Kartenkäfig und Anschlussabmessungen und -typen definiert. Der VME-Standard definiert auch den Busaufbau mit einem 16-Bit-Datenbus und einem 24-Bit-Adressenbus.
  • Die Systemsteuerung 34 arbeitet unter der Steuerung eines Rechnerprogramms, das in dem Festplattenlaufwerk 38 gespeichert ist. Das Rechnerprogramm diktiert die Zeitsteuerung, das Mischen der Gase, die HF-Leistungspegel, die Suszeptorposition und andere Parameter eines speziellen Prozesses. Die Schnittstelle zwischen einem Benutzer und der Systemsteuerung erfolgt über einen CRT-Monitor 40 und einen Lichtstift 44, die in 3 gezeigt sind. Bei der bevorzugten Ausgestaltung wird ein zweiter Monitor 42 verwendet, wobei der erste Monitor 40 in der Reinraumwand für die Bedienungspersonen und der andere Monitor 42 hinter der Wand für die Service-Techniker angebracht ist. Beide Monitore 40, 42 zeigen gleichzeitig die gleiche Information an, jedoch nur ein Lichtstift 44 ist aktiviert. Der Lichtstift 44 erfasst Licht, das von der CRT-Anzeige emittiert wird, mit einem Lichtsensor in der Mitte des Stifts. Um einen speziellen Schirm oder eine spezielle Funktion auszuwählen, berührt die Bedienungsperson einen signierten Bereich des Anzeigeschirms und drückt den Knopf an dem Stift 44. Der berührte Bereich ändert seine hoch erleuchtete Farbe, oder es wird ein neues Menü oder ein neuer Schirm angezeigt, wodurch die Kommunikation zwischen dem Lichtstift und dem Anzeigeschirm bestätigt wird.
  • Gemäß 4 kann das Verfahren unter Verwendung eines Rechnerprogrammprodukts 410 ausgeführt werden, das beispielsweise auf der Systemsteuerung 34 läuft. Der Rechnerprogrammcode kann in jeder herkömmlichen, rechnerlesbaren Programmiersprache geschrieben werden, beispielsweise 68000-Assembly language, C, C++ oder Pascal. In eine Einzeldatei oder in Mehrfachdateien wird unter Verwendung eines herkömmlichen Text-Editors ein geeigneter Programmcode eingegeben und gespeichert oder in ein rechnernutzbares Medium, beispielsweise ein Speichersystem des Rechners, eingegliedert. Wenn der eingegebene Codetext in einer höheren Programmsprache eingegeben wird, wird der Code übersetzt, und der sich ergebende Compiler-Code wird dann mit einem Objektcode von vorher kompilierten Windows-Bibliotheksroutinen verbunden. Zur Ausführung des verbundenen kompilierten Objektcodes ruft der Systembenutzer den Objektcode auf, was das Rechnersystem veranlasst, den Code in den Speicher zu laden, von dem aus die CPU liest und den Code ausführt, um die in dem Programm angegebenen Aufgaben zu lösen.
  • 4 zeigt ein veranschaulichendes Blockschaltbild des hierarchischen Steueraufbaus des Rechnerprogramms 410. Ein Benutzer gibt eine Prozesseinstellzahl und eine Prozesskammerzahl in eine Prozessselektor-Subroutine 420 ansprechend auf Menüs oder Projektionen ein, die auf dem CRT-Monitor 40 angezeigt werden, wobei er die Schnittstelle des Lichtstifts 44 verwendet. Die Prozesseinstellungen sind vorgegebene Einstellungen von Prozessparametern, die erforderlich sind, um spezifische Prozesse auszuführen, und die durch vorgegebene Einstellnummern identifiziert sind. Die Prozessselektor-Subroutine 420 (i) wählt eine gewünschte Prozesskammer an einem Mehrfachgerät, beispielsweise einer Centura®-Plattform (von Applied Materials, Inc. verfügbar) aus, und (ii) wählt einen gewünschten Satz von Prozessparametern aus, die erforderlich sind, um die Prozesskammer zur Ausführung des gewünschten Prozesses zu betreiben. Die Prozessparameter zur Durchführung eines spezifischen Prozesses beziehen sich auf Prozessbedingungen, wie beispielsweise die Prozessgaszusammensetzung und Durchsätze, Temperatur, Druck, Plasmabedingungen, wie HF-Vorspannungsleistungspegel und Magnetfeld-Leistungspegel, Kühlgasdruck sowie Kammerwandtemperatur, und werden für den Benutzer in Form eines Rezepts bereitgestellt. Die von dem Rezept spezifizierten Parameter werden unter Verwendung der Schnittstelle Lichtstift/CRT-Monitor eingegeben.
  • Die Signale zur Überwachung des Prozesses werden von den analogen und digitalen Eingabeplatten des Systemsteuerung bereitgestellt, und die Signale zum Steuern des Prozesses werden an den analogen und digitalen Ausgabeplatten der Systemsteuerung 34 ausgegeben.
  • Eine Prozess-Sequencer-Subroutine 430 hat einen Programmcode für das Annehmen der identifizierten Prozesskammer und eines Satzes von Prozessparametern aus der Prozessselektor-Subroutine 420 sowie für das Steuern des Betriebs der verschiedenen Prozesskammern. Mehrfachnutzer können Prozesseinstellnummern und Prozesskammernummern eingeben, oder ein Benutzer kann eine Vielzahl von Prozesskammernummern eingeben, so dass die Sequencer-Subroutine 430 so arbeitet, dass die gewählten Prozesse in der gewünschten Sequenz geplant werden. Vorzugsweise hat die Sequencer-Subroutine 430 einen rechnerlesbaren Programmcode zur Ausführung der Schritte, nämlich (i) Überwachen des Betriebs der Prozesskammern zur Bestimmung, ob die Kammern benutzt werden, (ii) Bestimmen, welche Prozesse in den in Verwendung befindlichen Kammern ausgeführt werden, und (iii) Ausführen des gewünschten Prozesses basierend auf der Verfügbarkeit einer Prozesskammer und der Art des auszuführenden Prozesses. Es können herkömmliche Verfahren zum Überwachen der Prozesskammern verwendet werden, beispielsweise das Abfragen. Wenn geplant wird, welcher Prozess auszuführen ist, kann die Sequencer-Subroutine 34 so ausgelegt sein, dass sie den vorhandenen Zustand der zu verwendenden Prozesskammer im Vergleich mit den gewünschten Prozesszuständen für einen ausgewählten Prozess oder das "Alter" jeder speziellen, vom Nutzer angegebenen Anforderung oder irgendeinen anderen relevanten Faktor, den ein Systemprogrammierer eingeschlossen haben möchte, um die Planungsprioritäten festzulegen, berücksichtigt.
  • Wenn die Sequencer-Subroutine 430 einmal bestimmt, welche Prozesskammer und welche Prozesseinstellkombination als nächste ausgeführt werden sollen, veranlasst die Sequencer-Subroutine 430 die Ausführung des eingestellten Prozesses, indem sie die speziellen Prozesseinstellparameter einer Kammerverwaltungs-Subroutine 440 zuführt, die die Vielzahl der Behandlungsaufgaben in einer Behandlungskammer 10 nach dem eingestellten Verfahren, das von der Sequencer-Subroutine 430 bestimmt wurde, steuert. Beispielsweise hat die Kammerverwaltungs-Subroutine 440 einen Programmcode zum Steuern der CVD-Prozessabläufe in der Prozesskammer 10. Die Kammerverwaltungs-Subroutine 440 steuert auch die Ausführung der verschiedenen Kammerkomponenten-Subroutinen, welche den Ablauf der Kammerkomponente steuert, der erforderlich ist, um die ausgewählte Prozesseinstellung auszuführen. Beispiele für Kammerkomponenten-Subroutinen sind die Suszeptorsteuer-Subroutine 450, die Prozessgassteuer-Subroutine 460, die Drucksteuer-Subroutine 470, die Heizungssteuer-Subroutine 480 und die Plasmasteuer-Subroutine 490. Der Fachmann erkennt leicht, dass auch andere Kammersteuer-Subroutinen eingeschlossen werden können, was davon abhängt, welche Prozesse in dem Reaktor 10 ausgeführt werden sollen.
  • In Betrieb steuert die Kammerverwaltungs-Subroutine 440 die Prozesskomponenten-Subroutinen entsprechend dem auszuführenden speziellen eingestellten Prozess selektiv zeitlich oder ruft sie selektiv auf. Die Kammerverwaltungs-Subroutine 440 steuert die Prozesskomponenten-Subroutinen zeitlich gleichzeitig in Bezug darauf, wie die Sequencer-Subroutine 430 zeitlich steuert, welche Prozesskammer 10 und welche Prozesseinstellung als Nächste auszuführen sind. Gewöhnlich enthält die Kammerverwaltungs-Subroutine 440 Schritte, die verschiedenen Kammerkomponenten zu überwachen, zu bestimmen, welche Komponenten basierend auf den Prozessparametern für den auszuführenden eingestellten Prozess aktiviert werden müssen, und die Ausführung durch eine Kammerkomponenten-Subroutine herbeizuführen, die auf die Überwachungs- und Bestimmungsschritte anspricht.
  • Es wird nun die Arbeitsweise der speziellen Kammerkomponenten-Subroutinen anhand von 4 beschrieben. Die Subroutine 450 für die Positionssteuerung des Suszeptors hat einen Programmcode zum Steuern von Kammerkomponenten, die verwendet werden, um das Substrat auf den Suszeptor 12 zu laden und fakultativ das Substrat auf eine gewünschte Höhe im Reaktor 10 anzuheben, um den Abstand zwischen dem Substrat und dem Gasverteiler 11 zu steuern. Wenn ein Substrat in den Reaktor 10 geladen wird, wird der Suszeptor 12 für die Aufnahme des Substrats abgesenkt, und danach wird der Suszeptor 12 auf die gewünschte Höhe in der Kammer angehoben, um das Substrat in einer ersten Entfernung oder einem ersten Abstand von dem Gasverteiler 11 während des CVD-Prozesses zu halten. In Betrieb steuert die Suszeptorsteuer-Subroutine 450 die Bewegung des Suszeptors 12 ansprechend auf die Prozesseinstellparameter, die von der Kammerverwaltungs-Subroutine 440 übertragen werden.
  • Die Prozessgassteuer-Subroutine 460 hat einen Programmcode zum Steuern der Prozessgaszusammensetzung und der Durchsätze. Die Prozessgassteuer-Subroutine 460 steuert die Offen-/Schließstellung der Sicherheitsabsperrventile und fährt auch die Massenstromregler hoch oder nach unten, um den gewünschten Gasdurchsatz zu erhalten. Die Prozessgassteuer-Subroutine 460 wird durch die Kammerverwaltungs-Subroutine 440 aufgerufen, wie dies auch für alle Kammerkomponenten-Subroutinen der Fall ist, und empfängt von der Kammerverwaltungs-Subroutine Prozessparameter, die sich auf die gewünschten Gasdurchsätze beziehen. Gewöhnlich arbeitet die Prozessgassteuer-Subroutine 460 durch Öffnen der Gaszuführleitungen und durch wiederholtes (i) Ablesen der erforderlichen Massenstromregler, (ii) Vergleichen der Ablesungen mit den gewünschten Durchsätzen, die von der Kammerverwaltungs-Subroutine 440 erhalten werden, und (iii) Einstellen der Durchsätze der Gaszuführleitungen, wenn erforderlich. Weiterhin weist die Prozessgassteuer-Subroutine 460 die Schritte auf, die Gasdurchsätze auf gefährliche Durchsätze hin zu überwachen und die Sicherheitsabsperrventile zu aktivieren, wenn ein gefährlicher Zustand erfasst wird.
  • Bei einigen Prozessen wird ein Inertgas, wie Helium oder Argon, in den Reaktor 10 strömen gelassen, um den Druck in der Kammer zu stabilisieren, bevor reaktive Prozessgase in die Kammer eingeführt werden. Für diese Prozesse wird die Prozessgassteuer-Subroutine 460 so gesteuert, dass sie Schritte enthält, das Inertgas in die Kammer 10 über einen Zeitraum strömen zu lassen, der erforderlich ist, um den Druck in der Kammer zu stabilisieren, wonach die oben beschriebenen Schritte ausgeführt werden. Wenn ein Prozessgas aus einem flüssigen Vorläufer verdampfen soll, beispielsweise 1,3,5-Trisilan-2,4,6-Trimethylen (1,3,5-Trisilancyclohexan), würde zusätzlich die Prozessgassteuer-Subroutine so geschrieben, dass sie Schritte enthält, ein Fördergas, wie Helium, durch den flüssigen Vorläufer in einer Blasenbildungsanordnung als Blasen hindurchströmen zu lassen. Für diese Prozessart reguliert die Prozessgassteuer-Subroutine 460 den Strom des Fördergases, den Druck der Blasenbildungseinrichtung und die Temperatur der Blasenbildungseinrichtung, um die gewünschten Prozessgasdurchsätze zu erhalten. Wie vorstehend erörtert, werden die gewünschten Prozessgasdurchsätze zur Prozessgassteuer-Subroutine 460 als Prozessparameter übertragen. Außerdem schließt die Prozessgassteuer-Subroutine 460 die Schritte ein, den erforderlichen Fördergasdurchsatz, den Druck der Blasenbildungseinrichtung und die Temperatur der Blasenbildungseinrichtung für den gewünschten Prozessgasdurchsatz zu erreichen, indem ein Zugang zu einer Speichertabelle erfolgt, die die erforderlichen Werte für einen gegebenen Prozessgasdurchsatz enthält. Wenn die notwendigen Werte erreicht sind, werden der Fördergasdurchsatz, der Druck der Blasenbildungseinrichtung und die Temperatur der Blasenbildungseinrichtung überwacht, mit den notwendigen Werten verglichen und entsprechend eingestellt.
  • Die Drucksteuer-Subroutine 470 weist einen Programmcode zum Steuern des Drucks in dem Reaktor 10 auf, indem die Größe der Öffnung des Drosselventils in der Absaugpumpe 32 reguliert wird. Die Größe der Öffnung des Drosselventils ist so eingestellt, dass der Kammerdruck auf den gewünschten Pegel bezogen auf den gesamten Prozessgasstrom, die Größe der Prozesskammer und den Pumpeinstellpunktdruck für die Absaugpumpe 32 gesteuert wird. Wenn die Drucksteuer-Subroutine 470 aufgerufen wird, wird der gewünschte oder Zieldruckpegel als Parameter von der Kammerverwaltungs-Subroutine 440 erhalten. Die Drucksteuer-Subroutine 470 arbeitet so, dass der Druck in dem Reaktor 10 gemessen wird, indem ein oder mehrere herkömmlichen Druckmanometer abgelesen werden, die an die Kammer angeschlossen sind, der Messwert/die Messwerte mit dem Zieldruck verglichen wird werden, PID-(Proportional, Integral und Differential-)Werte einer gespeicherten Drucktabelle entsprechend dem Zieldruck erhalten werden und das Drosselventil entsprechend den PID-Werten eingestellt wird, die sich aus der Drucktabelle ergeben. Alternativ kann die Drucksteuer-Subroutine 470 so geschrieben werden, dass sie das Drosselventil auf eine spezielle Öffnungsgröße öffnet oder schließt, um den Reaktor 10 auf den gewünschten Druck einzuregeln.
  • Die Heizungssteuer-Subroutine 480 hat einen Programmcode zum Steuern der Temperatur der Heizmodule oder der Strahlungsheizung, die zum Erhitzen des Suszeptors 12 verwendet wird werden. Die Heizungssteuer-Subroutine 480 wird ebenfalls durch die Kammerverwaltungs-Subroutine 440 aufgerufen und erhält einen Ziel- oder Einstellpunkt-Temperaturparameter. Die Heizungssteuerungs-Subroutine 480 misst die Temperatur durch Messen des Spannungsausgangs eines Thermoelements, das in dem Suszeptor 12 angeordnet ist, vergleicht die gemessene Temperatur mit der Einstellpunkttemperatur und erhöht oder verringert den an das Heizmodul angelegten Strom zum Erreichen der Einstellpunkttemperatur. Die Temperatur wird aus der gemessenen Spannung erhalten, indem die entsprechende Temperatur in einer gespeicherten Umwandlungstabelle nachgesehen wird oder indem die Temperatur unter Verwendung eines Polynoms vierter Ordnung berechnet wird. Die Heizungssteuer-Subroutine 480 steuert die allmähliche Hochregelung/Abregelung eines an den Heizmodul angelegten Stroms. Die allmähliche Hochregelung/Abregelung erhöht die Lebensdauer und Betriebssicherheit des Heizmoduls. Zusätzlich kann ein Einbau-Betriebssicherheitsmodus vorhanden sein, um ein Übereinstimmen mit der Prozesssicherheit zu erfassen, und kann den Betrieb des Heizmoduls abschalten, wenn der Reaktor 10 nicht richtig arbeitet.
  • Die Plasmasteuer-Subroutine 490 hat einen Programmcode zum Einstellen des HF-Vorspannungsleistungspegels an den Prozesselektroden in dem Reaktor 10 und fakultativ zur Einstellung des Pegels des Magnetfelds, das in dem Reaktor erzeugt wird. Ähnlich wie die vorher beschriebenen Kammerkomponenten-Subroutinen wird die Plasmasteuer-Subroutine 490 von der Kammerverwaltungs-Subroutine 440 aufgerufen.
  • Die vorstehende Beschreibung des CVD-Systems dient hauptsächlich zur Veranschaulichung. Es können auch andere Plasma-CVD-Geräte verwendet werden, wie Plasma-CVD-Vorrichtungen mit Elektroden-Cyclotronresonanz, mit hochdichtem Plasma arbeitende HF-CVD-Vorrichtungen mit Induktionskoppelung oder dergleichen. Zusätzlich sind Änderungen des vorstehend beschriebenen Systems möglich, beispielsweise in der Konstruktion des Suszeptors und der Heizung, der Stelle der HF-Leistungsanschlüsse und dergleichen.
  • Beispielsweise kann der Wafer von einem durch Widerstand erhitzten Suszeptor gehalten und erhitzt werden. Die Vorbehandlung und das Verfahren zur Ausbildung einer vorbehandelten Schicht der vorliegenden Erfindung ist nicht auf irgendeine spezielle Vorrichtung oder auf irgendein spezielles Plasmaerregungsverfahren begrenzt.
  • Abscheidung des Dielektrikums aus oxidiertem Organosilan oder Organosiloxan in einem Dreischicht-Spaltfüllungsprozess
  • Die oxidierte Organosilan- oder Organosiloxanschicht der vorliegenden Erfindung kann in einem Dreischicht-Spaltfüllprozess verwendet werden, wie er in 5 gezeigt ist, wobei die PECVD-Kammer von 2 verwendet wird. Gemäß 5 wird ein Wafer in dem Reaktor 10 angeordnet 200, und es wird eine oxidierte Organosilanschicht mit einer geringen Dielektrizitätskonstante durch einen PECVD-Prozess aus einem Plasma abgeschieden 205, das eine Organosilanverbindung und/oder eine Organosiloxanverbindung aufweist. Der Abscheidungsschritt 205 kann ein kapazitiv gekoppeltes Plasma oder sowohl ein induktiv als auch ein kapazitiv gekoppeltes Plasma in der Behandlungskammer 15 bei den bekannten Verfahren aufweisen. Bei der PECVD-Abscheidung wird gewöhnlich ein Inertgas, wie Helium, verwendet, um die Plasmaerzeugung zu unterstützen. Dann wird eine Spaltfüllschicht auf der Zwischenschicht nach bekannten Verfahren abgeschieden 210. Die Spaltfüllschicht ist vorzugsweise selbstebnend und weist beispielsweise Aufschleuderpolymere oder -oxide auf, die in flüssiger Form durch Reaktion von Methylsilan und Wasserstoffperoxid abgeschieden werden. Dann wird auf der Spaltfüllschicht eine Deckschicht abgeschieden 215, wobei vorzugsweise die gleichen Prozesse wie zum Abscheiden der Zwischenschicht verwendet werden. Anschließend wird der Wafer aus dem Reaktor 10 entfernt 220.
  • Gemäß 6A bis 6E sieht das Dreischicht-Spaltfüllverfahren eine PECVD-Zwischenschicht 300 aus oxidiertem Organosilan- oder Organosiloxanpolymer vor. Die Zwischenschicht 300 wirkt als eine Isolationsschicht zwischen einer darauf folgenden Spaltfüllschicht 302 und der darunter liegenden Substratfläche 304 sowie Metallleitern 306, 308, 310, die auf der Substratoberfläche ausgebildet sind. Die Spaltfüllschicht 302 wird von einer PECVD-Deckschicht 318 aus oxidiertem Organosilan- oder Organosiloxanpolymer abgedeckt. Dieses Verfahren wird unter Verwendung eines Rechnerprogramms ausgeführt und gesteuert, das in dem Speicher 38 einer Rechnersteuerung 34 für einen CVD-Reaktor 10 gespeichert ist.
  • Gemäß 6A wird die PECVD-Zwischenschicht 300 in dem Reaktor 10 durch Einführen eines oxidierenden Gases, wie N2O, einer Organosilan- oder Organosiloxanverbindung, wie CH3SiH3 oder (CH3)2SiH2, und eines Trägergases, wie Helium, abgeschieden. Das Substrat wird auf einer Temperatur von etwa –20°C bis etwa 400°C, und vorzugsweise auf einer Temperatur von –20°C bis 40°C während der Abscheidung der PECVD-Zwischenschicht gehalten. Die PECVD-Zwischenschicht 300 wird mit einem Prozessgas abgeschieden, das eine Mischung der Organosilan- und/oder Organosiloxanverbindung bei einem Durchsatz von etwa 5 sccm bis etwa 500 sccm und des oxidierenden Gases mit einem Durchsatz von etwa 5 sccm bis etwa 2000 sccm aufweist. Die Prozessgase werden von einem Inertgas, wie He, Ar, Ne, oder einem relativ inerten Gas, wie Stickstoff, transportiert, die typischerweise nicht in den Film eingeschlossen werden, bei einem Durchsatz von etwa 0,2 bis etwa 20 l/min. Die Prozessgase reagieren bei einem Druck von etwa 27 bis etwa 2,666 Pa (etwa 0,2 bis etwa 20 Torr), vorzugsweise weniger als 1,333 Pa (10 Torr), und bilden eine konforme Siliciumoxidschicht auf der Substratoberfläche 304 und den Metallleitern 306, 308, 310. Die Reaktion wird mit einer Leistungsdichte von weniger als 1 W/cm2, vorzugsweise im Bereich von etwa 0,1 bis etwa 0,3 W/cm2 plasmaverstärkt.
  • Für eine Einzelwaferkammer von 20,33 cm (8 Zoll) ist die Hochfrequenz-HF-Quelle von etwa 13,56 MHz vorzugsweise mit einem Gasverteilungssystem verbunden und wird mit etwa 10 bis etwa 500 W betrieben, während eine Niederfrequenz-HF-Quelle von etwa 350 KHz bis 1 MHz fakultativ an einen Suszeptor angeschlossen ist und mit etwa 0 bis etwa 100 W betrieben wird. Bei einer bevorzugten Ausführungsform wird die hochfrequente HF-Quelle bei etwa 20 W bis etwa 250 W pulsierter HF-Leistung betrieben, während die niederfrequente HF-Quelle bei etwa 0 bis etwa 50 W gepulster HF-Leistung bei einem Arbeitszyklus von 10% bis 30% betrieben wird. Die gepulste HF-Leistung hat vorzugsweise einen Zyklus mit kurzen Intervallen, besonders bevorzugt mit einer Frequenz von weniger als etwa 200 Hz. Wenn die hochfrequente HF-Leistung konstant ist, liegt der Leistungspegel vorzugsweise im Bereich von etwa 20 W bis etwa 100 W.
  • Die oxidierte Organosilan- oder Organosiloxanschicht wird dann bei einem Druck von weniger als 1,333 Pa (10 Torr) bei einer Temperatur von etwa 100°C bis etwa 450°C gehärtet. Fakultativ kann das Härten nach dem Abscheiden von zusätzlichen dielektrischen Schichten ausgeführt werden.
  • Die obigen Prozessbedingungen führen zu einer Abscheidung der PECVD-Zwischenschicht 300 (bei etwa 2,000 Å pro Minute) mit verbesserten Sperreigenschaften für die darauf folgende Abscheidung der Spaltfüllschicht 302, was in 6B gezeigt ist. Die aus dem Methylsilan erhaltene Zwischenschicht hat C-H-Bindungen, die ausreichen, dass sie hydrophob ist, und bildet eine hervorragende Feuchtesperre. Die Abscheidung einer hydrophoben Zwischenschicht hat ein überraschendes und unerwartetes Ergebnis dahingehend, dass darauf folgende hydrophile Schichten in hydrophobe Schichten umgewandelt werden.
  • Die Prozessgase für die Spaltfüllschicht 302 sind vorzugsweise SiH4, CH3SiH3 oder (CH3)2SiH2 sowie 50 Gew.-% Wasserstoffperoxid (H2O2), das verdampft und mit einem Trägerinertgas, wie Helium, vermischt ist. Die Spaltfüllschicht kann jedoch jede dielektrische Schicht sein, die eine akzeptable Dielektrizitätskonstante hat. Es können alternative, Silicium-enthaltende Verbindungen verwendet werden, wenn die Nebenprodukte Dämpfe bei den Abscheidungsbedingungen sind. Zu bevorzugten alternativen Verbindungen gehören Organosilan- oder Silangruppen, wie:
    Trimethylsilan,
    Disilanmethan,
    Bis(methylsilan)methan,
    1,2-Disilanethan,
    2,2-Disilanpropan,
    1,3,5-Trisilan-2,4,6-trimethylen (cyclisch),
    1,3-Bis(silanmethylen)siloxan,
    Bis(1-methyldisiloxanyl)methan,
    2,4,6,8-Tegramethylcyclotetrasiloxan, oder
    1,2-Disilantetrafluorethan.
  • Das Prozessgas strömt in einem Bereich von 0 bis 2000 sccm für He, 10 bis 200 sccm für CH3SiH3 und 0,1 bis 3 g/min für H2O2. Das bevorzugte Gas strömt in einem Bereich von 100 bis 500 sccm für He, 20 bis 100 sccm für CH3SiH3 und 0,1 bis 1 g/min für H2O2. Diese Durchsätze gelten für eine Kammer mit einem Volumen von etwa 5,5 bis 6,5 Liter. Vorzugsweise wird der Reaktor 10 auf einem Druck von etwa 27 bis etwa 667 Pa (etwa 0,2 bis etwa 5 Torr) während der Abscheidung der Spaltfüllschicht 302 gehalten. Die Spaltfüllschicht 302 kann teilweise gehärtet werden, wie in 6C gezeigt ist, um Lösungsmittel, wie Wasser, vor der Abscheidung einer Deckschicht 312, wie in 6D gezeigt, zu entfernen. Das Härten erfolgt in dem Reaktor 10 durch Pumpen unter einer Inertgasatmosphäre unter 1,333 Pa (10 Torr).
  • Die aus Methylsilan erzeugten Spaltfüllschichten sind gewöhnlich hydrophil und haben schlechte Feuchtesperreigenschaften. Bei Abscheidung auf einer Zwischenschicht, die aus Methylsilan hergestellt ist, ist die Spaltfüllschicht, die aus Methylsilan hergestellt ist, überraschenderweise hydrophob und hat gute Feuchtesperreigenschaften.
  • Gemäß 6D nimmt der Reaktor 10 nach der Abscheidung der Spaltfüllschicht 302 fakultativ die Abscheidung der oxidierten Organosilan- oder Organosiloxanschicht der vorliegenden Erfindung wieder auf, um eine Deckschicht 312 abzuscheiden. Gemäß 6E werden nach dem Abscheiden der Deckschicht, falls eine vorhanden ist, die abgeschiedenen Schichten in einem Ofen oder einer anderen Kammer bei einer Temperatur von etwa 100°C bis etwa 450°C gehärtet, um restliches Lösungsmittel oder Wasser auszutreiben. Natürlich können die Prozessbedingungen entsprechend den gewünschten Eigenschaften der abgeschiedenen Filme variieren.
  • Abscheiden einer Doppeldamaszenstruktur
  • Eine Doppeldamaszenstruktur, die eine oxidierte Organosilan- oder Organosiloxanschicht als Ätzstopp oder als eine dielektrische Intermetallschicht aufweist, ist in 7 gezeigt. Wenn das oxidierte Organosilan oder Organosiloxan als Ätzstopp verwendet wird, wird eine erste dielektrische Schicht 510 auf einem Substrat 512 abgeschieden und dann die oxidierte Organosilan- oder Organosiloxan-Ätzstoppschicht 514 auf der ersten dielektrischen Schicht abgeschieden und gehärtet. Anschließend wird der Ätzstopp mustergeätzt, um Öffnungen für die Kontakte/Kontaktlöcher 516 zu bilden. Daraufhin wird eine zweite dielektrische Schicht 518 über dem gemusterten Ätzstopp abgeschieden und danach das Muster nach herkömmlichen Verfahren geätzt, um die Zwischenverbindungsleitungen 520 zu bilden. Dann wird ein einziger Ätzprozess ausgeführt, um die Zwischenverbindungen nach unten bis zum Ätzstopp zu bilden und um das ungeschützte Dielektrikum zu ätzen, das durch den gemusterten Ätzstopp freigelegt ist, um so die Kontakt/Kontaktlöcher zu bilden.
  • Gemäß 7 weist der Damaszenaufbau alternativ das oxidierte Organosilan oder Organosiloxan als Intermetalldielektrikum auf. Auf einem Substrat 512 wird eine erste dielektrische Schicht 510 abgeschieden, die vorzugsweise aus dem oxidierten Organosilan oder Organosiloxan besteht, wonach ein herkömmlicher Siliciumoxid-, Siliciumnitrid- oder hydrierter Siliciumcarbid-Ätzstopp 514 der ersten dielektrischen Schicht abgeschieden wird. Der Ätzstopp wird dann gemustert, um die Öffnungen der Kontakte/Kontaktlöcher 516 zu begrenzen. Daraufhin wird eine zweite dielektrische Schicht 518, die aus dem oxidierten Organosilan oder Organosiloxan besteht, über dem gemusterten Ätzstopp abgeschieden und danach gemustert, um die Zwischenverbindungsleiter 520 zu begrenzen. Danach wird ein einziger Ätzschritt ausgeführt, um die Zwischenverbindungen nach unten bis zum Ätzstopp auszubilden und das ungeschützte Dielektrikum zu ätzen, das durch den gemusterten Ätzstopp freigelegt ist, um die Kontakte/Kontaktlöcher zu bilden.
  • Eine Doppeldamaszenstruktur, die eine Zwischenschicht aufweist, ist in 8H gezeigt, während das Verfahren zur Herstellung der Struktur sequenziell schematisch in den Schnittansichten von 8A bis 8H gezeigt ist.
  • Wie in 8A gezeigt ist, wird eine anfängliche erste dielektrische Schicht 518, beispielsweise Parylen, FSG, Siliciumoxid oder dergleichen, auf dem Substrat 512 mit einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden, die von der Größe der herzustellenden Struktur abhängt. Wie in 8B gezeigt ist, wird dann der Ätzstopp 514 mit geringem k, bei dem es sich um die oxidierte Organosilan- oder Organosiloxanschicht handelt, auf der ersten dielektrischen Schicht mit einer Dicke von etwa 200 bis etwa 1.000 Å abgeschieden, wobei HF-Leistungspegel verwendet werden. Der Ätzstopp 514 mit niedrigem k wird dann mustergeätzt, um die Kontakt-/Kontaktlochöffnungen 516 zu begrenzen und um die erste dielektrische Schicht 510 in den Bereichen freizulegen, wo die Kontakte/Kontaktlöcher gebildet werden, wie es in 8C gezeigt ist. Der Ätzstopp 514 mit nied rigem k wird vorzugsweise unter Verwendung einer herkömmlichen Photolithographie und von Ätzprozessen mustergeätzt, bei denen Fluor-, Kohlenstoff- und Sauerstoffionen Verwendung finden. Wenn der Ätzstopp 514 mit niedrigem k zu den Mustern der Kontakte/Kontaktlöcher geätzt und das Photoresist entfernt worden ist, wird auf dem Ätzstopp 514 eine zweite dielektrische Schicht 518 mit einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden, wie es in 8D gezeigt ist. Dann wird eine zweite dielektrische Schicht 518 im Muster aufgebracht, um Zwischenverbindungsleitungen 520 zu begrenzen, vorzugsweise unter Verwendung von herkömmlichen Photolithographieprozessen mit einer Photoresistschicht 522, wie es in 8E gezeigt ist. Daraufhin werden die Zwischenverbindungen und Kontakte/Kontaktlöcher unter Verwendung von reaktiven Ionenätz- oder anderen anisotropen Ätztechniken geätzt, um die Metallisierungsstruktur (d.h. die Zwischenverbindung und den Kontakt/das Kontaktloch), wie in 8F gezeigt, zu bilden. Unter Verwendung einer Sauerstoffabstreifung oder durch einen anderen geeigneten Prozess wird jeglicher Photoresist oder anderes Material, das zur Musterbildung des Ätzstopps 514 oder der zweiten dielektrischen Schicht 518 verwendet wurde, entfernt.
  • Dann wird die Metallisierungsstruktur mit einem leitenden Material, wie Aluminium, Kupfer, Wolfram oder Kombinationen davon ausgebildet. Gegenwärtig geht der Trend dahin, Kupfer zur Bildung der kleineren Elemente aufgrund des niedrigen spezifischen Widerstands von Kupfer zu verwenden (1,7 mW-cm verglichen mit 3,1 mW-cm für Aluminium). Wie in 8G gezeigt ist, wird vorzugsweise zuerst eine geeignete Sperrschicht 524, beispielsweise Tantalnitrid, konform in dem Metallisierungsmuster abgeschieden, um eine Kupfermigration in das umgebende Silicium- und/oder dielektrische Material zu verhindern. Danach wird Kupfer unter Verwendung irgendeiner chemischen Gasphasenabscheidung, physikalischen Gasphasenabscheidung, Elektroplattierung oder Kombinationen davon zur Bildung der Leiterstruktur abgeschieden 526. Wenn die Struktur mit Kupfer oder einem anderen Metall gefüllt worden ist, wird die Oberfläche unter Verwendung von chemischem mechanischem Polieren eben gemacht, wie es in 8H gezeigt ist.
  • Abscheiden von Haftschichten
  • Eine doppelte Damaszenstruktur, die eine oxidierte Organosilan- oder Organosiloxanschicht als eine Haftschicht zwischen einer dielektrischen Prämetallschicht und einer dielektrischen Zwischenmetallschicht aufweist, ist in 9 gezeigt. Die oxidierte Organosi lan- oder Organosiloxan-Haftschicht 612 wird auf einer dielektrischen Prämetallsehicht 610, beispielsweise einer herkömmlichen PSG- oder BPSG-Schicht, abgeschieden und dann gehärtet. Anschließend wird eine dielektrische Intermetallschicht 614, vorzugsweise eine dielektrischen Polymerschicht mit geringem k, über der Haftschicht 612 abgeschieden. Dann wird ein herkömmlicher Siliciumoxid- oder Siliciumnitrid-Ätzstopp 614 im Muster nach herkömmlichen Verfahren zur Bildung von Kontaktlöchern 620 aufgebracht. Daraufhin wird eine zweite dielektrische Intermetallschicht 622, vorzugsweise das dielektrische Polymer mit geringem k, über den ein Muster bildenden Ätzstopp abgeschieden und dann das Muster zur Begrenzung der Zwischenverbindungsleitungen gebildet. Es wird ein einziger Ätzprozess ausgeführt, um die Zwischenverbindungen bis zum Ätzstopp nach unten durchzubilden und um das ungeschützte Dielektrikum zu ätzen, das durch den ein Muster bildenden Ätzstopp freigelegt ist, um die Kontakte/Kontaktlöcher vor der Metallisierung zu bilden.
  • In 10H ist eine doppelte Damaszenstruktur mit einer Haftschicht gezeigt, während das Verfahren zur Herstellung der Struktur sequenziell schematisch in den 10A bis 10H in Schnittansichten veranschaulicht ist.
  • Wie in 10A gezeigt ist, wird eine anfängliche erste dielektrische Intermetallschicht 710, beispielsweise aus Parylen, FSG, Siliciumoxid oder dergleichen, auf einem Substrat 712 mit einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden, die von der Größe der herzustellenden Struktur abhängt. Wie in 10B gezeigt ist, wird dann eine Haftschicht 714 mit geringem k, bei der es sich um die oxidierte Organosilanschicht handelt, auf der ersten dielektrischen Intermetallschicht 710 bis zu einer Dicke von etwa 50 bis etwa 200 Å abgeschieden. Darauf wird ein herkömmlicher Siliciumoxid- oder Siliciumnitrid-Ätzstopp 716 auf der Haftschicht 714 bis zu einer Dicke von etwa 50 bis etwa 200 Å abgeschieden. Anschließend wird eine zweite Haftschicht 718 mit niedrigen k, bei der sich um die oxidierten Organosilanschicht handelt, auf dem Ätzstopp 716 bis zu einer Dicke von etwa 50 bis etwa 200 Å abgeschieden. Der Ätzstopp 716 und die Haftschichten 714, 718 werden dann mustergeätzt, um die Kontakt-/Kontaktlochöffnungen 720 zu bilden und um die erste dielektrische Intermetallschicht 710 in den Bereichen freizusetzen, in denen die Kontakte/Kontaktlöcher ausgebildet werden, wie es in 10C gezeigt ist. Vorzugsweise wird der Ätzstopp 716 unter Verwendung einer herkömmlichen Photolithographie oder von Ätzprozessen unter Verwendung von Fluor-, Kohlenstoff- und Sauerstoffionen mustergeätzt. Wenn der Ätzstopp 716 und die Haftschichten 714, 718 zu dem Muster zur Bildung der Kontakte/Kontaktlöcher geätzt worden sind und das Photoresist entfernt worden ist, wird eine zweite dielektrische Intermetallschicht 722 über der zweiten Haftschicht 716 bis zu einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden, wie es in 10D gezeigt ist. Dann wird die zweite dielektrische Intermetallschicht 722 mit einem Muster für die Begrenzung der Zwischenverbindungsleitungen 724 versehen, wobei vorzugsweise herkömmliche Lithographieprozesse mit einer Photoresistschicht 726 verwendet werden, was in 10E gezeigt ist. Daraufhin werden die Zwischenverbindungen und Kontakte/Kontaktlöcher unter Verwendung einer reaktiven Ionenätz- oder anderen anisotropen Ätztechnik geätzt, um die Metallisierungsstruktur (d.h. die Zwischenverbindung und Kontakt/Kontaktloch), wie in 10F gezeigt, zu bilden. Unter Verwendung einer Sauerstoffabstreifung oder eines anderen geeigneten Prozesses wird dann jegliches Photoresist oder anderes Material entfernt, das für die Musterbildung des Ätzstopps 716 oder der zweiten dielektrischen Intermetallschicht 722 verwendet wurde.
  • Dann wird die Metallisierungsstruktur mit einem leitenden Material, wie Aluminium, Kupfer, Wolfram oder Kombinationen davon ausgeführt. Gegenwärtig geht der Trend dahin, Kupfer zur Bildung der kleineren Elemente wegen des geringen spezifischen Widerstands von Kupfer zu verwenden (1,7 mW-cm verglichen mit 3,1 mW-cm für Aluminium). Vorzugsweise wird, wie in 10G gezeigt ist, eine geeignete Sperrschicht 728, beispielsweise Tantalnitrid, zuerst konform in dem Metallisierungsmuster abgeschieden, um eine Kupfermigration in das umgebende Silicium- und/oder dielektrische Material zu verhindern. Danach wird unter Verwendung entweder von chemischer Gasphasenabscheidung, physikalischer Gasphasenabscheidung, Elektroplattierung oder Kombinationen davon zur Bildung der leitenden Struktur Kupfer abgeschieden. Wenn die Struktur einmal mit Kupfer oder einem anderen Metall gefüllt worden ist, wird die Oberfläche unter Verwendung eines chemischen mechanischen Polierverfahrens planarisiert, wie es in 10H gezeigt ist.
  • Doppelte Damaszenintegration
  • Eine weitere doppelte Damaszenstruktur weist Siliciumoxidschichten mit unterschiedlichen Dielektrizitätskonstanten auf, um einem Übersprechen zwischen den Schichten zu widerstehen, und wird abgeschieden, wie in 11A bis 11D, welche Schnittansichten eines Substrats sind, gezeigt ist.
  • Wie in 11A gezeigt ist, wird eine dielektrische Kontaktlochpegelschicht 810, die einen Kohlenstoffgehalt von mehr als 20 Atomgew.-% hat, auf einem Substrat 812 bis zu einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden, die von der Größe der herzustellenden Struktur abhängt. Die dielektrische Kontaktlochpegelschicht wird vorzugsweise dadurch abgeschieden, dass N2O und Trimethylsilan bei einem HF-Leistungspegel von 500 W mit einem Arbeitszyklus von 10% reagieren gelassen werden. Wie in 11A gezeigt ist, wird dann die dielektrische Kontaktlochpegelschicht strukturgeätzt, um die Durchgänge und Kontaktlöcher 814 mit herkömmlichen Photolithographie- und Ätzprozessen für Materialien mit hohem Kohlenstoffgehalt unter Verwendung von Fluor- Kohlenstoff- und Sauerstoffionen zu bilden.
  • Wie in 11B gezeigt ist, ist eine dielektrische Grabenpegelschicht 822 mit einem Kohlenstoffgehalt von weniger als 10 Atomgew.-% über der dielektrischen Schicht 810 mit Kontaktlochpegel bis zu einer Dicke von etwa 5.000 bis etwa 10.000 Å abgeschieden. Die dielektrische Grabenpegelschicht wird vorzugsweise dadurch abgeschieden, dass N2O und Methylsilan bei einem HF-Leistungspegel von 500 W bei einem Arbeitszyklus von 30% reagieren gelassen werden. Dann wird die dielektrische Grabenpegelschicht 822 zur Bildung von Zwischenverbindungsleitungen 824, wie in 11b gezeigt, strukturgeätzt, wobei herkömmliche Photolithographieprozesse und Ätzprozesse verwendet werden, die für niederkohlige Materialien effektiv und für hochkohlige Materialien nicht effektiv sind. Jedes Photoresist oder anderes Material, das für die Strukturbildung der dielektrischen Schichten verwendet wurde, wird unter Verwendung eines chemischen mechanischen Polierens, einer Sauerstoffabstreifung oder durch einen anderen geeigneten Prozess entfernt.
  • Dann wird die Metallisierungsstruktur mit einem leitenden Material, wie Aluminium, Kupfer, Wolfram oder Kombinationen davon ausgebildet. Gegenwärtig geht der Trend dahin, zur Bildung der kleineren Elemente Kupfer aufgrund seines geringen spezifischen Widerstands zu verwenden (1,7 mW-cm verglichen mit 3,1 mW-cm für Aluminium). Vorzugsweise wird zuerst, wie in 11C gezeigt ist, eine geeignete Sperrschicht 828, beispielsweise aus Tantalnitrid, konform in dem Metallisierungsmuster abgeschieden, um eine Migration von Kupfer in das umgebende Silicium und/oder dielektrische Material zu verhindern. Anschließend wird, wie in 11D gezeigt ist, Kupfer 830 unter Verwendung entweder der chemischen Gasphasenabscheidung, der physikalischen Gasphasenabscheidung, der Elektroplattierung oder von Kombinationen davon abgeschieden, um die leitende Struktur zu bilden. Wenn die Struktur einmal mit Kupfer oder einem anderen Metall gefüllt worden ist, wird die Oberfläche unter Verwendung eines chemischen mechanischen Polierens oder von anderen Planarisierungsmethoden eben gemacht.
  • Anhand der folgenden Beispiele wird die Erfindung weiter beschrieben.
  • Beispiel
  • Das folgende Beispiel zeigt die Abscheidung eines oxidierten Organosilan- oder Organosiloxanfilms mit hervorragenden Sperr- und Hafteigenschaften. Dieses Beispiel wurde unter Verwendung einer Kammer mit chemischer Gasphasenabscheidung, und insbesondere eines "CENTURA DxZ"-Systems ausgeführt, die/das eine HF-Anpasseinheit im Feststoffzustand mit einem zweiteiligen Quarzprozessaufsatz aufweist, die beide von Applied Material, Inc., Santa Clara, Kalifornien, hergestellt und verkauft werden.
  • Nicht gepulste HF-Leistung
  • Bei einem Kammerdruck von 400 Pa (3,0 Torr) und einer Temperatur von 15°C wurde ein oxidierter Dimethylsilanfilm aus in den Reaktor strömen gelassenen reaktiven Gasen abgeschieden:
    Dimethylsilan, (CH3)2SiH2, mit 55 sccm;
    Stickoxid, N2O, mit 300 sccm, und
    Helium, He, mit 4.000 sccm.
  • Das Substrat wurde 1,5 cm (600 mils) von dem Gasverteilungsduschkopf angeordnet, und es wurde eine 20-W-Hochfrequenzleistung (13 MHz) an den Duschkopf für eine plasmaverstärkte Abscheidung einer oxidierten Dimethylsilanschicht angelegt. Das oxidierte Dimethylsilanmaterial hatte eine Dielektrizitätskonstante von etwa 2,5 und war hydrophob.
  • Hypothetische Beispiele
  • Die folgenden hypothetischen Beispiele beschreiben die Abscheidung eines oxidierten Organosilan- oder Organosiloxanfilms der vorliegenden Erfindung. Diese Beispiele sind für die in dem vorstehenden Beispiel erwähnte chemische Gasphasenabscheidung beschrieben.
  • Gepulste HF-Leistung
  • Bei einem Kammerdruck von 400 Pa (3,0 Torr) und einer Temperatur von 15°C wurde ein oxidierter 1,3,5-Trisilan-2,4,6-Trimethylen-(cyclisch)Film aus den folgenden, in den Reaktor strömenden Gasen abgeschieden:
    1,3,5-Trisilan-2,4,6-Trimethlylen, -(-SiH2CH2-)3- (cyclisch), mit 20 sccm;
    Stickoxid, N2O, mit 300 sccm, und
    Helium, He, mit 4.000 sccm.
  • Das Substrat ist 1,5 cm (600 mil) von dem Gasverteilungsduschkopf angeordnet, und es wird 50 W pulsierte Hochfrequenzleistung (13 MHz) an den Duschkopf für eine plasmaverstärkte Abscheidung einer oxidierten 1,3,5-Trisilan-2,4,6-Trimethylenschicht angelegt.
  • Gepulste HF-Leistung
  • Bei einem Kammerdruck von 400 Pa (3,0 Torr) und einer Temperatur von 15°C wird ein oxidierter 1,3-Dimethyldisiloxanfilm aus reaktiven Gasen abgeschieden, die in den Reaktor wie folgt strömen gelassen werden:
    1,3-Dimethyldisiloxan, CH3-SiH2-O-SiH2-CH3, mit 30 sccm,
    Stickoxid, N2O, mit 300 sccm, und
    Helium, He, mit 4.000 sccm.
  • Das Substrat ist 1,5 cm (600 mil) Entfernung von dem Gasverteilungsduschkopf angeordnet, und es wird 50 W pulsierte hochfrequente HF-Leistung (13 MHz) an den Duschkopf für eine plasmaverstärkte Abscheidung einer oxidierten Dimethyldisiloxanschicht angelegt.
  • Mikrowellen-/HF-Leistung
  • Bei einem Kammerdruck von 400 Pa (3,0 Torr) und einer Temperatur von 15°C wird ein oxidierter 1,3-Dimethyldisiloxanfilm aus reaktiven Gasen abgeschieden, die in den Reaktor wie folgt strömen gelassen werden:
    1,3-Dimethyldisiloxan, CH3-SiH2-O-SiH2-CH3, mit 30 sccm;
    Stickoxid, N2O, mit 300 sccm, und
    Helium, He, mit 4.000 sccm.
  • Vor dem Eintritt in die Kammer wird das Stickoxid in einem Mikrowellenapplikator dissoziiert, der 2.000 W Mikrowellenenergie bereitstellt. Das Substrat wird in 1,5 cm (600 mit) Entfernung von dem Gasverteilungsduschkopf angeordnet, und es wird 50 W hochfrequenter HF-Leistung (13 MHz) an den Duschkopf in Zyklen für eine plasmaverstärkte Abscheidung einer oxidierten Dimethyldisiloxanschicht angelegt. Jeder Zyklus hält HF-Leistung für 30% des Zyklus bereit.
  • Während die vorstehenden Ausführungen auf bevorzugte Ausgestaltungen der vorliegenden Erfindung gerichtet sind, können auch andere oder weitere Ausgestaltungen der Erfindung in Frage kommen, ohne von dem Grundrahmen abzuweichen, der von den folgenden Ansprüchen bestimmt ist.

Claims (25)

  1. Verfahren zur Abscheidung eines Films mit niedriger Dielektrizitätskonstante auf ein Halbleitersubstrat, das – die Umsetzung einer Si-organischen Verbindung mit einem oxidierenden Gas unter HF-Plasma-Bedingungen, die für die Abscheidung eines Films mit niedriger Dielektrizitätskonstante auf ein Halbleitersubstrat ausreichen, wobei die Si-organische Verbindung wenigstens ein an ein Si-Atom gebundenes H-Atom und wenigstens ein an ein Si-Atom gebundenes C-Atom umfasst, die HF-Plasmabedingungen eine Leistungsdichte von unter 1 W/cm2 umfassen und der Film mit niedriger Dielektrizitätskonstante ein Siliciumoxid-Film mit einem Kohlenstoffgehalt von 1 bis 50 Atomgewicht-% und einer Dielektrizitätskonstante von unter 3 ist, und – das Ätzen des Films mit niedriger Dielektrizitätskonstante unter Bildung einer Verbindungsöffnung aufweist.
  2. Verfahren nach Anspruch 1, bei dem die HF-Plasma-Bedingungen eine Substrattemperatur von 20 bis 400°C aufweisen.
  3. Verfahren nach Anspruch 1, bei dem die HF-Plasma-Bedingungen eine Substrattemperatur von 20 bis 40°C aufweisen.
  4. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung Methylsilan ist.
  5. Verfahren nach Anspruch 1, bei dem das oxidierende Gas Sauerstoff ist.
  6. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung unter Methylsilan, Dimethylsilan und Trimethylsilan ausgewählt wird.
  7. Verfahren nach Anspruch 1, bei dem der Film mit niedriger Dielektrizitätskonstante einen C-Gehalt von 5 bis 30 Atomgewicht-% aufweist.
  8. Verfahren nach Anspruch 1, bei dem die HF-Plasma-Bedingungen eine Mischfrequenz-HF-Leistung aufweisen.
  9. Verfahren nach Anspruch 8, bei dem die Mischfrequenz-HF-Leistung eine HF-Leistung bei 13,56 MHz und 360 KHz aufweist.
  10. Verfahren zur Abscheidung eines Films mit niedriger Dielektrizitätskonstante, das – die Abscheidung einer konformen Zwischenschicht auf eine gedruckte Metallschicht aus Prozessgasen, die eine oder mehrere Si-organische Verbindungen und ein oxidierendes Gas bei einem konstanten HF-Leistungsniveau von 10 bis 200 W oder bei einem gepulsten HF-Leistungsniveau von 20 bis 500 W aufweisen, – wobei jedes Si-Atom der einen Si-organischen Verbindung oder mehrerer Si-organischer Verbindungen an ein oder zwei C-Atome und mindestens ein H-Atom gebunden ist und – wobei die Si-Atome im selben Molekül durch nicht mehr als zwei C-Atome bzw. nicht mehr als ein O-Atom voneinander getrennt sind und die konforme Zwischenschicht eine Siliciumoxidschicht ist, die einen C-Gehalt von 1 bis 50 Atomgewicht-% und eine Dielektrizitätskonstante von unter 3 aufweist, und – die Abscheidung einer Spaltfüllschicht auf die Zwischenschicht aufweist.
  11. Verfahren nach Anspruch 10, bei dem die eine Si-organische Verbindung bzw. mehrere Si-organische Verbindungen ausgewählt wird bzw. ausgewählt werden unter Methylsilan, Dimethylsilan, Trimethylsilan, Disilanmethan, Bis(methyl-silan)methan, 1,2-Disilanethan, 1,2-Bis(methylsilan)ethan, 2,2-Disilanpropan, 1,3,5-Trisilan-2,4,6-trimethylen, 1,3-Dimethyldisiloxan, 1,3-Bis(silanmethylen)disiloxan, Bis(1-methyldisiloxan, 2,2-Bis(1-methyldisiloxanyl)-propan, 2,4,6,8-Tetramethylcyclotetrasiloxan, 2,4,6,8,10-Pentamethylcyclopentasiloxan, 1,3,5,7-Tetrasilan-2,6-dioxy-4,8-dimethylen, fluorierten Kohlenstoffderivaten davon und Gemischen davon.
  12. Verfahren nach Anspruch 10, bei dem das oxidierende Gas vor dem Mischen mit der einen Si-organischen Verbindung bzw. den mehreren Si-organischen Verbindungen dissoziiert wird.
  13. Verfahren nach Anspruch 10, bei dem die Spaltfüllschicht durch Umsetzung der einen oder der mehreren Si-organischen Verbindungen mit Wasserstoffperoxid abgeschieden wird.
  14. Verfahren nach Anspruch 10, das außerdem noch die Stufe der Abscheidung einer Abdeckschicht auf die Spaltfüllschicht aus Prozessgasen, die die eine oder die mehreren Si-organischen Verbindungen und das oxidierende Gas beinhalten, aufweist.
  15. Verfahren nach Anspruch 10, bei dem die konforme Zwischenschicht bei einer Substrattemperatur von –20 bis 400°C abgeschieden wird.
  16. Verfahren nach Anspruch 10, bei dem die konforme Zwischenschicht einen C-Gehalt von 5 bis 10 Atomgewicht-%, aufweist.
  17. Verfahren nach Anspruch 10, bei dem die eine Si-organische Verbindung bzw. die mehreren Si-organischen Verbindungen ausgewählt wird bzw. ausgewählt werden unter Methylsilan, Dimethylsilan und Trimethylsilan.
  18. Verfahren nach Anspruch 1, bei dem jedes Si-Atom an ein oder zwei C-Atome gebunden ist und zwei oder mehrere Si-Atome im selben Molekül durch höchstens zwei C-Atome voneinander getrennt sind.
  19. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung ausgewählt wird unter Methylsilan, Dimethylsilan, Trimethylsilan, Ethylsilan, Phenylsilan, Diphenylsilan und Methylphenylsilan.
  20. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung eine Arylgruppe aufweist.
  21. Verfahren nach Anspruch 10, bei dem die eine bzw. die mehreren Si-organischen Verbindungen umgesetzt wird bzw. umgesetzt werden bei einem HF-Leistungsniveau von 10 bis 250 W.
  22. Verfahren nach Anspruch 10, bei dem jedes Si-Atom an ein oder zwei C-Atome gebunden ist und bei dem die Si-Atome im selben Molekül durch höchstens zwei C-Atome bzw. ein O-Atom voneinander getrennt sind.
  23. Verfahren nach Anspruch 10, bei dem die eine bzw. mehreren Si-organischen Verbindungen ausgewählt wird bzw. ausgewählt werden unter Methylsilan, Dimethylsilan, Disilanmethan, Bis(methyl-silan)methan, 1,2-Disilanethan, 1,2-Bis(methyl-silan)ethan, 2,2-Disilanpropan, 1,3,5-Trisilan-2,4,6-trimethylen (cyclisch), 1,3-Dimethyldisiloxan, 1,3-Bis(silanmethylen)disiloxan, Bis(1-methyldisiloxanyl)methan, 2,2-Bis(1-methyldisiloxanyl)-propan, 2,4,6,8-Tetramethyl-cyclotetrasiloxan, 2,4,6,8,10-Pentamethylcyclopentasiloxan (cyclisch), 1,3,5,7-Tetrasilan-2,6-dioxy-4,8-dimethylen (cyclisch), fluorierten Kohlenstoffderivaten davon und Gemischen davon.
  24. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung im wesentlichen sowohl aus CH3-Si-Bindungen als auch aus Si-H-Bindungen besteht.
  25. Verfahren nach Anspruch 1, bei dem die Si-organische Verbindung aus Kohlenstoff, Silicium und Wasserstoff besteht.
DE1999629771 1998-02-11 1999-02-10 Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante Expired - Fee Related DE69929771T2 (de)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US09/021,788 US6054379A (en) 1998-02-11 1998-02-11 Method of depositing a low k dielectric with organo silane
US21788 1998-02-11
US114682 1998-07-13
US09/114,682 US6072227A (en) 1998-02-11 1998-07-13 Low power method of depositing a low k dielectric with organo silane
US162915 1998-09-29
US09/162,915 US6287990B1 (en) 1998-02-11 1998-09-29 CVD plasma assisted low dielectric constant films
US09/185,555 US6303523B2 (en) 1998-02-11 1998-11-04 Plasma processes for depositing low dielectric constant films
US185555 1998-11-04
PCT/US1999/002903 WO1999041423A2 (en) 1998-02-11 1999-02-10 Plasma processes for depositing low dielectric constant films

Publications (2)

Publication Number Publication Date
DE69929771D1 DE69929771D1 (de) 2006-04-20
DE69929771T2 true DE69929771T2 (de) 2006-10-12

Family

ID=27487039

Family Applications (1)

Application Number Title Priority Date Filing Date
DE1999629771 Expired - Fee Related DE69929771T2 (de) 1998-02-11 1999-02-10 Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante

Country Status (6)

Country Link
US (8) US6303523B2 (de)
EP (1) EP1055012B1 (de)
JP (1) JP4447772B2 (de)
DE (1) DE69929771T2 (de)
TW (1) TW408369B (de)
WO (1) WO1999041423A2 (de)

Families Citing this family (630)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900003245B1 (ko) * 1986-05-14 1990-05-12 삼성전자 주식회사 비디오 테이프 레코오더의 구동장치
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
DE19926501A1 (de) * 1999-06-10 2000-12-21 Siemens Ag Verfahren zur Herstellung eines Halbleiterspeicherbauelements
WO2001001472A1 (en) 1999-06-26 2001-01-04 Trikon Holdings Limited Method and apparatus for forming a film on a substrate
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077274A1 (de) * 1999-08-17 2001-02-21 Applied Materials, Inc. Vorrichtung zur Kühlung eines Deckels sowie Verfahren zum Auftragen eines Dielektrikums mit niedrigem k-Wert
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221177B1 (de) * 1999-10-15 2006-05-31 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (de) * 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
JP3348084B2 (ja) 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
GB2399453B (en) * 2000-01-19 2004-11-03 Trikon Holdings Ltd Methods and apparatus for forming a film on a substrate
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
EP1123991A3 (de) 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6812130B1 (en) * 2000-02-09 2004-11-02 Infineon Technologies Ag Self-aligned dual damascene etch using a polymer
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
EP1128421A3 (de) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Verfahren zur Herstellung einer Zwischenisolationsschicht mit Si, O, C und H für Halbleiterbauelemente
EP1183724A1 (de) * 2000-03-13 2002-03-06 Koninklijke Philips Electronics N.V. Verfahren zur herstellung einer halbleitervorrichtung
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
JP2003533025A (ja) * 2000-04-28 2003-11-05 東京エレクトロン株式会社 低誘電率膜を有する半導体装置およびその製造方法
EP1149933A1 (de) * 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Prozess zur Abscheidung von dielectrischen Filmen mit niedriger Dielektrizitätskonstante
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP3532830B2 (ja) * 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6372661B1 (en) * 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
US6500752B2 (en) * 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
KR100486333B1 (ko) * 2000-07-21 2005-04-29 가부시끼가이샤 한도따이 프로세스 켄큐쇼 반도체 장치 및 그 제조 방법
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6410968B1 (en) * 2000-08-31 2002-06-25 Micron Technology, Inc. Semiconductor device with barrier layer
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6548403B1 (en) * 2000-10-05 2003-04-15 Advanced Micro Devices, Inc. Silicon oxide liner for reduced nickel silicide bridging
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
TW468241B (en) * 2000-11-14 2001-12-11 United Microelectronics Corp Method to improve adhesion of dielectric material of semiconductor
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6441490B1 (en) * 2000-12-18 2002-08-27 Advanced Micro Devices, Inc. Low dielectric constant stop layer for integrated circuit interconnects
US20020173079A1 (en) * 2000-12-28 2002-11-21 Erdem Kaltalioglu Dual damascene integration scheme using a bilayer interlevel dielectric
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
JP4242648B2 (ja) * 2001-01-03 2009-03-25 ダウ・コーニング・コーポレイション 金属イオン拡散バリア層
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
JP3418383B2 (ja) * 2001-05-31 2003-06-23 沖電気工業株式会社 半導体装置の製造方法
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6511920B2 (en) * 2001-06-14 2003-01-28 Applied Materials, Inc. Optical marker layer for etch endpoint determination
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP4160277B2 (ja) * 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
GB0118417D0 (en) * 2001-07-28 2001-09-19 Trikon Holdings Ltd A method of depositing a dielectric film
US6521300B1 (en) * 2001-08-16 2003-02-18 United Microelectronics Corp. Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
KR20060097768A (ko) * 2001-08-30 2006-09-15 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6680262B2 (en) * 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US20040002210A1 (en) * 2002-06-28 2004-01-01 Goldberg Cindy K. Interconnect structure and method for forming
US6525428B1 (en) * 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040033703A1 (en) * 2002-08-19 2004-02-19 Shyh-Dar Lee Method for forming amino-free low k material
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6846899B2 (en) * 2002-10-01 2005-01-25 Chartered Semiconductor Manufacturing Ltd. Poly(arylene ether) dielectrics
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
CN100352036C (zh) 2002-10-17 2007-11-28 株式会社瑞萨科技 半导体器件及其制造方法
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2004038783A2 (en) * 2002-10-21 2004-05-06 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
JP3845061B2 (ja) * 2002-10-24 2006-11-15 株式会社半導体プロセス研究所 半導体装置及びその製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US6812135B2 (en) * 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP4454242B2 (ja) 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US6911403B2 (en) * 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
KR100521436B1 (ko) * 2003-11-26 2005-10-13 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
KR100634288B1 (ko) * 2003-12-01 2006-10-16 야스히로 모리 고체물질의 표면 개질방법 및 표면 개질된 고체물질
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7199047B2 (en) * 2004-05-28 2007-04-03 Texas Instruments Incorporated Bi-layer etch stop process for defect reduction and via stress migration improvement
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
US7259381B2 (en) 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7439111B2 (en) * 2004-09-29 2008-10-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20060115980A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for decreasing a dielectric constant of a low-k film
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP2006173349A (ja) * 2004-12-15 2006-06-29 Sony Corp 固体撮像素子の製造方法及び固体撮像素子
US7501354B2 (en) 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
JP4489618B2 (ja) 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
DE102005038698A1 (de) * 2005-07-08 2007-01-18 Tridonic Optoelectronics Gmbh Optoelektronische Bauelemente mit Haftvermittler
US20070026653A1 (en) * 2005-07-26 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer on doped dielectric
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
JP4674544B2 (ja) * 2005-12-27 2011-04-20 セイコーエプソン株式会社 電気光学装置の製造方法
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW201415551A (zh) * 2006-03-31 2014-04-16 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
WO2008020592A1 (fr) 2006-08-15 2008-02-21 Jsr Corporation Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US20100178017A1 (en) * 2006-10-06 2010-07-15 Boris Kharas Method for Improving Refractive Index Control in PECVD Deposited a-SiNy Films
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US8273523B2 (en) * 2006-12-28 2012-09-25 Texas Instruments Incorporated By-die-exposure for patterning of holes in edge die
US7767589B2 (en) 2007-02-07 2010-08-03 Raytheon Company Passivation layer for a circuit device and method of manufacture
JP5170445B2 (ja) 2007-02-14 2013-03-27 Jsr株式会社 ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7878054B2 (en) * 2007-02-28 2011-02-01 The Boeing Company Barrier coatings for polymeric substrates
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP4978847B2 (ja) * 2007-06-01 2012-07-18 Nltテクノロジー株式会社 シリコン酸化膜及びその製造方法並びにそれを用いたゲート絶縁膜を有する半導体装置
WO2009008424A1 (ja) * 2007-07-10 2009-01-15 Jsr Corporation ケイ素化合物の製造方法
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100962044B1 (ko) 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
WO2009121170A1 (en) * 2008-03-31 2009-10-08 Et-Energy Corp. Chemical process for generating energy
US8283260B2 (en) 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5768471B2 (ja) * 2010-05-19 2015-08-26 株式会社村田製作所 セラミック電子部品の製造方法
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8840970B2 (en) 2011-01-16 2014-09-23 Sigma Laboratories Of Arizona, Llc Self-assembled functional layers in multilayer structures
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8883638B2 (en) * 2012-01-18 2014-11-11 United Microelectronics Corp. Method for manufacturing damascene structure involving dummy via holes
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9165822B2 (en) * 2013-03-11 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI644597B (zh) * 2014-03-28 2018-12-11 Spp科技股份有限公司 高頻電力系統及具備該系統之電漿處理裝置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6240627B2 (ja) * 2015-02-27 2017-11-29 株式会社ソニー・インタラクティブエンタテインメント 情報処理装置および画面生成方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102609357B1 (ko) * 2018-01-15 2023-12-06 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108975003A (zh) * 2018-06-15 2018-12-11 江苏英杰铝业有限公司 一种铝型材输送装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20220019693A (ko) 2019-05-10 2022-02-17 베르켄호프 게엠베하 스파크 침식 절삭용 와이어 전극 및 상기 와이어 전극의 제조 방법
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114424324A (zh) * 2019-08-16 2022-04-29 弗萨姆材料美国有限责任公司 硅化合物和使用其沉积膜的方法
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11444024B2 (en) * 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4168330A (en) 1977-10-13 1979-09-18 Rca Corporation Method of depositing a silicon oxide layer
CA1134214A (en) 1978-03-08 1982-10-26 Roy G. Gordon Deposition method
FR2522667A1 (fr) * 1982-03-04 1983-09-09 Rhone Poulenc Spec Chim Procede de preparation de polyisocyanates polyisocyanurates par cyclotrimerisation catalytique de polyisocyanates
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59222659A (ja) 1983-05-31 1984-12-14 Fuji Heavy Ind Ltd 無段変速機の油圧制御装置
US4557946A (en) * 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
EP0154483B1 (de) 1984-03-03 1989-12-27 Stc Plc Pulsierendes Plasmaverfahren
US4649071A (en) * 1984-04-28 1987-03-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and process for producing the same
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
FR2591587A1 (fr) 1985-12-17 1987-06-19 Saint Gobain Vitrage Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces.
US5000178A (en) * 1986-05-23 1991-03-19 Lti Biomedical, Inc. Shielded electromagnetic transducer
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
EP0283311B1 (de) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Verfahren zur Herstellung von Dünnschichten
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
FR2614317B1 (fr) 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
FR2651782B1 (fr) 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH053258A (ja) 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5284730A (en) 1990-10-24 1994-02-08 Canon Kabushiki Kaisha Electrophotographic light-receiving member
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5246887A (en) 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5208069A (en) 1991-10-28 1993-05-04 Istituto Guido Donegani S.P.A. Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby
US5182000A (en) 1991-11-12 1993-01-26 E. I. Du Pont De Nemours And Company Method of coating metal using low temperature plasma and electrodeposition
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
AU4506993A (en) 1992-07-04 1994-01-31 Christopher David Dobson A method of treating a semiconductor wafer
US5825078A (en) 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
DE69311667T2 (de) * 1992-10-23 1997-11-20 Mitsubishi Chem Corp Herstellungsverfahren für aromatische Polycarbonate
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
DE69422079T2 (de) * 1993-04-05 2000-05-25 Canon Kk Herstellungsverfahren für optischen Aufzeichnungsträger
US6007878A (en) * 1993-05-27 1999-12-28 Canon Kabushiki Kaisha Process for producing an optical recording medium having a protective layer formed using a plasma processing device
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
DE4404690A1 (de) 1994-02-15 1995-08-17 Leybold Ag Verfahren zur Erzeugung von Sperrschichten für Gase und Dämpfe auf Kunststoff-Substraten
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5559367A (en) 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
EP0720223B1 (de) * 1994-12-30 2003-03-26 STMicroelectronics S.r.l. Herstellungsverfahren für Halbleiteranordnung mit besserer Haftung zwischen dielektrischen Lagen
TW285753B (de) 1995-01-04 1996-09-11 Air Prod & Chem
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
US6037274A (en) 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JP3388651B2 (ja) 1995-04-07 2003-03-24 株式会社アルバック 絶縁膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
WO1996036297A1 (fr) 1995-05-19 1996-11-21 Kanji Inoue Instrument de transplantation, procede pour le courber et procede pour le transplanter
US5530581A (en) 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
JPH09251997A (ja) 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
US6303391B1 (en) * 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
EP0885983A1 (de) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Verfahren zur Beschichtung eines Substrates mit einer diamantartigen Nanocomposit-Zusammensetzung
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US5875367A (en) 1997-08-12 1999-02-23 Eastman Kodak Company Camera with pivotable film deflector having integral torsion spring
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JPH11251293A (ja) 1998-03-03 1999-09-17 Hitachi Ltd 半導体集積回路装置の製造方法
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3248492B2 (ja) 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
DE19904375C2 (de) 1999-02-03 2001-01-04 Siemens Ag Verfahren zur Funktionsüberprüfung von Speicherzellen eines integrierten Halbleiterspeichers
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
KR100292409B1 (ko) 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
EP1094506A3 (de) * 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
US6417098B1 (en) 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6761975B1 (en) 1999-12-23 2004-07-13 Honeywell International Inc. Polycarbosilane adhesion promoters for low dielectric constant polymeric materials
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
EP1123991A3 (de) 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6437433B1 (en) * 2000-03-24 2002-08-20 Andrew C. Ross CSP stacking technology using rigid/flex construction
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
KR20010106905A (ko) 2000-05-24 2001-12-07 황 철 주 저유전율 SiOC 박막의 형성방법
US7122900B2 (en) * 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6472231B1 (en) 2001-01-29 2002-10-29 Advanced Micro Devices, Inc. Dielectric layer with treated top surface forming an etch stop layer and method of making the same
US6593248B2 (en) 2001-03-23 2003-07-15 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US20020173157A1 (en) 2001-03-29 2002-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics
TW582086B (en) 2001-04-02 2004-04-01 United Microelectronics Corp Surface densification method of low dielectric constant film
US6803314B2 (en) 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
US20020164868A1 (en) 2001-05-02 2002-11-07 Ting-Chang Chang Method for forming a silicon dioxide-low k dielectric stack
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
US20020177303A1 (en) 2001-05-23 2002-11-28 Qing-Tang Jiang Method for sealing via sidewalls in porous low-k dielectric layers
US20030006477A1 (en) 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
US6482754B1 (en) 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
KR100432704B1 (ko) 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants

Also Published As

Publication number Publication date
US6734115B2 (en) 2004-05-11
JP2002503879A (ja) 2002-02-05
WO1999041423A2 (en) 1999-08-19
TW408369B (en) 2000-10-11
US6596655B1 (en) 2003-07-22
US7560377B2 (en) 2009-07-14
US6562690B1 (en) 2003-05-13
US6303523B2 (en) 2001-10-16
US20050191846A1 (en) 2005-09-01
JP4447772B2 (ja) 2010-04-07
DE69929771D1 (de) 2006-04-20
US20010004479A1 (en) 2001-06-21
US20010005546A1 (en) 2001-06-28
US20040082199A1 (en) 2004-04-29
WO1999041423A3 (en) 1999-10-28
US6348725B2 (en) 2002-02-19
US6869896B2 (en) 2005-03-22
US20030064610A1 (en) 2003-04-03
EP1055012B1 (de) 2006-02-08
EP1055012A2 (de) 2000-11-29
US6541282B1 (en) 2003-04-01

Similar Documents

Publication Publication Date Title
DE69929771T2 (de) Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
US6245690B1 (en) Method of improving moisture resistance of low dielectric constant films
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US6287990B1 (en) CVD plasma assisted low dielectric constant films
US7094710B2 (en) Very low dielectric constant plasma-enhanced CVD films
US6800571B2 (en) CVD plasma assisted low dielectric constant films
EP1131846A1 (de) Nanoporöse cvd-silika-filme mit niedriger dielektrizitätskonstante
EP1607493B1 (de) Plasmaabscheidungsprozesse bei dielektrischen Filmen mit geringer Dielektrizitätskonstante

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee