DE69835105T2 - System zur Temperaturreglung eines Wafers - Google Patents

System zur Temperaturreglung eines Wafers Download PDF

Info

Publication number
DE69835105T2
DE69835105T2 DE69835105T DE69835105T DE69835105T2 DE 69835105 T2 DE69835105 T2 DE 69835105T2 DE 69835105 T DE69835105 T DE 69835105T DE 69835105 T DE69835105 T DE 69835105T DE 69835105 T2 DE69835105 T2 DE 69835105T2
Authority
DE
Germany
Prior art keywords
wafer
susceptor
heat
gas
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69835105T
Other languages
English (en)
Other versions
DE69835105D1 (de
Inventor
Derrick W. Scottsdale Foster
Robert M. Tempe Vyne
John F. Phoenix Wengert
Cornelius A. Tempe Van der Jeugd
Loren R. Mesa Jacobs
Frank B.M. No. 1098 Phoenix Van Bilsen
Matthew No. 2032 Tempe Goodmann
Glenn Phoenix Hartmann
Jason M. Chandler Layton
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Application granted granted Critical
Publication of DE69835105D1 publication Critical patent/DE69835105D1/de
Publication of DE69835105T2 publication Critical patent/DE69835105T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft Halterungen für Wafer in Halbleiterbehandlungskammern und insbesondere ein System für die Unterstützung eines Wafers über einem Suszeptor in einer Gasphasenabscheidungskammer (CVD-Kammer).
  • Hintergrund der Erfindung
  • Hochtemperaturöfen oder Reaktoren werden verwendet, um Halbleiterwafer zu behandeln, aus denen integrierte Schaltungen für die elektronische Industrie hergestellt werden. Ein kreisförmiger Wafer oder ein Substrat, welches in typischer Weise aus Silizium hergestellt ist, wird auf einer Suszeptor genannten Waferauflage angeordnet. Sowohl der Wafer als auch der Suszeptor sind in einer Quarzkammer eingeschlossen und werden auf hohe Temperaturen erwärmt, wie zum Beispiel 600°C (1112°F) oder höher, häufig durch eine Vielzahl von Strahlungslampen, die um die Quarzkammer herum angeordnet sind. Ein Reaktantgas gelangt über den erwärmten Wafer und veranlaßt die Gasphasenabscheidung (CVD) einer dünnen Schicht Reaktantmaterials auf dem Wafer. Durch nachfolgende Prozesse in anderen Gerätschaften werden diese Schichten zu integrierten Schaltungen gemacht, wobei eine einzige Schicht von zehn bis Tausenden integrierter Schaltungen produziert, je nach der Größe des Wafers und der Komplexizität der Schaltungen.
  • Wenn die abgeschiedene Schicht dieselbe kristallographische Struktur hat wie der darunterliegende Siliziumwafer, wird sie eine epitaxische Schicht genannt. Diese wird auch manchmal eine monokristalline Schicht genannt, denn sie hat nur eine Kristallstruktur.
  • Verschiedene CVD-Prozeßparameter müssen sorgfältig gesteuert werden, um die hohe Qualität des sich ergebenden Halbleiters sicherzustellen. Einer solcher kritischen Parameter ist die Temperatur des Wafers während der Behandlung. Das Abscheidungsgas reagiert bei besonderen Temperaturen und scheidet sich auf dem Wafer ab. Wenn sich die Temperatur über der Oberfläche des Wafers stark verändert, passiert eine ungleichmäßige Verteilung des Reaktantgases.
  • In einigen Chargenprozessoren (d.h. CVD-Reaktoren, die mehr als jeweils einen Wafer behandeln) werden Wafer auf einem Suszeptor mit relativ großer Masse angeordnet, der aus Graphit oder einem anderen wärmeabsorbierenden Material hergestellt ist, um dazu beizutragen, die Temperatur der Wafer gleichmäßig zu halten. In diesem Zusammenhang ist ein Suszeptor mit „großer Masse" einer, der eine relativ zu dem Wafer große thermische Masse hat. Masse ist gleich Dichte mal Volumen. Die thermische Masse ist gleich der Masse mal spezifischer Wärmekapazität.
  • Ein Beispiel eines Suszeptors mit einer großen Masse in ist der US-Patentschrift Nr. 4,496,609 von McNeilly gezeigt, in der ein CVD-Prozeß beschrieben ist, bei welchem die Wafer direkt auf einem plattenartigen Suszeptor mit relativ großer Masse angeordnet sind und in innigem Kontakt gehalten sind, um einen Wärmeübergang zwischen diesen zu gestatten. Der Graphit- Suszeptor wirkt vermutlich wie ein thermisches „Schwungrad", welches Wärme zu dem Wafer überführt, um seine Temperatur gleichmäßig und relativ konstant zu halten. Ziel ist es, die Übergangstemperaturveränderungen um den Wafer herum zu reduzieren, die ohne den „Schwungrad"-Effekt des Suszeptors auftreten würden.
  • In den letzten Jahren ist die Behandlung von Einzelwafern mit großem Durchmesser aus einer Vielzahl von Gründen gewachsen, einschließlich ihrer großen Präzision gegenüber der Chargenbehandlung von Wafern gleichzeitig. Obwohl die Behandlung mit Einzelwafer an sich gegenüber der Chargenbehandlung Vorteile zeigt, bleibt doch die Steuerung der Prozeßparameter und des Durchsatzes kritisch. Bei Systemen, bei denen der Wafer in innigem Kontakt mit einem plattenartigen Suszeptor mit großer Masse gehalten wird, hat die Notwendigkeit, eine gleichmäßige Suszeptortemperatur während des Aufwärmens und während der Abkühlzyklen zu halten, die Rate begrenzt, bei welcher die Temperatur geändert werden konnte. Um zum Beispiel die Temperatur über dem Suszeptor gleichmäßig zu halten, mußte der Leistungseingang zu den Suszeptorrändern merklich größer sein als der Leistungseingang in die Mitte, und zwar wegen der Randeffekte.
  • US-Patent Nr. 5,809,211 von Anderson et al. beschreibt ein Verfahren und eine Vorrichtung für den gleichförmigen Anstieg der Temperaturen eines Wafers und eines Suszeptors mit Unterstützung des Suszeptors unter Verwendung einer ersten Wärmequelle, die primär auf den Wafer gerichtet ist, und einer zweiten Wärmequelle, die primär auf den Suszeptor gerichtet ist, während man den Wafer auf nahezu derselben Temperatur hielt wie den Suszeptor, aber nur die Temperatur des Suszeptors gemessen hat.
  • Ein anderes signifikantes Problem, welches sich bei dem Versuch gezeigt hat, qualitativ hochwertige CVD-Filme zu erhalten, ist die Feststoffkontamination. Eine lästige Feststoffquelle in der CVD von Metallen und anderen Leitern ist der Film, welcher sich auf der Rückseite des Wafers unter gewissen Bedingungen bildet. Wenn zum Beispiel die Waferrückseite während der Abscheidung ungeschützt oder ungenügend geschützt ist, bildet sich eine Teilbeschichtung des CVD-Materials auf dieser. Diese Teilbeschichtung neigt dazu, daß sich einige Materialarten abschälen oder leicht abplatzen, wobei während des Abscheidens und während nachfolgender Behandlungsschritte Feststoffe in die Kammer eingeführt werden. Ein Beispiel zum Schützen der Rückseite eines Wafers während der Bearbeitung ist in der US-Patentschrift 5,238,499 von de Van et al. gegeben. Nach dieser Patentschrift wird ein Inertgas durch eine kreisförmige Nut in dem Umfangsbereich einer Auflageplatte eingeführt. In der US-Patentschrift Nr. 5,356,478 von Foster et al. wird eine Vorrichtung zur Behandlung eines Halbleiterwafers gezeigt, einschließlich einer Vielzahl von Leitungen für das Einführen von Helium oder Wasserstoff um den Umfang eines Wafers herum, um das Strömen von Reaktantgasen nach unten in den Spalt zwischen dem Umfang des Wafers und einem Waferstützrand zu verhindern. Die vorgenannten Vorrichtungen teilen jedoch das Merkmal ziemlich großer Waferauflageplatten, gekennzeichnet durch die oben erwähnte nachteilige hohe thermische Masse.
  • Derzeit besteht ein Bedürfnis nach einem verbesserten Waferauflagesystem, während die Temperaturgleichmäßigkeit über die Waferoberfläche sichergestellt wird.
  • Zusammenfassung der Erfindung
  • Ein erster Aspekt der Erfindung richtet sich auf ein Verfahren zum Aufrechterhalten einer gleichmäßigen Temperatur an einem Halbleiterwafer während einer Hochtemperaturbearbeitung des Wafers mit: Positionieren des Wafers auf einem oder mehreren Abstandshaltern, die sich von einem Suszeptor nach oben erstrecken, so daß der Wafer von dem Suszeptor im wesentlichen thermisch entkoppelt ist; Erwärmen des Wafers und Suszeptors mit einer oberen Wärmequelle, die über dem Wafer im Abstand angeordnet ist, und einer unteren Wärmequelle, die unter dem Suszeptor im Abstand angeordnet ist; Aufrechterhalten eines relativ konstanten Anteiles an Wärme, die von der oberen und unteren Wärmequelle zur Verfügung gestellt wird, wenn der Wafer und der Suszeptor sich beide auf einer gewünschten Temperatur befinden; und bei schneller Veränderung der Temperatur des Wafers und des Suszeptors Verändern des Anteils, um den Wafer und den Suszeptor auf im wesentlichen derselben Temperatur zu halten, wenn sich ihre Temperaturen ändern.
  • Ein zweiter Aspekt der Erfindung richtet sich auf eine Vorrichtung zum Bearbeiten eines Substrats mit: einem Suszeptor, der einen oder mehrere Abstandshalter hat, die sich zum Abstützen eines Substrats nach oben erstrecken; einer oberen Wärmequelle, die über dem Suszeptor im Abstand angeordnet ist; einer unteren Wärmequelle, die unter dem Suszeptor im Abstand angeordnet ist; und einem Steuergerät, welches Energie zu den Wärmequellen hin bei einem ausgewählten Anteil zwischen den Quellen vorsieht, wobei das Steuergerät eine erste Untereinrichtung einschließt, die konfiguriert ist, um den Anteil während eines Hochtemperaturbearbeitungszyklus eines Substrats auf einem im wesentlichen konstanten Wert zu halten, um dadurch den Anteil an Wärme, die während des Zyklus von den Wärmequellen auf einem im wesentlichen konstanten Wert vorgesehen wird, aufrechtzuerhalten, und wobei das Steuergerät ein zweites Untergerät einschließt, welches konfiguriert ist, um während des Bearbeitungszyklus den Anteil zu verändern und dadurch den Anteil an Wärme zu verändern, der während des Zyklus von den Wärmequellen vorgesehen wird.
  • Somit ist das System mit der Fähigkeit versehen, den Wärmeanteil zu modifizieren, der während der Bearbeitung eines Wafers von unteren und oberen Wärmeausnehmungen vorgesehen wird, um ein schnelles gleichmäßiges Erwärmen zu fördern.
  • Weil der Wafer nicht mehr mit dem Suszeptor in Kontakt ist, kann die Wafertemperatur selbst dann gleichmäßig gehalten werden, wenn der Suszeptor während des Aufheizens und Abkühlens ungleichmäßige Temperaturen erfährt. Auf diese Weise können die Aufheiz- und Abkühlzeiten möglicherweise reduziert werden. Erwünschtenfalls wird der Bearbeitungsdurchsatz dadurch erhöht.
  • Kurze Beschreibung der Zeichnungen
  • 1 ist eine Querschnittsansicht entlang der längeren von zwei horizontalen Achsen durch eine Reaktorkammer, die ein verbessertes Waferunterstützungssystem der vorliegenden Erfindung aufnimmt;
  • 2 ist eine Querschnittsansicht durch eine Ausführungsform eines Waferunterstützungssystems der vorliegenden Erfindung;
  • 2a ist eine Einzelansicht einer Ausführungsform eines Waferabstandshalters in der Form eines Stiftes,
  • 2b ist eine ausführliche Ansicht eines alternativen Waferabstandshalters in der Form einer Kugel;
  • 2c ist eine Ansicht eines alternativen Aufbaues für einen Waferabstandshalter;
  • 3 ist eine auseinandergezogene Ansicht des in 2 veranschaulichten Waferauflagesystems;
  • 4 ist eine Draufsicht eines oberen Abschnittes eines in Segmente geteilten Suszeptors des Waferauflagesystems entlang der Linie 4-4 der 3;
  • 5 ist eine Draufsicht eines unteren Abschnittes des in Segmente geteilten Suszeptors entlang der Linie 5-5 der 3;
  • 6 ist eine Draufsicht auf eine Suszeptorauflage zur Verwendung bei dem Waferauflagesystem der vorliegenden Erfindung, und zwar entlang der Linie 6-6 der 3;
  • 7 ist eine Querschnittsansicht eines anderen Waferunterstützungssystems gemäß der vorliegenden Erfindung;
  • 8 ist eine Draufsicht eines in Segmente geteilten Suszeptors für die Verwendung bei dem Waferauflagesystem der 7, entlang der Linie 8-8 genommen;
  • 9 ist eine Draufsicht eines alternativen oberen Abschnittes eines in Segmente geteilten Suszeptors, dessen Gasauslässe um konzentrische Kreise verteilt sind;
  • 10 ist eine Draufsicht eines alternativen unteren Abschnittes eines in Segmente geteilten Suszeptors, der Mehrfachnuten für die Gaszuführung hat, die in konzentrischen Kreisen angeordnet sind;
  • 11 ist eine Draufsicht auf ein bevorzugtes Waferablagesystem der vorliegenden Erfindung;
  • 12 ist eine Draufsicht einer ersten Version eines oberen Abschnittes des in Segmente geteilten Suszeptors für die Verwendung bei dem Waferablagesystem der 11;
  • 13 ist eine Draufsicht eines Bodenabschnittes des in Segmente geteilten Suszeptors des Waferablagesystems der 11;
  • 14 ist eine Querschnittsansicht eines gefangenen Waferabstandshalters und Spülkanals mit dem in Segmente geteilten Suszeptor, längs der Linie 14-14 der 11 genommen;
  • 15 ist eine Draufsicht auf eine zweite Version des oberen Abschnittes des in Segmente geteilten Suszeptors für die Verwendung bei dem Waferablagesystem der 11;
  • 16 ist eine Draufsicht auf eine dritte Version des oberen Abschnittes des in Segmente geteilten Suszeptors für die Verwendung bei dem Waferablagesystem der 11;
  • 17 ist eine Draufsicht auf eine vierte Version des oberen Abschnittes des in Segmente geteilten Suszeptors für die Verwendung bei dem Waferablagesystem der 11;
  • 18 ist eine Querschnittsansicht durch eine andere Variante einer Reaktorkammer, welche das Waferablagesystem der Erfindung aufnimmt;
  • 19 ist eine Draufsicht der Kammer der 18; und
  • 20 ist eine graphische Darstellung von Veränderungen des Lampenleistungsverhältnisses während eines Abscheidungszyklus.
  • 21 ist eine Draufsicht auf ein oberes Segment einer anderen Variante des in Segmente geteilten Suszeptors.
  • 21B ist eine Draufsicht auf das untere Segment eines Suszeptors, der zu dem in 21A gezeigten oberen Segment paßt, von dem ein Abschnitt gezeigt ist.
  • 21C ist eine Querschnittsansicht der zusammengebauten Segmente von 21A und B unter Unterstützung eines Wafers.
  • 21D ist eine vergrößerte Querschnittsansicht eines Randes der Anordnung der 21C unter deutlicherer Darstellung des Ortes eines Stützstiftes in Bezug auf einen Wafer, der in seinem Umfang eine Kerbe hat.
  • 21E ist eine ähnliche Ansicht der der 21D, aber unter Veranschaulichung eines Wafers mit einer Randausrichtungsebene.
  • 22A veranschaulicht eine Draufsicht des unteren Segmentes eines anderen in Segmente geteilten Suszeptormusters, wobei auf diesem ein Abschnitt eines oberen Segmentes angeordnet ist, um das Verhältnis zwischen den zweien zu veranschaulichen.
  • 22B ist eine vergrößerte Querschnittsansicht eines Abschnittes des oberen und unteren Segmentes der 22A im Zusammenbau und unter Auflage eines Wafers.
  • 23 ist eine Draufsicht auf ein oberes Segment eines anderen Suszeptors, wobei ein Waferstützring auf dem oberen Segment angebracht ist und ein Abschnitt eines unteren Segmentes gezeigt ist.
  • 23B ist eine vergrößerte Querschnittsansicht unter Veranschaulichung der Lage zwischen dem Waferstützring der 23A und einem Wafer.
  • 23C ist eine vergrößerte, abgebrochene Ansicht unter Darstellung des Querschnittes der Durchgänge für Durchlaufgas in dem Stützring bei den 23A und 23B.
  • 24 ist eine Draufsicht einer anderen Ausführungsform eines Abstandshalters oder Blockerringes.
  • 25 ist eine Ansicht entlang der Linie 25-25 der 24.
  • 25A ist eine Ansicht auf die Linie 25A-25A der 25, wobei eine abgebrochene, gestrichelte Linie einen Suszeptor und einen Wafer zeigt.
  • 25B ist eine Ansicht auf die Linie 25B-25B der 25.
  • 25C ist eine Ansicht auf die Linie 25C-25C der 25.
  • 26 ist eine Draufsicht auf eine andere Ausführungsform eines Blockerrings.
  • 27 ist eine Ansicht auf die Linie 27-27 der 26.
  • 27A ist eine Ansicht auf die Linie 27A-27A der 27, wobei eine abgebrochene, gestrichtelte Linie einen Suszeptor und einen Wafer zeigt.
  • 27B ist eine Ansicht auf die Linie 27B-27B der 27.
  • 27C ist eine Querschnittsansicht einer Variante des Ringes der 27B.
  • 27D ist eine vergrößerte Ansicht eines Bereiches, der durch den in 27B gezeigten Kreis 27D identifiziert ist.
  • 28 ist eine Querschnittsansicht einer alternativen Konfiguration eines Blockerringes.
  • Ausführliche Beschreibung der bevorzugten Ausführungsbeispiele
  • 1 veranschaulicht eine Reaktorkammer 20 für die Behandlung von Halbleiterwafern, innerhalb der ein Waferauflagesystem 22 der vorliegenden Erfindung aufgenommen ist. Vor der Diskussion der Einzelheiten des Waferauflagesystems 22 werden die Elemente der Reaktionskammer 20 beschrieben. Das Auflagesystem ist für viele Arten von Waferbehandlungssystemen geeignet, wobei ein anderes in den 18 und 19 gezeigt ist, und die Diskussion hier sollte nicht auf eine besondere Art von Reaktionskammer beschränkt sein.
  • Die Kammer 20 weist ein durch eine obere Wand 24, eine untere Wand 26, einen aufstromigen Flansch 28 und einen abstromigen Flansch 30 gebildetes Quarzrohr auf. Obwohl in der Figur nicht gezeigt, haben die Wände eine konkave innere Oberfläche und eine konvexe äußere Oberfläche, die, wenn man sie von einem Querschnitt betrachtet, eine Linsenform hat; und seitliche Ränder der Reaktionskammer 20 weisen relativ dicke Seitenschienen auf, zwischen denen eine Kammerstützplatte 32 angebracht ist. 1 ist ein Längsquerschnitt längs einer zentralen vertikalen Ebene der Kammer 20 unter Veranschaulichung des Vertikalmaßes der Linsenform; die Seitenschienen sind somit nicht zu sehen. Die Kammer 20 ist vorzugsweise aus Quarz hergestellt. Die Kammerstützplatte 32 verstärkt die Kammer 20 während der Vakuumbehandlung und erstreckt sich zwischen den Seitenschienen (nicht gezeigt), vorzugsweise längs der Mittellinie der Kammer 20. Die Stützplatte 32 weist eine Öffnung 33 auf, die eine Lücke oder Öffnung 35 bildet, welche sich über das Quermaß der Kammer 20 zwischen den Seitenschienen erstreckt. Die Öffnung 33 teilt die Stützplatte 32 in einen aufstromigen Abschnitt, der sich von dem aufstromigen Flansch 21 zu einem aufstromigen Rand der Öffnung erstreckt, und einen unteren Abschnitt, der sich von einem Abstromabschnitt der Öffnung zu dem Abstromflansch 30 erstreckt. Der Aufstromabschnitt der Stützplatte 32 ist vorzugsweise in Längsrichtung kürzer als der Abstromabschnitt.
  • Ein längliches Rohr 34 hängt von einem zentral angeordneten Bereich der unteren Wand 26 ab. Eine Antriebswelle 36 erstreckt sich durch das Rohr 34 und in einen unteren Abschnitt 38 der Kammer 20. Der untere Bereich 38 wird zwischen der mittigen Kammerstützplatte 32 und der unteren Wand 26 gebildet. Das obere Ende der Antriebswelle 36 ist verjüngt, um in eine Ausnehmung einer mehrarmigen Stütz- oder Spinnenanordnung 40 für das Drehen eines in Segmente geteilten Suszeptors 42 zu passen. Der Suszeptor 42 unterstützt einen Wafer 44, der gestrichelt gezeigt ist. Ein (nicht gezeigter) Motor treibt die Welle 36 an, um ihrerseits das Waferauflagesystem 22 und den Wafer 44 auf diesem in der Öffnung 33 zu drehen. Ein Gasinjektor 46 führt Prozeßgas in einen oberen Bereich 50 der Kammer 20 ein, wie durch den Pfeil 48 gezeigt ist. Der obere Bereich 50 wird zwischen der oberen Wand 24 und der Kammerstützplatte 32 gebildet. Das Prozeßgas gelangt über die obere Fläche des Wafers 44, um Chemikalien auf diesem abzuscheiden. Das System weist in typischer Weise eine Vielzahl von Strahlungswärmelampen auf, die um die Außenseite der Reakti onskammer 20 für das Erwärmen des Wafers 44 und Katalysieren der chemischen Abscheidung auf diesem angeordnet sind. Eine obere Reihe länglicher Wärmelampen 51 ist außerhalb der oberen Wand 24 gezeigt, und in typischer Weise wird auch eine untere Reihe von quer zu der oberen Reihe angeordneten Lampen verwendet. Weiterhin wird oft eine konzentrierte Reihe von Lampen, die von unterhalb des Suszeptors 42 nach oben gerichtet sind, verwendet.
  • Eine Quelle für Durchlaufgas 37 ist schematisch über einen Massenstromregler 39 mit der Antriebswelle 36 verbunden gezeigt. Gas strömt in den Raum in der Hohlwelle 36 und wird eventuell nach oben durch den Suszeptor 42 geführt, wie vollständiger unten beschrieben wird. Die Fluidkupplung, welche Gas zu dem Inneren der hohlen, drehenden Welle 36 zuläßt, ist nicht gezeigt, kann aber durch eine Anzahl von Mitteln erreicht werden, deren eines in der US-Patentschrift 4,821,674 gezeigt und beschrieben ist, die am 18. April 1989 ausgegeben wurde und hier ausdrücklich unter Bezugnahme aufgenommen wird.
  • Ein Wafer wird durch eine Wafereingangsöffnung 47 zu der Reaktionskamme 20 eingeführt. Der Wafer wird in typischer Weise von einem (nicht gezeigten) Roboteraufnahmearm transportiert, welcher über die Öffnung oder Mündung 47 eintritt und sich über das Waferauflagesystem 22 erstreckt, um den Wafer auf diesem abzulegen. Das CVD-System dichtet dann die Reaktionskammer 20 ab und führt Abscheidungsgas mit einem Trägergas ein, wie zum Beispiel Wasserstoff, um eine Schicht aus Silizium oder einem anderen Material auf dem Wafer abzuscheiden. Nach der Behandlung öffnet ein Schieberventil, und der Roboteraufnahmearm tritt durch die Mündung 47 ein und zieht den Wafer von dem Suszeptor 42 zurück. Periodisch muß die Reaktionskammer 20 für eine nachfolgende Behandlung konditioniert werden. Eine typische Abfolge ist das Einführen eines Ätzgases in die Reaktionskammer, wobei das Schieberventil geschlossen ist, um eine spezielle Abscheidung von den inneren Wänden zu reinigen. Nach dem Ätzen wird manchmal ein Silizium-Präcursor in die Kammer eingeführt, um eine dünne Siliziumbeschichtung auf dem Suszeptor 42 vorzusehen. Ein solcher Beschichtungsschritt wird manchmal Kappung bzw. Verkapseln (capping) genannt. Nach dem Ätzen und Verkapseln wird die Kammer mit Wasserstoff gespült und für das Einführen des nächsten Wafers erwärmt.
  • Das Rohr 34 wird etwas größer bemessen als die Antriebswelle 36, um einen Spalt dazwischen vorzusehen, durch welchen Reinigungsgas 52 fließt. Das Reinigungsgas tritt in den unteren Bereich 38 der Reaktionskammer 20 ein, um verhindern zu helfen, daß Reaktantgas sich in dem unteren Abschnitt abscheidet. Diesbezüglich erzeugt das Reinigungsgas 52 einen positiven Druck unter dem Waferauflagesystem 22, der zu verhindern hilft, daß Reaktantgas um die Seiten des Segmentsuszeptors 42 in dem unteren Bereich 38 strömt. Das Spülgas tritt dann, wie mit den Pfeilen 55 gezeigt ist, zwischen dem Suszeptor 42 und der Öffnung 33 in den oberen Bereich 50 ein und dann durch einen länglichen Schlitz 60 in den Abstromflansch 30. Hierdurch wird sichergestellt, daß Reaktantgase nicht in den unteren Bereich 38 migrieren. Das Spülgas strömt weiter durch ein Abgassystem 58. Das Reaktantgas gelangt ebenso durch den länglichen Schlitz 60 in dem Abstromflansch 30, um durch das Auslaßsystem 58 abgezogen zu werden.
  • Vorzugsweise umgibt ein Temperaturkompensationsring 62 das Waferauflagesystem 22. Der Ring 62 paßt in die Öffnung 35, die in der Stützplatte 32 durch die Öffnung 33 erzeugt wird, und das Waferablagesystem 22 und der Ring füllen die Öffnung im wesentlichen und sorgen für einen Aufbau zwischen den unteren und oberen Kammerbereichen 38, 50. Der Suszeptor 42 dreht innerhalb des Ringes 62 und befindet sich vorzugsweise über einem kleinen Ringspalt von zwischen 0,5 und 1,5 mm von diesem. Die Gestalt der Öffnung 33 in der Stützplatte 32, welche den Ring 62 umgibt, kann kreisförmig gemacht sein, so daß die Kanten der Öffnung 35 sich in dichter Nähe zu dem Ring befinden. Man fand jedoch, daß eine allgemein rechteckige Öffnung 33 bevorzugt ist. Diesbezüglich kann der Ring 62 einen im allgemeinen rechteckigen äußeren Umfang haben, oder es kann ein zweiter Aufbau verwendet werden, um den Spalt zwischen dem kreisförmigen Ring und der Öffnung 33 zu füllen. Wie in größerer Einzelheit nachfolgend beschrieben wird, wird der Suszeptor 42 vorzugsweise mit einem konstanten äußeren Durchmesser hergestellt, um in den Ring 62 und die umgebende Öffnung 33 zu passen. Obwohl der Suszeptor 42 einen konstanten Außendurchmesser hat, sieht man, daß verschiedene Konfigurationen für die Behandlung einer Anzahl von Wafern unterschiedlicher Größe vorgesehen sind.
  • Bei einer besonders vorteilhaften Ausführungsform weist der Temperaturkompensationsring 62 einen Kreisring in zweiteiligem Aufbau auf, in dem sich ein Hohlraum für die Aufnahme von Thermoelementen 64 befindet. Bei der gezeigten Ausführungsform treten die Thermoelemente 64 durch Öffnungen, die in dem Abstromflansch 30 gebildet sind, in die Kammer 20 ein und erstrecken sich unter der Stützplatte 32 in den Temperaturkompensationsring 62. Die Öffnungen in dem Quarzflansch 30 verhindern im wesentlichen die Gasleckage um die Thermoelemente 64 herum, obwohl in typischer Weise keine zusätzliche Abdichtung verwendet wird. Es gibt vorzugsweise drei solcher Thermoelemente, eines, das an einer Vorderkante 66 endet, eines, das an einer Hinterkante 68 endet und eines, das an jeder der Querseiten des Ringes 62 endet. Die Thermoelemente in dem Ring 62, welcher den in Segmente aufgeteilten Suszeptor 42 umgibt, sorgen für eine gute Rückkopplung der Temperaturinformation, um die Strahlungswärmelampen genau zu steuern. Eine Vielzahl von gebogenen, an der Stützplatte 32 angebrachten Fingern 70 haltert den Ring 62 um den Umfang des Suszeptors 42. Zusätzlich zu dem Ring 62 und den Thermoelementen darin erstreckt sich ein mittiges Thermoelement 72 nach oben durch die Antriebswelle 36, die hohl ist, und durch die Spinnenanordnung 40, um unter der Mitte des Suszeptors 42 zu enden. Das mittlere Thermoelement 72 stellt somit eine genaue Anzeige der Temperatur nahe der Mitte des Wafers 44 zur Verfügung. Weil die Temperatur eines Wafers sich bei dem vorliegenden System schnell ändert, ist es erwünscht, daß die Masse der Thermoelemente minimal ist, um die Reaktionszeit zu beschleunigen.
  • In 2 ist eine erste Ausführungsform eines Waferauflagesystems 22 gezeigt. Wieder weist das System 22 allgemein den in Segmente geteilten Suszeptor 42 auf, der von Armen 74 der Spinnenanordnung 40 gehaltert wird. Die Arme 74 erstrecken sich radial von einer Nabe 76 nach außen und biegen vertikal nach oben in vorbestimmten radialen Abständen ab, um die Unterseite des Suszeptors 42 zu berühren. Der in Segmente aufgeteilte Suszeptor 42 weist einen oberen Abschnitt 78 und einen unteren Abschnitt 80 auf, die beide im allgemeinen ebene, scheibenförmige Elemente sind. Beide Abschnitte 78, 80 des Suszeptors 42 sind vorzugsweise aus Graphit hergestellt und passen eng zusammen ohne zusätzliche Befestigungsmittel, um eine minimale Gasleckage zwischen ihnen sicherzustellen. Ein Spalt von weniger als 25,4 mm (0,001 Zoll) zwischen den benachbarten Kreisvorrichtungen der oberen und unteren Abschnitte 78, 80 ist für diesen Zweck akzeptabel. Eine dünne Beschichtung aus Siliziumkarbid kann auf einem oder beiden Abschnitten 78, 80 gebildet werden. Die Dicke des Suszeptors 42 beträgt vorzugsweise etwa 7,62 mm (0,303 Zoll).
  • Unter Bezugnahme auf die auseinandergezogene Ansicht der 3 weist der obere Abschnitt 78 allgemein einen äußeren Ring 82 auf, welcher einen dünneren, kreisförmigen Mittelabschnitt umgibt. Der äußere Ring 82 weist einen oberen Rand oder eine Leiste 84 und einen unteren Rand oder eine Randleiste 86 auf, die an oberen bzw. unteren Schultern oder Stufen 88, 90 enden. Die obere Stufe 88 bildet einen Übergang zwischen der Leiste 84 und einer kreisförmigen, den Wafer aufnehmenden Ausnehmung 92. Die untere Stufe 90 bildet einen Übergang zwischen der Randleiste 86 und einer ringförmigen Ausnehmung 94 in der Unterseite des oberen Abschnittes 78. Der obere Abschnitt 78 weist ferner ein kreisförmiges Muster von Durchlaufgasauslässen 96 auf, die um die Mittelachse des oberen Abschnittes und in dem Abschnitt 92 symmetrisch angeordnet sind.
  • Im Abstand um einen konzentrischen Kreis um die Achse des Suszeptors 42 herum verteilt ist eine Vielzahl von angesenkten Löchern 98 nahe der oberen Stufe 88 gebildet. Die Ansenklöcher 98 weisen ein kleineres Durchgangsloch, welches sich zu der kreisförmigen Ausnehmung 42 öffnet, und eine größere Ansenkung auf, die zu dem kleineren Durchgangsloch konzentrisch ist und sich nach unten zu der ringförmigen Ausnehmung 94 öffnet. Jedes Ansenkloch 96 ist so bemessen, daß es eine Waferstütze oder einen Abstandshalter 100 aufnimmt, der in die kreisförmige Ausnehmung 92 vorspringt. Der Wafer 44 ruht auf den Abstandshaltern 100 über dem Grund der Ausnehmung 92. Diesbezüglich ist die Ausnehmung 92 mit einer Größe versehen, um einen Wafer so in ihr aufzunehmen, daß die Kante des Wafers sehr dicht an der Stufe 88 liegt. Der obere Abschnitt 68 weist ferner eine nach unten abhängende Mittelspindel 102 auf, die eine radiale innere Grenze der ringförmigen Ausnehmung 94 bildet. Ein zentraler Thermoelementhohlraum 104 ist in der Spindel bzw. dem Achszapfen 102 für die Aufnahme eines Abfühlendes des zuvor beschriebenen mittigen Thermoelementes 72 gebildet.
  • Bezüglich der 3 und 5 weist der ringförmige untere Abschnitt 80 eine mittige Durchgangsbohrung 106 auf, die so groß ist, daß sie um die nach unten abhängende Spindel 102 des oberen Abschnittes 78 paßt. Die obere Fläche des unteren Abschnittes 80 schließt eine Vielzahl von Gasdurchgangsnuten ein. Spezieller erstreckt sich ein Muster von gekrümmten Verteilungsnuten 108 zwischen einer Mehrzahl von Gasströmungsdurchgängen 110 und einer mittigen, kreisförmigen Zuführnut 112. Jede der Nuten 108 und 112 hat einen im allgemeinen halbkreisförmigen Querschnitt und eine Tiefe, die etwa gleich der Hälfte der Dicke des unteren Abschnittes 80 ist. Jeder der Gasströmungsdurchgänge 110 öffnet sich nach unten in seichte Hohlräume 114 des Spinnenarmes.
  • In den 3 und 6 ist die Spinnenanordnung 40 in größerer Einzelheit beschrieben. Die mittige Nabe 78 weist ein im allgemeinen hohles, zylindrisches Teil auf, welches eine vertikale Durchgangbohrung hat, die sich von einer unteren Fläche 116 zu einer oberen Fläche 118 erstreckt. Die Durchgangsbohrung weist einen unteren, die Welle aufnehmenden, verjüngten Abschnitt 120, ein mittiges Gasplenum 122 und einen oberen Thermoelementkanal 124 auf. Der untere, verjüngte Abschnitt 120 nimmt das verjüngte obere Ende der hohlen Antriebswelle 38 auf, wobei die zwei Elemente identische Verjüngungswinkel haben, um gut zusammenzupassen. Der Thermoelementkanal 124 nimmt das mittlere Thermoelement 72 auf, welches sich in den Thermoelementhohlraum 104 in dem oberen Abschnitt 78 des in Segmente geteilten Suszeptors 42 erstreckt. Das Gasplenum 122 schließt eine Vielzahl von Öffnungen 128 ein, die mit jedem der Halterungsarme 74 ausgerichtet sind. Diesbezüglich sind die Stützarme hohl, wobei das Innere Durchlaufgasdurchgänge 128 bildet. Die nach oben gerichteten Anschlußenden der Arme 74 sind durch ringförmige Lippen 130 verstärkt. Die Lippen 130 haben eine solche Größe, daß sie eng in die seichten, den Arm aufnehmenden Hohlräume 114 in der Unterseite des unteren Abschnittes 80 passen. Die Welle 36 treibt die Spinnenanordnung 40 drehend an, die ihrerseits den Suszeptor 42 durch die Einrastung zwischen den Lippen 130 und den seichten Hohlräumen 114 in der Unterseite des unteren Abschnittes 80 antreibt.
  • Bei einer alternativen Ausführungsform können die gekrümmten Arme der Spinnenanordnung 40 durch ein Paar von senkrecht angeordneten Rohren ersetzt werden. D.h. für jeden der drei Arme kann sich ein erstes Rohr von der mittleren Nabe 76 radial nach außen erstrecken und sich an ein zweites größeres Rohr senkrecht zu diesem ankoppeln mit einer Erstreckung nach oben, um eng in die den Arm aufnehmenden Hohlräume 114 zu passen. Diese Anordnung kann in gewisser Weise wie ein Maiskolbenrohr angesehen werden. Die ersten Rohre jedes Armes können sich von der Nabe 76 horizontal radial erstrecken oder können etwas nach oben unter einem Winkel verlaufen. Die Verwendung gerader, zylindrischer Abschnitte statt eines gekrümmten Quarzrohres erlaubt eine weniger teure Herstellung.
  • Entsprechend 2 können die Abstandshalter 100 verschiedene Gestalten annehmen. Bei einer bevorzugten Ausführungsform, die man im einzelnen in 2a sieht, ist der Abstandshalter 100 in der Form eines Stiftes mit einem länglichen oberen Abschnitt 132 mit einem kleinen gerundeten Kopf. Eine Basis 134 mit einem größeren Maß als der längliche Abschnitt 132 paßt in ein angesenktes Loch 98. Die Basis 134 ruht auf der oberen Fläche des unteren Abschnittes 80. Die Köpfe der länglichen Abschnitte 132 der Vielfachabstandshalter 100 enden auf derselben Höhe, um eine ebene Stützfläche für den Wafer 44 vorzusehen. Der obere Abschnitt der angesenkten Löcher 98 hat einen Durchmesser von etwa 1,575 mm (0,062 Zoll), und die Abstandshalter 100 passen dorthinein. Die Abstandshalter 100 sollten vorzugsweise einen Wafer über der Ausnehmung in einem Bereich von etwa 0,254 mm (0,010 Zoll) bis etwa 5,08 mm (0,200 Zoll) im Abstand halten; oder bevorzugter in einem Bereich von etwa 1,524 mm (0,060 Zoll) bis etwa 2,286 mm (0,090 Zoll); und am meisten bevorzugt haltern die Abstandshalter 100 den Wafer 44 über dem Grund der Ausnehmung in einer Höhe von etwa 1,905 mm (0,075 Zoll). Dies ist etwa die dreifache Dicke eines typischen Wafers. Dieses Beabstanden ist merklich größer als die Abweichung des Suszeptors oder Wafers von der Ebenheit, die in der Größenordnung von 0,127–0,254 mm (0,005–0,010 Zoll) liegt. Der Abstand ist auch viel größer als die Tiefe eines Gitters auf der oberen Fläche eines herkömmli chen Suszeptors, der ausgestaltet war, um den thermischen Kontakt zwischen dem Suszeptor und dem Wafer zu optimieren, während auch die Aufnahme des Wafers gefördert wird. Bei einer bevorzugten Ausführungsform sind die Tiefe der Ausnehmung 92 und die Höhe des Abstandshalters 100 derart, daß sich die obere Fläche des Wafers 44 in der Ebene der äußeren Leiste 84 befindet, um eine etwaige Unregelmäßigkeit oder einen Übergang und eine gleichmäßige Gasströmung über diese minimal zu machen. Alternativ könnte die Leiste 84 je nach Wunsch über oder unter der Oberseite des Wafers 44 gebildet sein.
  • Bei einer alternativen Ausführungsform, die man in 2b sieht, nimmt der Abstandshalter 100 die Form einer Kugel 136 an, die in eine Mulde 138 paßt, welche in der oberen Fläche des oberen Abschnittes 78 gebildet ist. Der Abstandshalter 100 kann sogar einstückig mit dem oberen Abschnitt 78 gebildet sein. Erwünschtenfalls ist der obere Abschnitt des Abstandshalters 100 für die Berührung mit dem Wafer gerundet oder endet in einer Spitze, um den Kontaktbereich mit dem Wafer minimal zu machen.
  • 2c veranschaulicht jedoch eine alternative Konfiguration eines Stiftkopfes, die bei Systemen nützlich ist, in welchen der Wafer bei der Anordnung auf die Stifte um einen kurzen Abstand abgesenkt wird. D.h. bei einem Wafertransportsystem wird der Wafer durch die Verwendung einer sogenannten Bernoulli-Wand gehalten, wobei ein Wafer durch radial nach außen strömendes Gas von oben gehalten wird, ohne daß die obere Fläche des Wafers von der Wand berührt wird. Nachdem ein Wafer in die Position kurz über einem Suszeptor bewegt wird, wird die Gasströmung unterbrochen, und der Wafer fällt auf die Abstandshalter. Der Fallabstand ist zwar sehr gering, es gibt aber doch eine gewisse Möglichkeit, daß ein Abstandsstift mit Punktkontakt die Oberfläche des den Abstandshalter berührenden Wafers abspaltet oder schädigt. Um diese Möglichkeit minimal zu machen, hat der Stiftkopf der 2c eine flache obere Fläche 139 mit gerundeten Schultern 139a. Vorzugsweise liegt der Durchmesser des flachen Bereiches im Bereich von etwa 0,635–1,14 mm (0,025–0,045 Zoll), oder die ganze obere Fläche von 1,397 mm (0,055 Zoll) könnte flach sein. Es ist auch wünschenswert, daß die flache Oberfläche 139 poliert ist, um eine Rauhigkeit der Oberfläche zu entfernen, welche den Wafer beschädigen könnte.
  • Die festen Abstandhalter 100 bilden eine ebene Stützplattform oder einen Ständer für den Wafer 44, um ihn über dem in Segmente geteilten Suszeptor 42 im Abstand zu halten, und diesbezüglich sind mindestens drei Abstandshalter erforderlich, obwohl mehr als drei vorgesehen sein können. Vorzugsweise sind die Abstandshalter 100 aus Keramik oder natürlich vorkommendem oder synthetisch hergestelltem Saphir hergestellt, wobei der Saphir Einkristallstruktur hat und vom Aluminiumoxid abgeleitet ist. Bei einer alternativen Konfiguration können die Abstandshalter 100 aus amorphem Quarz gebildet sein, obwohl dieses Material eventuell aus den wiederholten thermischen Durchläufen in der Reaktionskammer 20 auskristallisieren kann. Weitere Materialien, die für die Abstandshalter benutzt werden können, weisen Monokristallin- oder Einkristallquarz, Siliziumkarbid, Siliziumnitrid, Borkarbid, Bornitrid, Aluminiumnitrid und Zirkonkarbid oder andere Materialien auf, die gegen hohe Temperaturen widerstandsfähig sind und in der Lage sind, den extremen Temperaturen und der chemischen Umgebung in der Waferbehandlungskammer zu widerstehen. Jedes dieser Materialien kann zusätzlich beschichtet sein mit Si, Si3N4, SiO2 oder SiC, um die Abstandshalter gegen Beschädigungen aus dem Freilegen gegenüber Prozeßgasen zu schützen.
  • Um die Rückseitenkontamination des Wafers 44 von Reaktantgasen zu verhindern, die zwischen den Wafer und den Suszeptor 42 eintreten, wird ein neues Durchlaufgassystem zur Verfügung gestellt. Das System wärmt auch das Gas vor, welches den Wafer berührt und welches, würde es nicht erwärmt, ein örtliches Kühlen und mögliche Fehlerbereiche auf dem Wafer hervorrufen würde. Spezieller und unter Bezugnahme auf 2 tritt das Durchlaufgas in das Waferunterstützungssystem durch die hohle Antriebswelle 36 und in das Plenum 122 ein, wie mit dem Pfeil 140 gezeigt ist. Das Gas wird dann durch die Öffnungen 126 und in die Durchgänge 128 für Durchlaufgas in den Armen 74 verteilt. Das Gas gelangt weiterhin in einer Einlaßströmung 142 durch den unteren Abschnitt 80 in den Durchgang 110 für die Gasströmung. Die Verteilungsnuten 108 zusammen mit der unteren Oberfläche des oberen Abschnittes bilden Gaskanäle zwischen den oberen und unteren Abschnitten 78, 80. Unter Bezugnahme auf 5 fließt das Gas längs der Kanäle und folgt den verschiedenen Verteilungsnuten 108, um schließlich die kreisförmige Zuführnut 112 zu erreichen, wonach es durch die Durchlaufgasauslässe 98 austritt, wie durch den Pfeil 144 gezeigt ist. Die Gasströmung durch die Verteilungsnuten ist durch die Pfeile 146 gezeigt. Die Gasströmung in die Zuführnut 112 ist durch die Pfeile 148 gezeigt. Die spezielle Anordnung der Verteilungsnuten 108 kann anders als die in 5 gezeigte sein. Die gezeigte Anordnung hilft, Temperaturungleichmäßigkeiten durch den unteren Abschnitt 80 und durch den in Segmente aufgeteilten Suszeptor 42 als ganzem durch Leiten des Durchlaufgases in einer symmetrischen und Umwegbahn durch den unteren Abschnitt zu reduzieren. Erwünschtenfalls durchlaufen die Nuten 108 eine nicht lineare Bahn von den Gasströmungsdurchgängen 110 zu der mittigen, kreisförmigen Zuführnut 112 und den Durchlaufgasauslässen 96.
  • Die kreisförmige Zuführnut 112 ist direkt unter dem kreisförmigen Muster von Durchlaufgasauslässen 96 gebildet. Wie man in 4 sieht, stellt die gleichmäßige Verteilung des Gases durch die Nut 112 sicher, daß die die Auslässe 96 verlassende Durchlaufgasströmung 148 um die Mitte des Suszeptors 42 in einer radialen Auswärtsrichtung axialsymmetrisch ist. Auf diese Weise wird jedes Reaktantgas, welches zwischen den Wafer und den Suszeptor eintreten mag, von unterhalb des Wafers radial nach außen mitgerissen. Erwünschtenfalls wird eine Fließgeschwindigkeit von weniger als 5 Standardliter/Minute Durchlaufgas durch die hohle Welle 38 und den in Segmente geteilten Suszeptor verwendet, und eine Fließrate von weniger als drei Standardliter/Minute ist bevorzugt.
  • Obgleich andere Gase ersetzt werden können, ist Wasserstoff bevorzugt, da er mit vielen CVD-Behandlungssystemen kompatibel ist. Infolge der exzellenten Steuerung über der Rückseite des Wafers können durch die Verwendung des Spülgases Wafer mit Doppelseitenpolitur erfolgreich behandelt werden, anders als ein System, bei welchem der Wafer mit dem Suszeptor in Berührung ist.
  • Der Massenstromregler 39 reguliert die Strömung von Durchlaufgas durch die hohle Welle 36 und den in Segmente geteilten Suszeptor für unterschiedliche Prozeßdrücke. D.h. einige Prozes se verlaufen bei Atmosphärendruck und einige bei reduziertem Druck. Im Fall einer festen Beschränkung auf eine Steuerströmung, versucht ein Prozeß bei reduziertem Druck, die Strömung des Gases durch die Durchlaufgasauslässe 96 im Vergleich zu einem Prozeß bei Atmosphärendruck zu erhöhen, wobei alle anderen Variablen dieselben bleiben. Somit arbeitet der Massenstromregler 39 unabhängig von dem Prozeßdruck, um eine konstante Strömung von weniger als fünf Standardliter/Minute sicherzustellen.
  • Die 7 und 8 veranschaulichen ein anderes Waferunterstützungssystem 22', welches einige derselben Elemente verwendet wie das Waferunterstützungssystem 22, welches in 2 gezeigt ist. Spezieller sind die Spindelanordnung 40 und der untere Abschnitt 80 des in Segmente geteilten Suszeptors 42' identisch jenen, die unter Bezugnahme auf die erste Ausführungsform gezeigt und beschrieben sind. Der in Segmente geteilte Suszeptor 42' weist jedoch einen modifizierten oberen Abschnitt 78' auf, wobei ein äußerer Ring 82' eine obere Leiste 84' und eine untere Randleiste 86' aufweist. Die obere Leiste 84' hat ein ähnliches Größenmaß wie die Leiste 84, die bezüglich der ersten Ausführungsform beschrieben ist, und endet in einer Kreisstufe 88', die zu einer Kreisausnehmung 92' führt. Die kreisförmige Ausnehmung 92' erstreckt sich radial auswärts an dem unteren Abschnitt 80 vorbei. In relativer Hinsicht ist die untere Randleiste 86' im Radialmaß wesentlich größer im Vergleich zu der Randleiste 86, die für die erste Ausführungsform beschrieben ist, dennoch hat die Stufe 90' dieselbe Größe wie die Stufe 90 bei der ersten Ausführungsform. Dies erlaubt es dem oberen Abschnitt 78, den ringförmigen unteren Abschnitt 80 gerade wie bei der ersten Ausführungsform aufzunehmen.
  • Abweichend von der ersten Ausführungsform, wie in 7 gezeigt ist, weist der Suszeptor 42' eine Vielzahl von Abstandshaltern in der Form von Stützstiften 150 auf, die am Umfang um einen Kreis um die Mittelachse des Suszeptors 42' in dem Bereich zwischen der oberen Stufe 88' und der unteren Stufe 90' verteilt sind. Spezieller erstrecken sich die Stifte 150 in gestufte Hohlräume 152, welche von der Ausnehmung 92' durch den oberen Abschnitt 78' zu der verlängerten Randleiste 86' verlaufen. Die gezeigten Stifte 150 unterscheiden sich etwas von den ersten zwei Ausführungsformen, die in den 2a und 2b beschrieben wurden, und weisen einfache zylindrische Elemente mit gerundeten Köpfen in Berührung mit dem Wafer 44' auf.
  • Eine alternative Ausführungsform von Gasdurchgangsnuten durch den Suszeptor ist in den 9 und 10 gezeigt. Wie zuvor haltert die Spinnenanordnung einen modifizierten Suszeptor mit einem oberen Abschnitt 162 und einem unteren Abschnitt 164. Der untere Abschnitt 164 weist drei Gasdurchgänge 166 auf, die sich nach unten öffnen, um die oberen Enden der Arme 74 der Spinnenanordnung aufzunehmen. Diesbezüglich befinden sich die Orte der Durchlaufgaseingänge an derselben Stelle wie bei den ersten zwei Suszeptor-Ausführungsformen 42 und 42'. Von dort verlaufen jedoch Verteilungsnuten 168 in der oberen Fläche des unteren Abschnittes 164 radial auswärts zu einer äußeren Ringnut 170. Sekundärnuten 172 leiten das Durchlaufgas radial einwärts, um eine Reihe von konzentrischen, kreisförmigen Zuführnuten 174a, 174b und 174c zu schneiden, die auf im Abstand angeordneten Radien angeordnet sind. Jede Sekundärnut 172 liegt vorzugsweise längs einer Linie, welche den eingeschlossenen Winkel halbiert, der zwischen jedem Paar von Verteilungsnuten 168 gebildet ist.
  • Blickt man auf die 9 und 10, so weist der obere Abschnitt 162 eine Vielzahl von Gasauslässen auf, die in einer Reihe von konzentrischen Kreisen angeordnet sind, welche den kreisförmigen Zuführnuten 174a, 174b und 174c entsprechen. Spezieller liegt eine erste Gruppe von Auslässen 176a längs eines inneren Kreises 178a auf demselben Radius der kleinsten Zuführnut 174a. Ähnlich sind zwei weitere Gruppen von Auslässen 176b und 176c um äußere konzentrische Kreise 178b bzw. 178c angeordnet, welche den äußeren Zuführnuten 174b und 174c entsprechen.
  • Vier Auslässe 176 sind gleichmäßig um jeden der Kreise 178a, b, c angeordnet gezeigt, es können aber mehr oder weniger vorgesehen werden. Ferner kann die Umfangsausrichtung der Auslässe 176 zwischen den Kreisen 178 gestaffelt bzw. versetzt sein wie gezeigt. Mit vier Auslässen 176 pro Kreis 178 wird jedes Muster von Auslässen um 30° bezüglich eines der anderen Muster gedreht. Alternativ würden zum Beispiel acht Auslässe 178 pro Kreis 178, die gleichmäßig verteilt und gestaffelt bzw. versetzt sind, bedeuten, daß jedes Muster von Auslässen um 15° bezüglich eines der anderen Muster gedreht ist. Das Versetzen zwischen Mustern erzeugt einen wirksameren Gasdurchlauf unter dem Wafer, wie durch die Pfeile 180 gezeigt ist, als wenn die Auslässe 176 ausgerichtet wären.
  • Bei einer anderen Variante kann der obere Abschnitt 162 mit dem unteren Abschnitt 80, der oben unter Bezugnahme auf die 3 und 5 beschrieben ist, benutzt werden, solange der innere Kreis 178a der Auslässe 176a mit der kreisförmigen Zuführnut 112 ausgefluchtet ist. In diesem Fall würden die äußeren Kreise 178b, c der Auslässe 176b, c nicht benutzt. Ferner kann der untere Abschnitt 164 mit jedem der oben beschriebenen oberen Abschnitte 78, 78' verwendet werden, solange die innere Zufuhrnut 174a mit dem kreisförmigen Muster von Auslässen 96, 96' ausgefluchtet ist. In diesem Fall würden die äußeren Zuführnuten 174b, c nicht benutzt. Selbstverständlich können aber auch andere Varianten ins Auge gefaßt werden.
  • Die Trennung zwischen dem Wafer 44 und dem in Segmente geteilten Suszeptor 42 sowie die minimale direkte Halterung, die von den drei Abstandshaltern 100 vorgesehen ist, entkuppelt in wirksamer Weise den Wafer und Suszeptor von der Wärmeleitung dazwischen. Die Temperatur des Wafers 44 wird somit in erster Linie von dem Strahlungswärmefluß beeinflußt, der von den die Kammer umgebenden Lampen zur Verfügung gestellt wird.
  • Die Spinnenanordnung 40 ist vorzugsweise aus Quarz aufgebaut, um eine transparente Stütze zur Unterseite des Suszeptors 42 vorzusehen und die Blockierung der Strahlungswärme minimal zu machen, die von den unteren Wärmelampen emittiert wird. Obwohl Quarz bevorzugt ist, können auch andere Materialien, die einen relativ hohen Koeffizienten für eine Strahlungswärmeübertragung haben, verwendet werden. Für den Aufbau der Spinnenanordnung 40 wird zuerst die Nabe 76 durch Bearbeitung in die geeignete Form gebracht. Die rohrförmigen Arme 74 werden aus geraden Abschnitten gebogen und zum Beispiel durch Schweißen an der Nabe 76 angebracht. Wärmebehandlung und Feuerpolieren reduzieren innere Spannungen in dem Quarz.
  • 11 veranschaulicht eine Draufsicht eines anderen Waferunterstützungssystems 200 der vorliegenden Erfindung, welches wiederum einen in Segmente geteilten Suszeptor 202 mit einer konzentrischen Ausnehmung 204 in einer oberen Fläche aufweist, wobei eine Vielzahl von Abstandshaltern 206 für die Halterung der Wafer in der Ausnehmung angeordnet ist.
  • Unter Bezugnahme auf 12, welche einen oberen Abschnitt 208 des in Segmente geteilten Suszeptors 202 veranschaulicht, ist die seichte Ausnehmung 204 um ihren äußeren Umfang durch eine kreisförmige Stufe 210 gebildet, die zu einer Leiste führt, welche die oberste Fläche des Suszeptors bildet. Die Konstruktion ist in vieler Hinsicht ähnlich den zuvor beschriebenen Suszeptoren.
  • Ausgehend von den zuvor beschriebenen Suszeptoren weist der in Segmente geteilte Suszeptor 208 zwei konzentrische Kreise von Durchlaufgasauslässen auf. Ein äußerer Kreis von zwölf Durchlaufgasauslässen 214 umgibt einen inneren Kreis von zwölf Durchlaufgasauslässen 216. Man kann leicht aus 12 sehen, daß die äußeren Durchlaufgasauslässe um das Zentrum des in Segmente geteilten Suszeptors 208 bei Intervallen von 30° oder bei 1:00, 2:00 usw. verteilt sind. Die Durchlaufgasauslässe 216 des inneren Kreises sind auf der anderen Seite rotationsmäßig bezüglich des äußeren Kreises um 15° versetzt und nehmen somit Drehpositionen bei 12:30, 1:30 usw. zwischen dem äußeren Kreis von Auslässen ein. Diese erhöhte Anzahl von Durchlaufgasauslässen und die versetzte Lage der konzentrischen Kreise erhöht die Gleichförmigkeit von Durchlaufgas unter dem Wafer und verbessert deshalb seine Leistung; wie zuvor bezüglich 9 beschrieben war.
  • 11 veranschaulicht in gestrichelter Linie eine Grenzfläche 219 zwischen dem oberen Abschnitt 208 und einem Bodenabschnitt 218 des in Segmente aufgeteilten Suszeptors 202, wobei man den Bodenabschnitt in 13 in Draufsicht sieht. Der Außenumfang des Bodenabschnittes 218 ist im wesentlichen kreisförmig mit Ausnahme dreier flacher Stellen 220, die in Intervallen von 120° um den Umgang herum angeordnet sind. Der äußere Umfang des Bodenabschnittes 218 paßt in eine ähnlich geformte untere Stufe 222 des oberen Abschnittes 208, wie man in gestrichelter Linie in 12 und im Querschnitt in 14 sieht. Die flachen Stellen 220 des Bodenabschnittes 218 wirken mit einwärts gerichteten flachen Stellen 224 zusammen, die in der unteren Stufe 222 gebildet sind, um den oberen Abschnitt 208 rotationsmäßig mit dem Bodenabschnitt 218 auszurichten. Der Bodenabschnitt 218 weist ferner eine kleine mittige Durchgangsbohrung 226 auf, in die eine nach unten abgehängte Nabe oder Spindel 228 des oberen Abschnittes paßt.
  • Die Unterseite des Bodenabschnittes 218 weist drei seichte Spinnenarmhohlräume 230 ähnlich den zuvor beschriebenen auf. Die Hohlräume 230 stehen mit vertikalen Gasströmungsdurchgängen 232 in Verbindung, welche zu einer Vielzahl von Gasverteilungsnuten 234 führen, die in der oberen Fläche des Bodensuszeptorabschnittes 218 gebildet sind. Wie man in 13 sieht, steht jeder Gasströmungsdurchgang 232 mit divergierenden Nuten 234 in Verbindung, die Umwegebahnen durchlaufen, welche sich zuerst radial nach außen, dann am Umfang neben dem Umfang des unteren Abschnittes des Suszeptors und schließlich im allgemeinen radial einwärts zur Mitte des Bodenabschnittes 218 hin laufen. Auf diese Weise strömt Durchlaufgas im wesentlichen durch den ganzen Suszeptor in einem im allgemeinen axialsymmetrischen Muster, um einen gleichmäßigen Wärmeübergang von dem heißen Suszeptor zu dem Durchlaufgas und umgekehrt vorzusehen.
  • Beide Gasverteilungsnuten 234 schneiden eine kontinuierliche äußere, kreisförmige Zufuhrnut 236, die konzentrisch in dem Bodenabschnitt 218 gebildet ist. Von der äußeren Nut 236 führt eine Vielzahl von unter Winkeln angestellten Speichen 238 zu einer inneren, kreisförmigen Zufuhrnut 240, die wieder konzentrisch in dem Bodenabschnitt 218 gebildet ist. Obgleich die Gasverteilungsnuten 234 in jede der Speichen 238 direkt weiterlaufend gezeigt sind, sind auch andere Anordnungen möglich. Ferner ist gezeigt, daß die Speichen 238 die innere, kreisförmige Zufuhrnut 240 unter allgemein tangentialen Winkeln schneiden, sie können aber auch unter anderen, mehr direkten radialen Winkeln verbunden sein. Die Gasströmungsdurchgänge 232 sind radial außerhalb von den Durchlaufgasauslässen 216 angeordnet, und die Gasverteilungsnuten 234 queren erwünscht eine nicht-lineare Bahn zwischen diesen, welche vorzugsweise länger ist als eine direkte Linie zwischen irgendeinem der Durchlässe 232 und Auslässe 218, und am meisten bevorzugt in einem Umwegemuster, wie dieses gezeigt ist.
  • Die innere kreisförmige Zufuhrnut 240 liegt direkt unter dem inneren Kreis der Durchlaufgasauslässe 216, wenn der obere Abschnitt 208 über dem Bodenabschnitt 218 angekoppelt ist. Ebenso liegt die äußere, kreisförmige Zufuhrnut 236 direkt unter dem äußeren Kreis der Durchlaufgasauslässe 214. Diese Anordnung ermöglicht einen gleichmäßigen Druck und eine Zufuhr von Durchlaufgas zu allen den Auslässen 214, 218 in der oberen Fläche des in Segmente geteilten Suszeptors 108. Der zwischen dem oberen und Bodenabschnitt 208, 218 erzeugte Druck wird von zuvor beschriebenen Ausführungsformen durch die Erhöhung der Anzahl von Durchlaufgasauslässen 214, 218 und durch die Verkleinerung der Einlaßgasströmungsdurchgänge 232 etwas reduziert. Spezieller haben die Einlaß-Gasströmungsdurchgänge 232 einen Durchmesser von etwa 1,524–1,778 mm (0,0606–0,070 Zoll). 11 veranschaulicht die Gasströmung von den Durchgängen 232 durch die Verteilungsnuten 234 mit Pfeilen 242.
  • Ausgehend von früheren Ausführungsformen und gemäß Darstellung in 12 wird jeder der Abstandshalter 206 aus einer der Gasverteilungsnuten 234 über einen Spülkanal 244 mit Spülgas versorgt. Diese Spülkanäle sieht man in 14 im Querschnitt, und sie erstrecken sich von der entsprechenden Gasverteilungsnut 234 direkt zu dem Abstandshalter 206. Auf diese Weise wird eine bei 246 gezeigte kontinuierliche Zufuhr von Spülströmung zu den jeden Abstandshalter 206 umgebenden Bereichen vorgesehen. Jeder der Abstandshalter 206 paßt in eine Öffnung 250, die in der oberen Fläche der Ausnehmung 204 gebildet ist. Ein Abstand ist zwischen dem Abstandshalter 206 und seiner Öffnung 250 vorgesehen, damit das Durchlaufgas durch die Auslässe 214, 216 im allgemeinen nach oben in die Reaktionskammer strömen kann, statt um jeden der Abstandshalter herum nach außen. Dies läßt die Abstandshalter 206 gegen Ätz- oder Verkapselungsgase ungeschützt. Der Abstandshalter wird durch eine untere zylindrische Basis 262 und einen oberen länglichen zylindrischen Stift 254 mit einer gerundeten oberen Fläche gebildet. Der Stiftabschnitt 254 ist im Verhältnis zu der Öffnung 250 zu klein, damit eine Spülströmung 246 dort hindurchströmen kann. Bei einer Ausführungsform hat der Stift 254 einen Durchmesser von zwischen 1,270 und 1,397 mm (0,050 und 0,055 Zoll), während die Öffnung 250 einen Durchmesser von zwischen 1,575 und 1,702 mm (0,062 und 0,067 Zoll) hat.
  • Die vorliegende Erfindung stellt eine Suszeptorkombination zur Verfügung mit der Fähigkeit der Auswahl unterschiedlicher oberer Abschnitte je nach der Größe des zu behandelnden Wafers. Eine solche Kombination ist besonders in der Reaktionskammer 20 mit der Stützplatte 32 nützlich. Wie oben erwähnt, hat der Suszeptor vorzugsweise einen konstanten Außendurchmesser, um in den Ring 62 zu passen, und eine Öffnung 33 in der Stützplatte 32. Da der obere Abschnitt den Außendurchmesser des Suszeptors bestimmt, hat er notwendigerweise einen konstanten Durchmesser, während die Größe der Waferausnehmung variiert, um die unterschiedlichen Wafergrößen aufzunehmen. Die Bodenform jedes der oberen Abschnitte ist ausgestaltet, um einem einzigen unteren Abschnitt zu entsprechen, wodurch die Kosten etwas reduziert werden. Die 1117 zeigen vier unterschiedliche Suszeptorkombinationen 200, 258, 278 und 300 für vier unterschiedliche Wafergrößen. Andere Größen von Wafern können selbstverständlich von einer solchen Kombination aufgenommen werden, wobei die maximale Größe nur von dem Außendurchmesser des Suszeptors begrenzt ist.
  • 15 veranschaulicht eine zweite Fassung eines oberen Abschnittes 260 des Waferunterstützungssystems 200. Der Bodenabschnitt ist derselbe wie in Bezug auf die 1114 beschrieben war. Tatsächlich ist eine Grenzfläche 262 zwischen dem oberen Abschnitt 260 und dem Bodenabschnitt 218 dieselbe wie zuvor beschrieben, und die Gasverteilungsnuten 234 in dem Bodenabschnitt befinden sich an demselben Ort. Der obere Abschnitt 250 unterscheidet sich von der früher beschriebenen Version durch eine Ausnehmung 264 mit verringertem Durchmesser. Die Ausnehmung 264 wird durch die Kreisstufe 266 bestimmt, die ihrerseits ein größeres Radialmaß für die Leiste 268 erzeugt. Der obere Abschnitt 260 ist geeignet ausgestaltet, um kleinere Wafer in der Ausnehmung 264 zu unterstützen. Diesbezüglich ist eine Vielzahl von Abstandshaltern 270 in 120° Intervallen um die Mitte des Suszeptors herum und in radialen Abständen angeordnet, die eine gute Unterstützung für Wafer von etwa 150 mm vorsehen. Um die Spülgasnuten 234 mit den Abstandshaltern 270 zu verbinden, sind verkürzte Spülkanäle 272 vorgesehen.
  • 16 veranschaulicht eine dritte Version eines oberen Abschnittes 280 des Waferauflagesystems 200. Wieder ist der Bodenabschnitt derselbe wie vorher, wobei die Grenzfläche 282 zwischen dem oberen und Bodenabschnitt dieselbe ist. Der obere Abschnitt 280 weist eine vergrößerte Leiste 284 auf, die in einer kreisförmigen Stufe 286 endet. Die somit gebildete Ausnehmung 288 hat ein Maß für die Aufnahme von Wafern mit einem Durchmesser von etwa 125 mm. Spülkanäle 288 führen zu Öffnungen, welche die eingefangenen Abstandshalter 290 mit ausreichenden Radialmaßen umgeben, um die Wafer mit reduzierter Größe zu unterstützen. Es sei bemerkt, daß sich die Gasverteilungsnuten 234 von der Ausnehmung 266 radial nach außen erstrecken und dann weiter einwärts zu den kreisförmigen Zuführnuten laufen.
  • In einer vierten Version des oberen Abschnittes 302, wie man in 17 sieht, ist die Stufe 304 sogar weiter einwärts bewegt, wobei die Ausnehmung 306 auf ein ausreichendes Maß reduziert wird, Wafer mit 100 mm zu unterstützen. Wieder bleibt die Grenzfläche 308 an demselben Ort, weil der Bodenabschnitt des Suszeptors 300 dem zuvor beschriebenen identisch ist. Die äußere Leiste 310 ist bei dieser Ausführungsform stark vergrößert. Drei Abstandshalter 312 sind in Intervallen von 120° um die Mitte des Suszeptors herum vorgesehen, und drei zugeordnete Spülkanäle 314 verbinden die Gasverteilungsnuten 234 mit diesen. Es sei bemerkt, daß die radialen Positionen der Abstandshalter 312 sich innerhalb des Kreises befinden, welcher durch die drei Gaseinlaßöffnungen in der Bodenfläche des Suszeptors erzeugt ist. In der Tat erstrecken sich die Gasverteilungsnuten 234 von der Ausnehmung 306 radial nach außen und laufen dann weiter einwärts zu den kreisförmigen Zufuhrnuten. Außerdem befindet sich der Ort der die Stützarme aufnehmenden Hohlräume gerade außerhalb der Ausnehmung 306 und befindet sich somit außerhalb des Wafers, wenn dieser auf dem Suszeptor 300 angeordnet ist. Die Leiste 310, welche die Ausnehmung 306 umgibt, erstreckt sich von dem Wafer über mindestens den halben Waferdurchmesser radial nach außen.
  • Es wird nun auf die 21A21E Bezug genommen, in denen eine andere Variante eines in Segmente geteilten Suszeptors veranschaulicht ist. 21A zeigt einen oberen Abschnitt 408 mit einer seichten Ausnehmung 404, die um ihren Außendurchmesser von einer Kreisstufe 410 gebildet ist, die zu einer Leiste 412 führt, welche die oberste Fläche des Suszeptors bildet. Ein Kreis von im Abstand angeordneten Durchlaufgasauslässen 416 ist ziemlich dicht an der Kreisstufe 410 angeordnet. Bei der gezeigten Anordnung sind 24 Auslässe vorgesehen. Noch dichter an der Stufe ist ein Kreis von am Umfang im Abstand angeordneten Stützstift- oder Abstandshalterlöchern 450 angeordnet. Bei dieser Anordnung treten die Waferstützstifte oder Abstandshalter mit der Unterseite eines Wafers neben seinem äußeren Umfang in Eingriff. Da ein Wafer in typischer Weise eine Ausrichtflachstelle oder Kerbe an seinem äußeren Umfang hat, sind sechs Stützstifte anstelle von dreien wie bei den früheren Anordnungen vorgesehen. Selbst wenn eine Ausrichtflachstelle oder Ausrichtkerbe für einen Wafer mit einem Stützstift ausgerichtet ist, so daß von diesem besonderen Stift nur eine geringe oder keine Unterstützung zur Verfügung gestellt wird, ist somit der Wafer doch von den anderen fünf in geeigneter Weise unterstützt.
  • Wie man in 21B sieht, weist ein unteres Suszeptorsegment 418 seichte Spinnenarmhohlräume 430 ähnlich den oben beschriebenen auf. Die Hohlräume stehen mit vertikalen Gasströmungsdurchgängen 432 in Verbindung, welche zu einer Vielzahl von Gasverteilungsnuten 434 führen, die in der oberen Fläche des Suszeptorbodenabschnittes 418 gebildet sind. Wie man in 21B sieht, steht jeder Gasströmungsdurchgang 432 mit Nutenabschnitten in Verbindung, die entlang Umwegebahnen laufen, die zu einer äußeren Ringnut 435 an am Umfang im Abstand angeordneten Stellen führen. Ein Segment jeder Bahn erstreckt sich zuerst radial auswärts und dreht dann einwärts, um eine Art von Hufeisenform zu bilden, und erstreckt sich dann am Umfang und radial nach außen, um einen zweiten hufeisenförmigen Abschnitt vor dem Schneiden der äußeren Nut 435 zu bilden. Der andere Abschnitt der Bahn erstreckt sich zuerst radial nach innen und krümmt sich dann radial nach außen und dann am Umfang vor dem Schneiden der äußeren Nut 435.
  • Wie man aus dem abgebrochenen Abschnitt des oberen Segmentes 408 in 21 sieht und wie ferner in den 21C, D, und E veranschaulicht ist, befindet sich die äußere Nut 435 unter dem Kreis von Durchlaufgasauslässen 416. Durch das Anordnen der Durchlauflöcher so dicht an dem äußeren Umfang wird das Risiko der rückseitigen Abscheidung erheblich reduziert. Ferner erhöhen die Gasdurchgänge 434 zusammen mit der erhöhten Anzahl von Gasauslässen 216 das Volumen der Durchlaufgasströmung. Das Reduzieren der Beabstandung zwischen dem Umfang des Wafers und der umgebenden Ausnehmungswand auf etwa 2,54 mm (0,10 Zoll) minimiert ferner die Möglichkeit, daß Abscheidungsgas unter dem Wafer eintritt.
  • Durch Anordnen der Stützstifthohlräume 430 neben dem äußeren Umfang der Ausnehmung in dem Suszeptor tritt die obere Fläche der Waferstützstifte 446 mit der unteren Fläche des äußeren Umfangs eines Wafers 448 in einem äußeren Bereich in Eingriff, der als Ausschlußzone 449 bezeichnet wird. Diese Zone wird normalerweise nicht ein Teil eines Halbleiterschaltkreisbausteins. Deshalb hat jede geringe kleine Markierung auf der Unterseite eines Wafers, die von einem Stützstift verursacht werden könnte, keine Folgen.
  • 21D zeigt eine Situation, in welcher der Wafer mit einer Ausrichtkerbe 451 gebildet ist. Selbst bei dieser Anordnung tritt ein Stift mit dem Wafer in Eingriff, falls die Kerbe zufällig mit dem Wafer ausgerichtet ist, solange der Wafer zentriert ist und der Spalt zwischen der Waferkante und der umgebenden Ausnehmung klein ist. Bei einem ausreichend kleinen Spalt tritt der Stift tatsächlich selbst dann mit dem Wafer in Eingriff, wenn er nicht auf dem Suszeptor zentriert ist.
  • 21E veranschaulicht die Situation, bei welcher eine Waferflachstelle 453 mit einem Stützstift 446 ausgerichtet ist bzw. sich in Flucht befindet. Wie man sieht, steht der Abstandshalterstift mit dem Wafer nicht eigentlich in Eingriff, dies hat aber keine Folge, weil der Wafer von den anderen fünf Abstandshaltern unterstützt wird.
  • Die 22A und 22B zeigen eine andere Anordnung eines in Segmente geteilten Suszeptors, wobei ein unterer Suszeptorabschnitt 518 mit einer Kreisnut 537 gezeigt ist, welche drei Strömungsdurchgänge 532 des Spinnenarmes schneidet. Eine seichte Ringausnehmung 539 erstreckt sich von der Nut 537 nach außen zu einer Kreiskante 541 dicht am Umfang 518A des unteren Abschnittes. Spezieller ist die Kante 541 gerade radial über einen Kreis von am Umfang im Abstand angeordneten Durchlaufgasauslässen 516 neben dem Umfang eines oberen Suszeptorsegmentes 512 angeordnet, von dem ein abgebrochener Teil in 22A gezeigt ist. Sechs Stützstifte 546 sind auch in 22A in geeigneter Weise gezeigt. Der Kreis von Auslässen 516, der bei der Anordnung der 22A und B gezeigt ist, ist im wesentlichen derselbe wie der in 21A mit der Ausnahme, daß drei mal so viele Auslässe gezeigt sind. Deshalb werden bei dieser Anordnung 72 Auslässe für einen Suszeptor verwendet, der geeignet ist, einen 200 mm Wafer 548 aufzunehmen. Die genaue Zahl von Auslässen kann selbstverständlich verändert werden, es ist aber vorteilhaft, so viele Durchlaufgasauslässe zu haben und die seichte, aber mit großer Fläche versehene, ringförmige Ausnehmung 539 für das Zuführen von Durchlaufgas zu diesen Auslässen vorzusehen, wie durch die Pfeile in 22A gezeigt ist. Der erhöhte Gasfluß reduziert das Risiko erheblich, daß Abscheidungsgas die Rückseite des Wafers erreicht.
  • Die 23A, B und C zeigen eine Anordnung, die ähnlich jeder der zuvor beschriebenen Anordnungen sein kann mit der Ausnahme, daß sie einen Abstandshalter in der Form eines Ringes statt einer Vielzahl von Stiften verwendet. Spezieller ist ein dünner, im allgemeinen flacher Abstandshalterring 615 gezeigt, der in einer seichten Ausnehmung 604 in dem oberen Abschnitt 608 eines in Segmente aufgeteilten Suszeptors 602 angeordnet ist. Der äußere Umfang des Ringes 615 ist gerade innerhalb der Kante der Ausnehmung angeordnet, wie sie von einer kreisförmigen Stufe 610 gebildet ist, die zu einer Leiste 612 führt, welche die obere Fläche des Suszeptors bildet. Der Ring 615 erstreckt sich einwärts etwa zu der Stelle, die von den Stützstiften bei der Anordnung der 21 und 22 eingenommen wird. Wie man aus 23B sieht, ist die obere Fläche 615a des Ringes 615 nicht ganz horizontal. Statt dessen ist sie nach unten in einer radialen Einwärtsrichtung abgeschrägt oder unter einem Winkel angestellt. Somit ist der radial äußere Abschnitt vertikal der dickste. Die vertikale Dicke des Ringes in dem Bereich, mit welchem der Wafer in Eingriff steht, ist gleich der Höhe des Abschnittes der Stützstifte, die über die Ausnehmung in dem oberen Abschnitt des Suszeptors bei der oben beschriebenen Anordnung hinausragen. Der Wafer ist wirksam thermisch von dem Suszeptor entkuppelt und in geeigneter Weise bezüglich der oberen Fläche der äußeren Leiste des Suszeptors angeordnet. Nur der äußere Umfang der unteren Fläche des Wafers 648 steht mit dem Ring 615 in Eingriff, und der Ring verhindert oder minimiert irgendwelche Markierungen auf der Rückseite eines Wafers. Außerdem gäbe es irgendeinen unbedeutenden Effekt innerhalb der Waferausschlußzone und wäre auf das Kanten- bzw. Randprofil des Wafers beschränkt.
  • Eine Vielzahl von sich radial erstreckenden Durchgängen oder Nuten 617b sind in der oberen Fläche des Abstandshalterringes 615 gebildet. Zweiunddreißig Durchgänge sind in einem Suszeptor für die Aufnahme von 200 mm-Wafern gezeigt. Wie man aus 23A sieht, sind diese Durchgänge am Umfang im Abstand angeordnet und sorgen für Auslässe für das Durchlaufgas, wie in 23B durch die Pfeile gezeigt ist. Der Ringkörper zwischen diesen Durchgängen und um diese herum blockiert die Strömung von Abscheidegas in die Rückseite des Wafers.
  • 23C veranschaulicht eine halbkreisförmige Querschnittsgestalt des Durchganges 615b, es können selbstverständlich aber auch andere Konfigurationen verwendet werden. Die Querschnittsfläche und die Anzahl von Durchgängen werden ausgewählt, um die gewünschte Strömung vorzusehen im Einklang mit Gas, welches durch Durchgänge 632 in einem unteren Suszeptorabschnitt 618 vorgesehen wird, siehe 23B. Die Durchgänge 632 sind in 23A zur Vereinfachung gezeigt, obwohl keine anderen Einzelheiten eines unteren Suszeptorabschnittes gezeigt sind. Wie oben erwähnt, können beliebige, oben beschriebene Durchlaufgasanordnungen bei dem Ringkonzept der 23A–C verwendet werden. Tatsächlich kann der Stützring mit oberen Suszeptorsegmenten verwendet werden, die ausgestaltet sind, um Stützstifte aufzunehmen, sofern die Stiftlöcher nicht bei der Benutzung des Ringes stören und keine erhebliche Wirkung auf das Durchlaufgassystem haben. Ein Benutzer kann also jede Lösung verwenden.
  • Der Ring kann in zweckmäßiger Weise aus demselben Material hergestellt sein wie die Stützstifte oder der Suszeptor.
  • Beim Prüfen des oben beschriebenen Waferstützsystems hat man gelernt, daß gewisse Aspekte des Reaktorsystems beim Erhalt zufriedenstellender Ergebnisse besonders wichtig sind. 18 veranschaulicht eine rechteckige Kammer mit einer flachen oberen Wand 324 und einer flachen unteren Wand 325 in einem Einlaßabschnitt sowie eine flache untere Wand 326, die von der Wand 325 durch eine flache vertikale Wand 327 heruntergestuft ist. Die horizontalen Wände 324, 325 und 326 sind durch flache vertikale Seitenwände 328 und 330 verbunden, um eine Kammer mit einem seichten, rechteckigen Einlaßabschnitt und einem tieferen rechteckigen Abschnitt neben diesem zu erzeugen, in welchem ein Suszeptor 382 und ein Temperaturkompensationsring 362 angeordnet sind.
  • Es ist bevorzugt, daß der den Suszeptor umgebende Ring 362 eine im allgemeinen rechteckige äußere Gestalt hat, wie in 19 gezeigt ist. Weiterhin ist es auch wünschenswert, daß die Reihen 351 und 352 von Strahlungsheizlampen über und unter den oberen und unteren Wänden 324 und 326 der Quarzkammer in 18 eine äußere Form bestimmen, die im wesentlichen rechteckig ist und zu jener des Ringes paßt, so daß das geplante Strahlungswärmemuster oder die Säule in ähnlicher Weise allgemein mit dem Ring fluchtet. D.h. die Wärme wird in erster Linie zu dem Ring und der Suszeptorfläche geführt, statt daß sie zu den Quarzwänden neben dem Ring geführt wird. Diese Heizanordnung ist hochwirksam und fördert eine gleichmäßige Temperatur und Abscheidung über den Ring und den Suszeptor. Im übrigen nimmt man an, daß die Spotlampen 353 unter dem Mittelabschnitt des Suszeptors Teil der unteren Lampenreihe 352 sind.
  • Der Ring wird auf einem geeigneten Quarzständer 356 gehaltert, welcher auf dem Boden der Kammer ruht. Andere Stützanordnungen können verwendet werden, wie zum Beispiel die Verwendung von Leisten oder Fingern, die sich von dem benachbarten Quarzaufbau erstrecken. Diese Konfiguration des Ringes und der Strahlungslampen arbeiten, so fand man, insbesondere gut in einer Kammer mit einem im allgemeinen rechteckigen Querschnitt, die von den flachen oberen und unteren Wänden 324 und 326 und den vertikalen Seitenwänden 328 und 330 gebildet ist.
  • Die Kombination der rechteckigen Kammer und des rechteckigen Ringes vereinfacht die Prozeßgasströmung über den Wafer. Mit dem rechteckigen Ring wird das Prozeßgas, welches durch einen Injektor eingeführt ist, wie zum Beispiel bei 46 in 1, im allgemeinen gleichmäßig über die Breite der Kammer derart entleert, daß das Geschwindigkeitsprofil des Prozeßgases über die Kammer im wesentlichen gleichmäßig sein kann, wie in 19 schematisch durch die Pfeile 331 gezeigt ist. Folglich ist ein Minimum an Trägergas bei dem rechteckigen Ring und dem rechteckigen Kammerquerschnitt erforderlich, weil man nicht die Strömung im Zentrum erhöhen muß. Der reduzierte Trägergasfluß bedeutet eine geringere Kühlwirkung auf den Wafer. Dies ist wichtig für einen Wafer, der im Abstand von dem Suszeptor angeordnet ist, da der im Abstand angeordnete Wafer mehr auf die Kühlgasströmung anspricht als ein Wafer, der direkt auf dem Suszeptor gehaltert ist. Das Volumen an Wasserstoffgas wurde um etwa 75% bei einem Prototypsystem reduziert. Anders ausgedrückt, wurde das Verhältnis des Trägergases zum Abscheidegas von einem Minimum von etwa 15 bis 1 auf ein Minimum von etwa 5 bis 1 verringert.
  • Man fand, daß der im wesentlichen thermisch vom Suszeptor entkoppelte Wafer auf die Ungleichmäßigkeit der Wärmeleistung der Lampenreihen recht empfindlich ist oder auf diese anspricht. Zum Beispiel beeinflussen der Abstand zwischen den Lampen und der Abstand der Lampenreihe von dem Wafer und dem Suszeptor 382 die Gleichmäßigkeit des auf dem Wafer erhaltenen Wär memusters. Bei dem vom Suszeptor 382 im Abstand gehaltenen Wafer fand man es somit wünschenswert, den Abstand zwischen dem Wafer und der oberen Lampenreihe 351 gegenüber dem zu erhöhen, den man bei einem Wafer benutzt hat, der direkt auf dem Suszeptor angeordnet ist. Ebenso fand man es für wünschenswert, den Abstand vom Suszeptor zu der unteren Lampenreihe 352 zu erhöhen. Man fand es aber für wünschenswert, den Raum zwischen dem Wafer und der oberen Lampenreihe 351 mehr zu erhöhen als den Raum zwischen der unteren Lampenreihe 352 und dem Suszeptor.
  • Allen den verschiedenen, beschriebenen Anordnungen gemeinsam wird der Wafer in einem weitgehend von dem Suszeptor thermisch entkoppelten Reaktor gestützt. D.h. der Wafer wird auf Abstandshaltern oder Stiften abgelegt, welche den Wafer um einen wesentlichen Abstand über dem Suszeptor halten. Die Stifte haben minimalen Kontakt mit dem Wafer. Das Durchlaufgas wird mittels des neuen Suszeptoraufbaus so vorgewärmt, daß es eine unwesentliche Wirkung auf die Temperatur des Wafers hat, aber dennoch in wirksamer Weise die Prozeßgase daran hindert, sich auf der Rückseite des Wafers abzuscheiden. Da der Wafer von dem Suszeptor im wesentlichen entkuppelt ist, kann sich der Wafer schneller erwärmen im Vergleich zu einem System, bei welchem der Wafer mit dem Suszeptor in Kontakt ist.
  • Die Lampenreihen 351 und 352 werden durch ein geeignetes elektronisches Steuergerät gesteuert, welches in 18 schematisch bei 390 gezeigt ist. Das Steuergerät schließt eine Übertragungskomponente ein, welche Signale von den Temperatursensoren in dem den Suszeptor umgebenden Ring und von dem Sensor aufnimmt, der in der Mitte der unteren Seite des Suszeptors angeordnet ist. Diese Temperatursignale werden zu einer Erwärmungssteuerschaltung übertragen. Außerdem wird eine Temperatursteuerinformation, wie zum Beispiel verschiedene für eine besondere Abscheidung erwünschte Temperatureinstellungen in die Wärmesteuerschaltung eingegeben. Diese Information wird dann von der Steuerschaltung verarbeitet, welche Steuersignale erzeugt, die die Leistung zu den Heizanordnungen steuern. Weitere Einzelheiten eines solchen Systems sind in der US-Patentschrift 4,836,138 beschrieben, die hier unter Bezugnahme ausdrücklich aufgenommen ist.
  • In diesem früheren System werden einige Lampen von der oberen und unteren Lampenreihe zusammen wie eine Zone gesteuert, die als Einheit eingestellt wird. D.h. das Leistungsverhältnis wurde so festgelegt, daß wenn die Leistung zu einer Lampe in der oberen Reihe erhöht wurde, eine entsprechende Leistungserhöhung zu einer Lampe jener besonderen Zone ebenso in der unteren Reihe vorgesehen wurde. Das Verhältnis wird in vorteilhafter Weise dadurch festgelegt, daß man das Temperatursteuersignal für eine gegebene Lampenreihe durch ein voreingestelltes Verhältnis-Potentiometer anlegt, welches das Steuersignal modifiziert, bevor es an der Lampenreihe angelegt wird. Die Steuersignale der anderen Lampenreihen werden in vorteilhafter Weise unter Verwendung einer ähnlichen Schaltung für die Verhältnissteuerung modifiziert, dort durch Schaffung eines voreingestellten Leistungsverhältnisses zwischen den Lampenreihen innerhalb einer Zone. Auf diese Weise können die verschiedenen Zonen unabhängig eingestellt werden. Eine in der Patentschrift 4,838,138 beschriebene Veränderung des Systems erfolgte infolge des Aufbaus des Wafers auf Abstandshaltern. Eine analoge Verhältnissteuerung wurde der Schaltung zugeführt, um es dem Leistungsverhältnis der Lampenreihe zwischen den oberen und unteren Lampen einer speziellen Heizzone zu ermöglichen, während des Prozesses an verschiedenen Stellen eingestellt zu werden, und zwar als Folge der thermischen Entkupplung des Wafers von dem Suszeptor. In vorteilhafter Weise wird dies in dem aktuellen System dadurch erreicht, daß man ein dynamisch steuerbares Verhältnis-Potentiometer in Reihe mit dem voreingestellten Verhältnis-Potentiometer für die Lampen in der oberen Reihe in einer Zone hinzufügt. Somit kann das Steuersignal für die obere Lampenreihe in der Zone unter Verwendung des dynamisch steuerbaren Potentiometers verändert werden. Weil die gesamte auf die Lampen in dieser Zone aufgebrachte Leistung etwa dieselbe bleibt, wenn die Leistung zu den oberen Lampenreihen in der Zone geändert wird, wird die Leistung zu den entsprechenden Lampen 352 in der unteren Reihe in der entgegengesetzten Richtung geändert. Somit wird das Leistungsverhältnis zwischen den zwei geändert. Dadurch ist es möglich, die Temperatur des Suszeptors und des Wafers eng zusammenzuhalten, obgleich sie körperlich im Abstand angeordnet sind.
  • Bezieht man sich spezieller auf das in der US-Patentschrift 4,836,138 beschriebene Heizsystem, dann bilden die Lampen 48B und 48C der 6 einen mittleren Heizabschnitt einer oberen Lampenreihe, und die Lampen 78B und 78C bilden einen mittleren Abschnitt einer unteren Reihe. Das Leistungsverhältnis zwischen den oberen und unteren Reihen wurde unter Verwendung der analogen Verhältnissteuerung dadurch geändert, daß die Leistung, welche auf die oberen Reihen Lampen 48B und 48C aufgebracht ist, geändert wurde, während die gesamte auf die Lampen 78B, 78C, 48B und 48C angelegte Leistung etwa dieselbe bleibt. Dies führt zu einer Leistungsveränderung zu der unteren Reihe in der entgegengesetzten Richtung.
  • Ein Beispiel der Verwendung der analogen Verhältnissteuerung ist in der Kurve der 20 dargestellt. Die durchgezogene Linie zeigt eine Zeit-Temperatur Rezeptur für die Behandlung eines Halbleiterwafers. Die ausgezogene Linie veranschaulicht einen Wafer, der in einen Reaktor eingeladen ist, bei dem die Lampen eingestellt sind, um eine Starttemperatur von 900°C vorzusehen. Die Temperatur wird etwa 30 Sekunden lang auf diesem Niveau gehalten. Zusätzliche Wärme wird dann angelegt, indem die Temperatur linear hochgefahren wird bis etwa 1150°C in etwa 70 Sekunden. Der Wafer wird dann etwa 1 Minute lang einem Brenn- oder Ätzschritt unterworfen. Dann erlaubt man eine Abnahme der Temperatur auf eine Abscheidetemperatur von etwa 1050°C, wobei die Kühlung in etwa 30 Sekunden erfolgt. Etwa 70 Sekunden lang wird die Temperatur bei 1050°C in einer Vorabscheidephase gehalten, gefolgt von etwa 70 Sekunden, während denen die Abscheidung auf dem Wafer erfolgt. Dann erlaubt man dem Wafer, sich in einer ähnlichen Zeitspanne auf etwa 900°C abzukühlen. Der Zyklus ist dann vollendet, und der Wafer wird auf dem Niveau von 900°C herausgenommen.
  • Wie oben erläutert, hielt man das Wärmeverhältnis zwischen einer oberen Lampenreihe und einer unteren Lampenreihe auf einem vorbestimmten Verhältnis, wenn der in Behandlung befindliche Wafer direkt auf dem Suszeptor gehaltert wird. Dieses Verfahren ist zufriedenstellend, wenn der Wafer auf dem Suszeptor angeordnet ist, sofern die Temperatur zwischen dem Suszeptor und dem Wafer über den Zyklus hinweg weitgehend dieselbe ist. Wenn jedoch der Wafer über dem Suszeptor im Abstand gehalten ist, ist es wünschenswert, die Verhältnisse zwischen den oberen und unteren Heizreihen in dem Mittelabschnitt des Wafers während des Zyklus zu verändern. Die gestrichelte Linie in 20 zeigt ein Beispiel der analogen Verhältnissteuerung. Die prozentuale Veränderung des Verhältnisses ist auf der rechten Skala der Kurve der 20 gezeigt. Zu Beginn des Zyklus ist das Verhältnis auf einer null Prozentvariante gezeigt mit der Bedeutung, daß die Lampen sich in einem sogenannten Beharrungszustand (stationär) befinden oder auf der Position eines festen Verhältnisses. Dies bedeutet nicht, daß die Leistung zwischen den oberen und unteren Reihen notwendigerweise dieselbe ist. Bei einem Beispiel eines Betriebssystems haben die oberen Lampen etwa 48% der Leistung und die unteren Lampen etwa 52% aufgenommen. Bei einem in direktem Kontakt mit einem Suszeptor gehalterten Wafer würde das Leistungsverhältnis einfach auf der Nullinie oder stationären Linie bleiben. Das ist jedoch nicht bei einem Wafer zufriedenstellend, der von dem Suszeptor im Abstand angeordnet ist.
  • Es ist wünschenswert, während des Heizzyklus die Temperatur zwischen dem Wafer und dem Suszeptor näherungsweise gleich zu halten. Da der Wafer im Abstand über dem Suszeptor angeordnet ist und weniger Masse hat als der Suszeptor, heizt er sich schneller auf als der Suszeptor. Somit ist während der Phase des Zyklus, in welcher die Temperatur von 900°C auf 1150°C hochgefahren wird, der Prozentsatz an von dem Wafer erforderlichen Wärme reduziert. Somit zeigt die gestrichelte Linie der Kurve, daß der Prozentsatz an auf die oberen Lampen aufgebrachter Leistung auf ein Verhältnis von etwa 20% unter dem stationären Zustand oder dem mit null Änderung verringert ist. Wie oben erwähnt, ist die gesamte an die Lampen angelegte Leistung etwa dieselbe, wie sie wäre, wenn das Verhältnis nicht geändert wäre, und folglich führt dies zu einer Erhöhung des Prozentsatzes der Leistung, die auf die unteren Lampen aufgebracht wird. Bei diesem geänderten Verhältnis bleibt die Temperatur des Wafers und des Suszeptors im wesentlichen dieselbe, wenn die Temperatur auf ein Niveau von 1150°C hochgefahren wird. Während die Temperatur für die Brenn- oder Ätzphase auf diesem Niveau gehalten wird, wird die veränderliche Verhältnissteuerung auf das Nullverhältnis oder stationäre Verhältnis zurückgefahren, wie in der Kurve gezeigt ist.
  • Wenn es dann erwünscht ist, den Wafer von 1150° auf 1050°C zu kühlen, wird die Leistung reduziert; aber eine gewisse Leistung dauert fort, um die Kühlung zu steuern. Da der von dem Suszeptor im Abstand angeordnete Wafer schneller abkühlt als der Suszeptor, wird das Verhältnis zwischen den oberen und unteren Lampen dadurch verändert, daß die Leistung zu den oberen Lampen um einen kleineren Prozentsatz reduziert wird als zu den unteren Lampen, um den Wafer auf der Suszeptortemperatur zu halten. Wie an der gestrichelten Linie gezeigt ist, wird der Leistungsprozentsatz zu der oberen Lampe erhöht, so daß das Verhältnis um etwa 20% zu den oberen Lampen erhöht wird. Während der Wafer auf diesem Niveau von 1050°C gehalten wird, wird das Leistungsverhältnis auf den stationären Zustand zurückgeführt, so daß zu der Zeit, wenn die Vorabscheidungsphase vorüber ist und die Abscheidungsphase beginnt, das Leistungsverhältnis auf dem sogenannten stationären Zustand ist. Nach der Abscheidung ist es erwünscht, dem Wafer zu erlauben, sich auf das 800°C Niveau abzukühlen; und folglich wird wieder das Verhältnis dadurch geändert, daß man den Prozentsatz der Leistung zu den oberen Lampen um etwa 20% erhöht. Wenn das Niveau von 800°C erreicht ist, wird der Leistungsprozentsatz bezüglich der oberen Lampe verkleinert, wobei dem Verhältnis die Möglichkeit gegeben wird, in seinen stationären Zustand zurückzukehren. Man sollte berücksichtigen, daß die aufgebrachte Gesamtleistung etwa dieselbe ist, und es nur das Leistungsverhältnis zwischen den oberen und unteren Reihen ist, welches geändert wird. Die aktuellen Prozentsatzveränderungen müssen selbstverständlich für die in Behandlung befindlichen besonderen Wafer und die besonderen Temperaturen und beteiligten Prozesse bestimmt werden. Das Merkmal mit der analogen Verhältnissteuerung verwendet Multiplier-Schaltungen, um das Leistungssignal zu den oberen Lampen um den geeigneten Bruchteil zum Erhalt des gewünschten Ergebnisses zu modifizieren.
  • Die 24 und 25 veranschaulichen eine Anordnung ähnlich jener in den 23A, B und C, sie weist aber einen Abstandshalterring 715 auf, der eine gegenüber dem Abstandshalterring 615 unterschiedliche Konfiguration hat. Statt daß der Ring 715 ein flacher Ring ist mit einer Vielzahl von am Umfang im Abstand angeordneten Nuten 615b in seiner oberen Fläche, weist der Ring 715 einen mittigen Hauptkörperabschnitt 715b auf, der einen im Allgemeinen flachen, rechteckigen Querschnitt hat, wie man am besten in 25B sieht. Eine Vielzahl von Böden, Lippen oder Vorsprüngen 715a, erstreckt sich von dem Hauptkörperabschnitt 715b nach oben, um Abstandshalter für das Substrat zu bilden. Bei der in 24 gezeigten Anordnung sind sechs solcher Böden vorgesehen, die unter einem Winkel α von etwa 60° am Umfang im Abstand angeordnet sind. Wie man aus 25A sieht, erstrecken sich die Böden über die vollständige radiale Dicke des Ringes, aber die obere Fläche des Bodens 25b ist von einem radial äußeren Rand zu einem unteren, radial inneren Rand des Ringes etwas geneigt. Diese Anordnung macht die Berührung zwischen dem Substrat 648 minimal auf eine sehr kleine Kontaktlinie an den sechs Bodenstellen. Wie man ferner aus 25 sehen kann, ist die Umfangsbreite des Bodens sehr klein, beträgt vorzugsweise nur etwa 0,762 mm (0,030 Zoll). Die Neigung der oberen Fläche des Bodens beträgt nur etwa 2° gegenüber der Horizontalen. Vorsprünge oder Überhänge mit anderen Konfigurationen können anstelle der Böden verwendet werden.
  • Der Ring 715 ist ferner mit einer Vielzahl von Füßen 715c versehen, die in Intervallen am Umfang im Abstand von dem Hauptkörperabschnitt 715b abhängen. Spezieller kann man aus 24 sehen, daß ein paar solcher Schenkel einen Boden 715a überspannen und von dem Boden unter einem Umfangswinkel β von etwa 10° im Abstand angeordnet sind. Hierdurch wird eine Gesamtheit von 12 Füßen erzeugt, zwei neben jeder Seite jedes Bodens 715a. Wie man aus den 25A, 25B und 25C sieht, erstrecken sich die Füße über die volle Breite des Hauptringkörpers 715a mit der Ausnahme, daß die äußeren unteren Ecken der Füße 715c abgeschreckt sind.
  • Abstandshalter, welche einen Wafer über einem Suszeptor haltern, haben einen geringeren Widerstand gegenüber dem Wärmetransport als das Gas zwischen dem Wafer und dem Suszeptor. Deshalb können unerwünschte Wärmegradienten innerhalb des Wafers nahe der Kontaktfläche erzeugt werden. Dies ist am bedeutendsten bei größeren Wärmegradienten, die während eines schnellen Hochfahrens der Wärme des Systems auftreten können. Ein Vorteil, einen Boden 715a am Umfang im Abstand von einem Fuß 715c zu haben, besteht darin, daß der Wärmepfad zwischen dem Suszeptor und dem Wafer viel länger ist als bei einem Abstandshalter, der sich direkt zwischen den zwei Bestandteilen erstreckt. Oder anders ausgedrückt, ist der Wärmepfad von dem Boden eines Fußes zu der Oberseite eines benachbarten Bodens viel größer als die Höhe des Ringes einschließlich Fuß und Boden. Hierdurch wird ein schnelles Erwärmen eines Systems ermöglicht, welches selbstverständlich die Produktivität verbessert.
  • Bei der in den 24 und 25 veranschaulichten Anordnung kann der Rest des Suszeptors unter Verwendung irgendeiner der Suszeptorkonfigurationen der 2 bis 17 mit oder ohne Abstandsstifte gebildet sein. Das heißt, wenn der Abstandshalterring mit einer Höhe gleich der der Abstandsstifte versehen ist, brauchen die Abstandsstifte nicht verwendet zu werden. Alternativ kann die Gesamthöhe des Blockers oder Abstandsringes etwas kleiner sein als die der Abstandsstifte, so daß das Substrat von den Abstandsstiften gehaltert wird.
  • Verschiedene Maße des Abstandsringes 715 können verwendet werden. Zum Beispiel beträgt die Höhe A des Bodens 715a bei einer Prototypversion für einen 203,2-(8 Zoll) Wafer etwa 0,559 mm (0,022 Zoll), wobei der Mittelkörperabschnitt etwa 0,889 mm (0,035 Zoll) beträgt und die Füße für eine Gesamtheit von etwa 1,956 mm (0,077 Zoll) etwa 0,508 mm (0,020 Zoll) betragen. Die Dicke B des Mittelkörperabschnittes 715b kann erhöht werden, um die Fläche der Durchgänge zwischen den Böden und zwischen den Füßen zu verkleinern. Bei einer anderen Konfiguration beträgt der Hauptkörperabschnitt 715b etwa 1,143 mm (0,045 Zoll), wobei der Vorsprung 715a etwa 0,432 mm (0,017 Zoll) beträgt und der Fuß etwa 0,381 mm (0,015 Zoll) beträgt. Es sei bemerkt, daß, weil der Durchmesser des Substrats etwas kleiner ist als der Außendurchmesser des Ringes, die Höhe des Bodens 715a in dem Bereich, der von dem Umfang des Substrats berührt ist, etwa dieselbe wie die Höhe des Fußes ist. Bei einer anderen Konfiguration beträgt der Mittelkörperabschnitt 715b etwa 1,397 mm (0,055 Zoll), wobei der obere und untere Abschnitt jeweils etwa 0,254 mm (0,010 Zoll) beträgt. Bei noch einer vierten Konfiguration beträgt der Mittelkörperabschnitt etwa 1,651 mm (0,065 Zoll), wobei die oberen und unteren Vorsprünge nur etwa 0,127 mm (0,005 Zoll) betragen. Somit kann man sehen, daß durch Verändern der Maße des Ringes die Querschnittsfläche der Durchgänge zwischen dem Ring und dem Substrat und dem Ring und dem Suszeptor entsprechend variiert wird.
  • Die 26 und 27 zeigen eine andere Konfiguration eines Abstandshalters oder Blockerringes 815. Wie man aus den 26, 27, 27A und 27B sieht, weist der Ring einen Hauptkörperabschnitt 815b mit einem im allgemeinen rechteckigen Querschnitt auf und schließt eine sich nach oben erstreckende, kontinuierliche Ringrippe 815a ein, die etwa auf halbem Weg zwischen dem inneren und äußeren Durchmesser des Ringes angeordnet ist. Der Ring ist ferner mit einer Vielzahl von im Umfang im Abstand angeordneten Füßen 815c versehen, die von dem Hauptkörperabschnitt 815b abhängen. Diese Füße sind annähernd dieselben wie die Füße 715c, die in 25 veranschaulicht sind. D.h., bei der dargestellten Anordnung ist ein Paar von Füßen 815c voneinander unter einem Winkel Θ von etwa 20° im Abstand angeordnet. Ferner gibt es sechs Paare sol cher Füße, die am Umfang etwa um 60° im Abstand angeordnet sind, wodurch eine Gesamtheit von 12 Füßen erzeugt wird.
  • Der Ring 815 wird vorzugsweise als Blockerring verwendet, bei welchem die Gesamthöhe des Ringes kleiner ist als die der Stützstifte oder Abstandshalter, die oben diskutiert wurden, so daß das Substrat auf den Abstandsstiften unterstützt wird, statt auf dem Blockerring. In diesem Sinne dient der Ring 815 nur dazu, die Einwärtsströmung des Abscheidegases zu blockieren und ferner die Tätigkeit des Durchlauf- oder Spülgases dadurch zu verbessern, daß ein dünner Ringdurchgang oder Schlitz von nur etwa 0,254 mm (0,010 Zoll) zwischen dem oberen Rand der Rippe 815a vorgesehen wird. Umfangsmäßig im Abstand angeordnete, vertikal kurze Durchgänge zwischen den Füßen 815c sind auch vorgesehen. Bei einer bevorzugten Anordnung beträgt die Höhe A1 der Rippe 815a etwa 0,635 mm (0,025 Zoll), die Hauptkörperhöhe B1 beträgt etwa 0,762 mm (0,030 Zoll), und die Höhe C1 des Fußes beträgt etwa 0,254 mm (0,010 Zoll) für eine Gesamtheit von etwa 1,651 mm (0,065 Zoll). Bei der Benutzung mit Abstandsstiften, welche einen Spalt von 1,905 mm (0,075 Zoll) erzeugen, wurde hierdurch der 0,254 mm-(0,010 Zoll) Durchgang zwischen der Rippe und dem Substrat erzeugt.
  • Das Radialmaß oder die Breite der ringförmigen Rippe 815a beträgt vorzugsweise etwa 0,635 mm (0,025 Zoll); und wie man in 27B sieht, hat sie einen im allgemeinen flachen Mittelabschnitt mit gerundeten Schultern.
  • Um ferner den Spalt zwischen dem Substrat und dem Suszeptor zu blockieren, können die Blockerringfüße 815c eliminiert werden, wobei ein in 27C gezeigter Querschnitt erzeugt wird, wobei der Hauptkörperabschnitt etwa 1,016 mm (0,040 Zoll) beträgt.
  • 28 zeigt einen Blockerring 915 mit einem Querschnitt ähnlich dem Ring 815, mit der Ausnahme, daß eine Ringrippe 915a neben dem inneren Durchmesser des Ringes angeordnet ist, so daß der Ringquerschnitt in etwa eine L-Form erhält, wobei das Radialmaß des Ringes den langen Schenkel der L-Form darstellt und die sich nach oben erstreckende Rippe den kürzeren Schenkel darstellt.
  • Ein Vorteil der in den 26, 27 und 28 veranschaulichten Anordnung besteht darin, daß bei der Beabstandung der Rippen 815a und 915a von dem Substrat der Ring im wesentlichen von dem Suszeptor thermisch entkuppelt ist, so daß es in dem Bereich des Suszeptors über dem Ring keine merklichen Temperaturdiskontinuitäten gibt, welche einen Fehler erzeugen könnten. Da gleichzeitig ein wesentlicher Abschnitt des Spaltes von dem Ring blockiert wird, ist auch Abscheidegas gegen ein Eintreten in den Bereich unter dem Substrat blockiert. Damit hängt die Tatsache zusammen, daß die Geschwindigkeit des Durchlaufgases erhöht wird, wenn es den Ring passiert, wodurch ferner die Strömung von Abscheidegas unter das Substrat gehemmt wird. Die Eingangsgasströmung in den Spalt aus den Durchgängen durch die Stützspinne kann gesteuert werden, um die gewünschte Strömung zu erzeugen, und in dem Spalt zwischen dem Suszeptor und dem Substrat wird ein Druck gehalten, der größer ist als der Druck über dem Substrat. Diese Druckdifferenz hält selbstverständlich die Strömung des Spülgases oder Durchlaufgases und verhindert die Strömung von Abscheidegas auf die Rückseite des Substrats. Die Verwendung eines Blockerringes, der zum Beispiel in den 2328 gezeigt ist, sorgt für einen guten Rückseitenschutz für den Läufer mit kleinerer Gasströmung als ohne den Ring. Eine Gasströmung mit verschiedenen niedrigen Strömungsgeschwindigkeiten stellte gute Ergebnisse zur Verfügung.
  • Während nach der Beschreibung einige der Abstandsringe Füße oder Schenkel haben, die von einem Hauptkörperabschnitt nach unten vorspringen, könnte der Suszeptor in diesen Bereichen mit Lippen oder Erhebungen versehen sein, um Durchgänge mit dem Ring, der flach ist oder Füße hat, zu erzeugen. Zwar ist es am praktischsten, einen Abstandsring oder Abstandsschenkel separat von dem Suszeptor gebildet zu haben, es könnten in ähnlicher Weise aber ähnliche Aufbauten einstückig mit dem Suszeptor gebildet sein.
  • Zwar sind die vollständig ringförmigen Blocker, die oben diskutiert wurden, die derzeit bevorzugte Gestalt, aber auch ein Blocker, der sich nicht zu einer geschlossenen 360°-Form erstreckt, würde benutzt. In ähnlicher Weise könnte ein Ring aus zwei oder mehr separaten Stücken hergestellt sein, die im wesentlichen einen Ring bilden könnten, was nützlich wäre. Zusätzlich könnte auch ein nicht vollständig kreisförmiger Blocker benutzt werden. Andere derartige Veränderungen sind auch eingeschlossen und liegen im Rahmen der anliegenden Ansprüche.
  • Obwohl diese Erfindung anhand verschiedener bevorzugter Ausführungsformen beschrieben wurde, liegen auch andere Ausführungen im Rahmen dieser Erfindung. Obwohl zum Beispiel einige der dargestellten Ausführungsbeispiele für spezielle Wafergrößen beschrieben werden, können dieselben Merkmale auch passend zu größeren Wafern benutzt werden. Tatsächlich versucht man derzeit, Wafer von 300 mm oder größer, herkömmliche 200 mm-Wafer oder Wafer mit kleinerer Größe zu ergänzen. Bei größeren Wafern kann es wünschenswert sein, zusätzliche Abstandshalter in einem Ring zu verwenden, die radial einwärts von den drei in 18 gezeigten Abstandshaltern 100 im Abstand angeordnet und am Umfang versetzt sind, um zwischen den Abstandshaltern der 18 zu sein.

Claims (8)

  1. Verfahren zum Aufrechterhalten einer gleichmäßigen Temperatur an einem Halbleiterwafer (44) während einer Hochtemperaturbearbeitung des Wafers mit: Positionieren des Wafers auf einem oder mehreren Abstandshaltern (100), die sich von einem Suszeptor (42) nach oben erstrecken, so daß der Wafer von dem Suszeptor im wesentlichen thermisch entkoppelt ist; Erwärmen des Wafers und Suszeptors mit einer oberen Wärmequelle (351), die über dem Wafer im Abstand angeordnet ist, und einer unteren Wärmequelle (352), die unter dem Suszeptor im Abstand angeordnet ist; Aufrechterhalten eines relativ konstanten Anteiles an Wärme, der von der oberen und unteren Wärmequelle zur Verfügung gestellt wird, wenn der Wafer und der Suszeptor sich beide auf einer gewünschten Temperatur befinden; und bei schneller Veränderung der Temperatur des Wafers und des Suszeptors Verändern des Anteils, um den Wafer und den Suszeptor auf im wesentlichen derselben Temperatur zu halten, wenn sich ihre Temperaturen ändern.
  2. Verfahren nach Anspruch 1, wobei die Anteilsveränderung das Reduzieren des Prozentsatzes an Wärme aufweist, die von der oberen Quelle zur Verfügung gestellt wird, wenn die Temperaturen des Wafers und des Suszeptors schnell erhöht werden.
  3. Verfahren nach Anspruch 2, wobei die Anteilsveränderung die Erhöhung des Prozentsatzes der Wärme einschließt, die von der oberen Wärmequelle zur Verfügung gestellt wird, wenn man die Möglichkeit schafft, daß die Temperatur des Wafers und des Suszeptors abnimmt, während weiterhin einige Wärme zu dem Wafer und dem Suszeptor vorgesehen wird, um Gleichmäßigkeit zu halten.
  4. Vorrichtung zum Bearbeiten eines Substrats mit: einem Suszeptor (42), der einen oder mehrere Abstandshalter (199) hat, die sich zum Abstützen eines Substrats (44) nach oben erstrecken; einer oberen Wärmequelle (351), die über dem Suszeptor im Abstand angeordnet ist; einer unteren Wärmequelle (352), die unter dem Suszeptor im Abstand angeordnet ist; und einem Steuergerät (390), welches Energie zu den Wärmequellen hin bei einem ausgewählten Anteil zwischen den Quellen vorsieht, wobei das Steuergerät eine erste Untereinrichtung einschließt, die konfiguriert ist, um den Anteil während eines Hochtemperaturbearbeitungszyklus eines Substrats auf einem im wesentlichen konstanten Wert zu halten, um dadurch den Anteil an Wärme, die während des Zyklus von den Wärmequellen auf einem im wesentlichen konstanten Wert vorgesehen wird, aufrechtzuerhalten, und wobei das Steuergerät ein zweites Untergerät einschließt, welches konfiguriert ist, um während des Bearbeitungszyklus den Anteil zu verändern und dadurch den Anteil an Wärme zu verändern, der während des Zyklus von den Wärmequellen vorgesehen wird.
  5. Vorrichtung nach Anspruch 4, wobei die Wärmequellen Strahlungswärmelampen sind und eine oder mehrere obere Lampen sowie eine oder mehrere untere Lampen wie eine Einheit von dem Steuergerät steuerbar sind.
  6. Vorrichtung nach Anspruch 1, wobei das Steuergerät konfiguriert ist, um den Leistungsausgang im wesentlichen konstant aufrechtzuerhalten, während der Anteil zwischen den Wärmequellen verändert wird.
  7. Vorrichtung nach Anspruch 4, wobei die erste Untereinrichtung ein voreingestellten Anteilspotentiometer einschließt.
  8. Vorrichtung nach Anspruch 7, wobei die zweite Untereinrichtung ein dynamisch steuerbares Anteilspotentiometer in Reihe mit dem voreingestellten Anteilspotentiometer einschließt.
DE69835105T 1997-01-23 1998-01-23 System zur Temperaturreglung eines Wafers Expired - Fee Related DE69835105T2 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US788817 1985-10-18
US78881797A 1997-01-23 1997-01-23
US3985097P 1997-03-05 1997-03-05
US39850P 1997-03-05
US08/923,241 US6113702A (en) 1995-09-01 1997-09-04 Wafer support system
US923241 1997-09-04

Publications (2)

Publication Number Publication Date
DE69835105D1 DE69835105D1 (de) 2006-08-10
DE69835105T2 true DE69835105T2 (de) 2006-12-07

Family

ID=27365616

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69806578T Expired - Fee Related DE69806578T2 (de) 1997-01-23 1998-01-23 Waferhaltevorrichtung
DE69835105T Expired - Fee Related DE69835105T2 (de) 1997-01-23 1998-01-23 System zur Temperaturreglung eines Wafers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69806578T Expired - Fee Related DE69806578T2 (de) 1997-01-23 1998-01-23 Waferhaltevorrichtung

Country Status (7)

Country Link
US (7) US6113702A (de)
EP (2) EP0963459B1 (de)
JP (1) JP4114016B2 (de)
KR (2) KR100539343B1 (de)
AU (1) AU6040498A (de)
DE (2) DE69806578T2 (de)
WO (1) WO1998032893A2 (de)

Families Citing this family (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
EP0873575B1 (de) * 1996-11-01 2003-02-26 Theva Dünnschichttechnik GmbH Vorrichtung zur herstellung oxidischer dünnschichten
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
DE19901291C2 (de) * 1999-01-15 2002-04-18 Sez Semiconduct Equip Zubehoer Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes
US6524389B1 (en) * 1999-05-24 2003-02-25 Tokyo Electron Limited Substrate processing apparatus
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
EP1174910A3 (de) * 2000-07-20 2010-01-06 Applied Materials, Inc. Verfahren und Vorrichtung zum Lösen eines Substrats von einer Halteplatte
JP4526683B2 (ja) * 2000-10-31 2010-08-18 株式会社山形信越石英 石英ガラス製ウェーハ支持治具及びその製造方法
JP2002158178A (ja) * 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
SE0004296D0 (sv) * 2000-11-23 2000-11-23 Gyros Ab Device and method for the controlled heating in micro channel systems
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
TWI307912B (en) 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US6919058B2 (en) * 2001-08-28 2005-07-19 Gyros Ab Retaining microfluidic microcavity and other microfluidic structures
US20050000449A1 (en) * 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
DE10211312A1 (de) * 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
DE10243022A1 (de) * 2002-09-17 2004-03-25 Degussa Ag Abscheidung eines Feststoffs durch thermische Zersetzung einer gasförmigen Substanz in einem Becherreaktor
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
DE10323085A1 (de) * 2003-05-22 2004-12-09 Aixtron Ag CVD-Beschichtungsvorrichtung
JP4033809B2 (ja) * 2003-06-16 2008-01-16 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7582166B2 (en) * 2003-08-01 2009-09-01 Sgl Carbon, Llc Holder for supporting wafers during semiconductor manufacture
KR20060060735A (ko) * 2003-10-01 2006-06-05 신에츠 한도타이 가부시키가이샤 실리콘 에피택셜 웨이퍼의 제조방법 및 실리콘 에피택셜웨이퍼
US7323058B2 (en) 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
WO2005036615A2 (en) * 2003-10-06 2005-04-21 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
US7169234B2 (en) * 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
EP1719167B1 (de) * 2004-02-13 2011-10-26 ASM America, Inc. Substrathaltesystem zur reduzierten autodotierung und rückseiten-ablagerung
US20070000527A1 (en) * 2005-06-30 2007-01-04 Aegerter Brian K Workpiece support for use in a process vessel and system for treating microelectronic workpieces
EP1731966A4 (de) * 2004-03-30 2008-01-16 Pioneer Corp Belichtungsgeräte
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
US20060156981A1 (en) * 2005-01-18 2006-07-20 Kyle Fondurulia Wafer support pin assembly
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7787411B2 (en) * 2005-05-10 2010-08-31 Microsoft Corporation Gaming console wireless protocol for peripheral devices
US7262390B2 (en) * 2005-05-23 2007-08-28 Chung Shan Institute Of Science And Technology, Armaments Bureau, M.N.D. Apparatus and adjusting technology for uniform thermal processing
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7418921B2 (en) * 2005-08-12 2008-09-02 Asm Japan K.K. Plasma CVD apparatus for forming uniform film
US8628622B2 (en) * 2005-09-12 2014-01-14 Cree, Inc. Gas driven rotation apparatus and method for forming crystalline layers
DE102005045338B4 (de) * 2005-09-22 2009-04-02 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
EP1772901B1 (de) * 2005-10-07 2012-07-25 Rohm and Haas Electronic Materials, L.L.C. Waferhalter und Verfahren zur Halbleiterverarbeitung
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
JP5065660B2 (ja) * 2005-12-02 2012-11-07 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 半導体処理
JP4629574B2 (ja) 2005-12-27 2011-02-09 日本発條株式会社 基板支持装置と、その製造方法
US7740518B2 (en) * 2006-03-06 2010-06-22 Michael Elliott Jousting toy
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR101359402B1 (ko) * 2006-10-30 2014-02-07 주성엔지니어링(주) 기판 가장자리 식각 장치
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8491752B2 (en) * 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
KR100824301B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8034410B2 (en) * 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5260023B2 (ja) * 2007-10-19 2013-08-14 三菱重工業株式会社 プラズマ成膜装置
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8107800B2 (en) * 2008-01-08 2012-01-31 International Business Machines Corporation Method and structure to control thermal gradients in semiconductor wafers during rapid thermal processing
US20090181553A1 (en) 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US8053036B2 (en) * 2008-06-02 2011-11-08 Asm Japan K.K. Method for designing shower plate for plasma CVD apparatus
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US20100107974A1 (en) * 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010129709A (ja) * 2008-11-27 2010-06-10 Kyocera Corp 試料支持具および加熱装置
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
WO2010093568A2 (en) 2009-02-11 2010-08-19 Applied Materials, Inc. Non-contact substrate processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102498558B (zh) 2009-08-07 2016-03-30 应用材料公司 将基板放置在处理腔室中的设备和让处理腔室中的基板朝向中心的方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110052159A1 (en) * 2009-09-03 2011-03-03 Chiung-Chieh Su Apparatus for uniform thermal processing
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110127252A1 (en) * 2009-11-27 2011-06-02 Tangteck Equipment Inc. Heating device having a function of dynamical temperature-control
EP2539920A1 (de) * 2010-02-24 2013-01-02 Veeco Instruments Inc. Verarbeitungsverfahren und vorrichtung mit temperaturverteilungssteuerung
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) * 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
US8591700B2 (en) * 2010-08-19 2013-11-26 Stmicroelectronics Pte Ltd. Susceptor support system
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
JP5384549B2 (ja) * 2011-03-28 2014-01-08 株式会社小松製作所 加熱装置
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
DE112011105215A5 (de) * 2011-05-06 2014-05-15 Osram Opto Semiconductors Gmbh Bauelementträgerverbund mit einer bauelementträgerbereiche abtrennenden Grabenstruktur und Verfahren zur Herstellung einer Mehrzahl von Bauelementträgerbereichen
JP5712782B2 (ja) * 2011-05-13 2015-05-07 株式会社Sumco エピタキシャルウェーハ成長装置用サセプタサポートシャフトおよびエピタキシャル成長装置
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013004593A (ja) * 2011-06-14 2013-01-07 Sharp Corp 基板支持装置及び気相成長装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103035559B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 弹性固定轮及包含其的晶圆适配器
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
DE102012205616B4 (de) 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9159597B2 (en) 2012-05-15 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Real-time calibration for wafer processing chamber lamp modules
KR101482424B1 (ko) * 2012-07-24 2015-01-15 오씨아이 주식회사 실리콘 웨이퍼 재결정 장치 및 실리콘 웨이퍼 재결정 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589818B2 (en) * 2012-12-20 2017-03-07 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6047854B2 (ja) * 2013-01-16 2016-12-21 信越半導体株式会社 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
WO2014157321A1 (ja) * 2013-03-28 2014-10-02 芝浦メカトロニクス株式会社 載置台及びプラズマ処理装置
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
DE102013012082A1 (de) * 2013-07-22 2015-01-22 Aixtron Se Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102173114B1 (ko) * 2013-10-04 2020-11-03 엘지이노텍 주식회사 서셉터
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US10032601B2 (en) * 2014-02-21 2018-07-24 Varian Semiconductor Equipment Associates, Inc. Platen support structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9517539B2 (en) 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6752797B2 (ja) 2015-01-12 2020-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板裏側の変色制御のための支持組立体
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131190A1 (en) 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
DE102015113956B4 (de) * 2015-08-24 2024-03-07 Meyer Burger (Germany) Gmbh Substratträger
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180122023A (ko) * 2016-03-28 2018-11-09 어플라이드 머티어리얼스, 인코포레이티드 서셉터 지지부
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6635871B2 (ja) * 2016-05-11 2020-01-29 東京エレクトロン株式会社 成膜装置
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6736404B2 (ja) * 2016-07-26 2020-08-05 株式会社ディスコ 研削装置
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP6740084B2 (ja) * 2016-10-25 2020-08-12 株式会社ニューフレアテクノロジー 気相成長装置、環状ホルダ、及び、気相成長方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10829866B2 (en) * 2017-04-03 2020-11-10 Infineon Technologies Americas Corp. Wafer carrier and method
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6809991B2 (ja) * 2017-06-30 2021-01-06 京セラ株式会社 分光器
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
DE102018131987A1 (de) * 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021119900A1 (zh) * 2019-12-16 2021-06-24 东莞市中镓半导体科技有限公司 用于GaN材料生长的气动托盘
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TWM596345U (zh) * 2020-03-05 2020-06-01 晶元光電股份有限公司 氣體感測器的量測設備
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11495487B1 (en) 2021-05-13 2022-11-08 Globalwafers Co., Ltd. Methods for conditioning a processing reactor
EP4338197A1 (de) * 2021-05-13 2024-03-20 GlobalWafers Co., Ltd. Verfahren zum ätzen einer halbleiterstruktur und zum konditionieren eines behandlungsreaktors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3304908A (en) * 1963-08-14 1967-02-21 Merck & Co Inc Epitaxial reactor including mask-work support
US3627590A (en) * 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
US4537835A (en) * 1977-02-18 1985-08-27 Battelle Memorial Institute Oxidation of hydrogen halides to elemental halogens
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4403567A (en) * 1980-08-21 1983-09-13 Commonwealth Scientific Corporation Workpiece holder
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4535835A (en) * 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4458746A (en) * 1982-05-25 1984-07-10 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4537244A (en) * 1982-05-25 1985-08-27 Varian Associates, Inc. Method for optimum conductive heat transfer with a thin flexible workpiece
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4542298A (en) * 1983-06-09 1985-09-17 Varian Associates, Inc. Methods and apparatus for gas-assisted thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4522697A (en) * 1983-12-22 1985-06-11 Sputtered Films, Inc. Wafer processing machine
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
FR2559241B1 (fr) 1984-02-06 1986-12-12 Dietrich Sa Perfectionnement permettant la mise et le maintien en depression du moufle d'un four electrodomestique a reacteur catalytique
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS6220308A (ja) * 1985-07-19 1987-01-28 Hitachi Ltd 熱処理方法および装置
US4654509A (en) * 1985-10-07 1987-03-31 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
JPH0444216Y2 (de) * 1985-10-07 1992-10-19
NL8602356A (nl) * 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
FR2596070A1 (fr) * 1986-03-21 1987-09-25 Labo Electronique Physique Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPS6384017A (ja) * 1986-09-26 1988-04-14 Toshiba Mach Co Ltd 気相成長方法
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPS63285925A (ja) * 1987-05-18 1988-11-22 Nec Kyushu Ltd 半導体集積回路装置の製造装置
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4911812A (en) * 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
JPH0623935B2 (ja) * 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
ATE208961T1 (de) * 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
JP2721006B2 (ja) * 1989-03-15 1998-03-04 株式会社ダイヘン 電気機器ケースの電着塗装装置
DE3943478C2 (de) * 1989-05-08 1995-11-16 Philips Electronics Nv Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumbehandlungsanlage
US5180000A (en) * 1989-05-08 1993-01-19 Balzers Aktiengesellschaft Workpiece carrier with suction slot for a disk-shaped workpiece
WO1990013687A2 (en) 1989-05-08 1990-11-15 N.V. Philips' Gloeilampenfabrieken Apparatus and method for treating flat substrates under reduced pressure
US5248370A (en) * 1989-05-08 1993-09-28 Applied Materials, Inc. Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5155337A (en) * 1989-12-21 1992-10-13 North Carolina State University Method and apparatus for controlling rapid thermal processing systems
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5160544A (en) * 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
US5080929A (en) * 1990-04-02 1992-01-14 Delco Electronics Corporation Method and apparatus for through hole substrate printing
NO177475C (no) 1990-04-14 1995-09-20 Sel Alcatel Ag Fremgangsmåte og apparat ved antenne
ES2086429T3 (es) * 1990-04-20 1996-07-01 Applied Materials Inc Mecanismo de sujecion para la deposicion en fase de vapor por proceso fisico.
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5298465A (en) * 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5155062A (en) * 1990-12-20 1992-10-13 Cree Research, Inc. Method for silicon carbide chemical vapor deposition using levitated wafer system
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP3086970B2 (ja) * 1991-07-03 2000-09-11 東京エレクトロン株式会社 基板処理装置
US5393349A (en) * 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5308645A (en) * 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
JPH06158361A (ja) * 1992-11-20 1994-06-07 Hitachi Ltd プラズマ処理装置
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
KR960006956B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알(ecr) 장비
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (nl) * 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
US5738165A (en) * 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
JPH0711446A (ja) * 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
EP0635870A1 (de) * 1993-07-20 1995-01-25 Applied Materials, Inc. Eine elektrostatische Halteplatte mit einer gerillten Fläche
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
KR950015545A (ko) * 1993-11-12 1995-06-17 제랄드 이. 메스터슨 반도체 웨이퍼류를 가열 및 냉각하기 위한 장치와 방법
US5467220A (en) * 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5552131A (en) * 1994-06-30 1996-09-03 Arco Chemical Technology, L.P. Oxidation of secondary alcohols
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5809211A (en) * 1995-12-11 1998-09-15 Applied Materials, Inc. Ramping susceptor-wafer temperature using a single temperature input
JP3956057B2 (ja) * 1996-01-31 2007-08-08 エイエスエム アメリカ インコーポレイテッド 熱処理のモデル規範型予測制御
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5693063A (en) * 1996-04-10 1997-12-02 Bristol-Myers Squibb Company Process for shaping and sharpening a rotatable surgical shaver blade
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
JP4475804B2 (ja) * 1997-11-03 2010-06-09 エーエスエム アメリカ インコーポレイテッド 長寿命高温プロセスチャンバ
JP2001522142A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
WO1999023690A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Method of processing wafers with low mass support
US6064799A (en) * 1998-04-30 2000-05-16 Applied Materials, Inc. Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature
JP2001142037A (ja) * 1999-11-17 2001-05-25 Oki Electric Ind Co Ltd 電界効果型光変調器および半導体光素子の製造方法
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system

Also Published As

Publication number Publication date
US20070131173A1 (en) 2007-06-14
EP1209251B1 (de) 2006-06-28
DE69835105D1 (de) 2006-08-10
US6454866B1 (en) 2002-09-24
US7655093B2 (en) 2010-02-02
JP4114016B2 (ja) 2008-07-09
JP2001508599A (ja) 2001-06-26
EP1209251A2 (de) 2002-05-29
US6343183B1 (en) 2002-01-29
DE69806578D1 (de) 2002-08-22
DE69806578T2 (de) 2003-02-27
EP1209251A3 (de) 2002-06-26
WO1998032893A2 (en) 1998-07-30
KR20050053664A (ko) 2005-06-08
EP0963459A2 (de) 1999-12-15
EP0963459B1 (de) 2002-07-17
KR100539343B1 (ko) 2005-12-28
KR20000070401A (ko) 2000-11-25
US20040198153A1 (en) 2004-10-07
AU6040498A (en) 1998-08-18
WO1998032893A3 (en) 1998-11-12
US20010054390A1 (en) 2001-12-27
US6491757B2 (en) 2002-12-10
KR100549998B1 (ko) 2006-02-08
US7186298B2 (en) 2007-03-06
US6692576B2 (en) 2004-02-17
US6113702A (en) 2000-09-05
US20030075274A1 (en) 2003-04-24

Similar Documents

Publication Publication Date Title
DE69835105T2 (de) System zur Temperaturreglung eines Wafers
DE60124952T2 (de) Ausnehmungsprofil eines suszeptors zum verbessern des prozesses
DE60102669T2 (de) Vorrichtung und verfahren zur epitaktischen bearbeitung eines substrats
DE69813014T2 (de) Verbesserte kleinmassige waferhaleeinrichtung
DE60022221T2 (de) Apparat für die bearbeitung von halbleitern
DE112010004736B4 (de) Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
EP1948845B1 (de) Cvd-reaktor mit gleitgelagertem suszeptorhalter
DE60133092T2 (de) Örtliche erwärmung und kühlung von substraten
DE102010026987B4 (de) Herstellvorrichtung und -verfahren für Halbleiterbauelement
DE10234694A1 (de) Verfahren zum Oxidieren einer Schicht und zugehörige Aufnamevorrichtung für ein Substrat
DE202015006764U1 (de) Waferträger mit einer Konfiguration mit 31 Taschen
DE1956055B2 (de) Halterungsvorrichtung fuer halbleiterscheiben
DE4404110A1 (de) Substrathalter für MOCVD und MOCVD-Vorrichtung
DE112008003029T5 (de) Werkstückträger mit Fluidzonen zur Temperatursteuerung
DE112007000345T5 (de) Suszeptor und Einrichtung zur Herstellung eines Epitaxie-Wafers
DE112017001577T5 (de) Suszeptorträger
DE202015006765U1 (de) Waferträger mit einer Konfiguration mit 14 Taschen
EP1625243A1 (de) Cvd-beschichtungsvorrichtung
DE112012000726T5 (de) Suszeptor und Verfahren zum Herstellen eines Epitaxialwafers unter Verwendung desselben
DE202015007242U1 (de) Waferträger mit einer Multitaschenkonfiguration
DE112011102504T5 (de) Verbesserter Reaktor zur chemischen Gasphasenabscheidung
EP3871245B1 (de) Cvd-reaktor, schirmplatte für einen cvd-reaktor und verfahren zur beeinflussung der temperatur einer schirmplatte
EP3847293A2 (de) Verfahren zur regelung der deckentemperatur eines cvd-reaktors
DE4437361C2 (de) Verfahren und Vorrichtung für die optische Schnellheizbehandlung empfindlicher elektronischer Bauelemente, insbesondere Halbleiterbauelemente
DE69931278T2 (de) Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee