DE69732918T2 - Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD - Google Patents

Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD Download PDF

Info

Publication number
DE69732918T2
DE69732918T2 DE69732918T DE69732918T DE69732918T2 DE 69732918 T2 DE69732918 T2 DE 69732918T2 DE 69732918 T DE69732918 T DE 69732918T DE 69732918 T DE69732918 T DE 69732918T DE 69732918 T2 DE69732918 T2 DE 69732918T2
Authority
DE
Germany
Prior art keywords
substrate
gas
plasma
bias
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69732918T
Other languages
English (en)
Other versions
DE69732918D1 (de
Inventor
Kevin Paul SHUFFLEBOTHAM
Brian Mcmillin
T. Alex DEMOS
Huong Nguyen
Butch Berney
Monique Ben-Dor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE69732918D1 publication Critical patent/DE69732918D1/de
Application granted granted Critical
Publication of DE69732918T2 publication Critical patent/DE69732918T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf ein Verfahren zur mit Plasma hoher Dichte unterstützten Gasphasenabscheidung nach chemischem Verfahren (High Density Plasma Enhanced Chemical Vapor Deposition) von Halbleiter- und dielektrischen Filmen, und auf Techniken zum Abscheiden solcher Filme in Zwischenräume mit großem Längenverhältnis auf Halbleitersubstraten, wie zum Beispiel Siliziumwafern, welche metallische Verbindungsschichten aufweisen.
  • Beschreibung der verwandten Technik
  • Gasphasenabscheidung nach chemischem Verfahren (CVD: Chemical Vapor Deposition) wird herkömmlich verwendet, um verschiedene dünne Filme in einer halbleiterintegrierten Schaltung auszubilden. CVD kann dünne Filme, wie zum Beispiel SiO2, Si3N4, Si oder ähnliches mit hoher Reinheit und hoher Qualität ausbilden. In dem Reaktionsverfahren des Ausbildens eines dünnen Films kann ein Reaktionsgefäß, in welchem Halbleitersubstrate angeordnet sind, auf einen Zustand mit hoher Temperatur von 500 bis 1000°C aufgeheizt werden. Ein Ausgangswerkstoff, welcher abgeschieden werden soll, kann durch das Gefäß in der Form eines gasförmigen Bestandteils zugeführt werden, so dass die gasförmigen Moleküle in dem Gas und auf einer Oberfläche der Substrate thermisch dissoziiert und kombiniert werden, so dass sie einen dünnen Film ausbilden.
  • Eine plasmaunterstützte CVD-Vorrichtung verwendet eine Plasmareaktion, um eine Reaktion ähnlich zu derjenigen der oben beschriebenen CVD-Vorrichtung zu erzeugen, aber bei einer relativ geringen Temperatur, um einen dünnen Film auszubilden. Die Plasma-CVD-Vorrichtung umfasst eine Prozesskammer, welche aus einer Plasmaerzeugungskammer, welche getrennt sein kann von oder ein Teil sein kann von der Reaktionskammer, einem Gaseinleitungssystem und einem Auslasssystem besteht. Plasma wird in einer solchen Vorrichtung durch verschiedene Plasmaquellen erzeugt. Ein Substratträger ist in der Reaktionskammer vorgesehen, welcher eine Vorspannungskomponente (Biasing-Komponente) mit Hochfrequenz (HF) umfassen kann, um eine HF-Vorspannung (HF-Bias) auf das Substrat aufzubringen, und einen Kühlmechanismus, um einen Anstieg der Temperatur des Substrates aufgrund des Wirkung des Plasmas zu verhindern.
  • Vakuumprozesskammern werden im allgemeinen für die Gasphasenabscheidung nach chemischem Verfahren von Materialien auf Substraten verwendet, durch Zuführen von einem Abscheidungsgas in die Vakuumkammer und Aufbringen eines HF-Feldes auf das Gas. Zum Beispiel sind Parallelplatten- und Elektron-Zyklotron-Resonanzreaktoren (ECR: Electon-Cyclotron Resonance) kommerziell verwendet worden, siehe US-A-4 340 462 und 5 200 232. Die Substrate werden durch Substrathalter an ihren Platz innerhalb der Vakuumkammer während der Behandlung gehalten. Herkömmliche Substrathalter umfassen mechanische Klammern und elektrostatische Klammern (ESC: Electrostatic Clamps). Beispiele von Substrathaltern mit mechanischen Klammern und ESC werden in den Dokumenten US-A-5 262 029 und US-A-5 671 116 zur Verfügung gestellt.
  • Plasmaunterstützte Gasphasenabscheidung nach chemischem Verfahren (PECVD: Plasma Enhanced Chemical Vapor Deposition) ist zum Abscheiden von intermetallischen dielektrischen Schichten bei niedrigen Temperaturen in Einsatzgebieten von integrierten Schaltungen verwendet worden. Eine Veröffentlichung von M. Gross et al., mit dem Titel „Silicon dioxide trench filling process in a radio-frequency hollow cathode reactor", J. Vac. Sci. Technol, B 11(2), März/April 1993, beschreibt ein Verfahren zum fehlstellenfreien Füllen von Schlitzen mit Siliziumdioxid, wobei ein Reaktor mit hohler Kathode verwendet wird, in welchem Siliziumwasserstoffgas durch ein oberes Target, welches eine Sauerstoff- und Xenonentladung mit niedriger Frequenz (1 MHz) und niedrigem Druck (~0,2 Pa) unterstützt, geleitet wird. In diesem Verfahren produziert ein hoher Ionenbeschuss und eine kleine Geschwindigkeit einer Gasphasenreaktion eine ioneninduzierte Reaktion mit Oberflächenadsorbaten, was zu einem gerichteten Oxidfilmwachstum führt, wobei Schlitze mit Öffnungen von einem Mikrometer (Mikron) und Längenverhältnissen bis zu 2,5:1 mit Geschwindigkeiten von über 400 Å/min gefüllt werden.
  • Eine Veröffentlichung von P. Shufflebotham et al. mit dem Titel „Biased Electron Cyclotron Resonance Chemical-Vapor Deposition of Silicon Dioxide Inter-Metal Dielectric Thin Films", Materials Science Forum Vol. 140–142 (1993) beschreibt einen einstufigen zwischenraumgefüllten Prozess zur Verwendung in intermetallischen dielektrischen Anwendungen (IMD: Inter Metal Dielectric) auf Wafern bis zu 200 mm im Durchmesser, wobei Zwischenräume mit großem Längenverhältnis von unter 0,5 Mikrometer mit SiO2 gefüllt werden, wobei eine O2-Ar-SiH4-Gasmischung in einem vorgespannten (biased) Elektron-Zyklotron-Resonanzsystem für plasmaunterstützte Gasphasenunterscheidung nach chemischem Verfahren (ECR-CVD: Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition) verwendet wird. Dieser Einschrittprozess ersetzte aufeinanderfolgende Zwischenraumfüllungs- und Ebenungsschritte, wobei CVD SiO2 Plasmarückätzungsschritten (Plasma Etch-Back) unterzogen wurde, wobei solche Techniken ungeeignet für Zwischenraumbreiten von unter 0,5 Mikrometer und Längenverhältnisse (Zwischenraumhöhe : -breite) oberhalb von 1,5:1 sind.
  • Vorrichtungen gemäß dem Stand der Technik leiden an verschiedenen ernsthaften Nachteilen im Hinblick auf IMD-Anwendungen. ECR- und Helicon-Quellen, welche auf magnetischen Feldern beruhen, sind komplex und teuer. Zudem sind magnetische Felder damit in Verbindung gebracht worden, dass sie Schäden an Halbleitereinrichtungen auf dem Wafer verursachen. ECR-, Helicon- und schraubenförmige Resonaterquellen erzeugen zudem Plasma entfernt von dem Wafer, was es sehr schwierig macht, gleichförmige und hochqualitative Filme gleichzeitig zu erzeugen, und es ebenso schwierig macht, Plasmareinigungen an Ort und Stelle (in-situ) auszuführen, welche notwendig sind, um Partikel unter Kontrolle zu halten, ohne eine zusätzliche Einrichtung. Zudem erfordern ECR-, Helicon- und schraubenförmige Resonator- und gewölbte induktiv gekoppelte Plasmasysteme große, komplexe, dielektrische Vakuumgefäße. Eine hieraus folgende maßstäbliche Vergrößerung ist schwierig, und ein Plasmareinigen an Ort und Stelle (in-situ) ist zeitaufwendig.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung ist auf ein Verfahren gerichtet, welches ein System zur induktiv gekoppelten plasmaunterstützten Gasphasenabscheidung nach chemischem Verfahren (IC PECVD: Inductively Coupled Plasma Enhanced Chemical Vapor Deposition) mit Plasma hoher Dichte verwendet. Das System ist kompakt, an Ort und Stelle (in-situ) reinigbar und produziert Halbleiter- und dielektrische Filme mit hoher Qualität.
  • Verfahren zum Füllen von Zwischenräumen zwischen dielektrisch leitenden Leitungen auf einem Halbleitersubstrat sind bekannt aus US-A-5 531 834, WO 96/25023, EP-A-O 520 519, US-A-5 089 442 und US-A-5 522 934. US-A-5 089 442 beschreibt insbesondere das Füllen von Zwischenräumen zwischen elektrisch leitenden Leitungen auf einem Halbleitersubstrat und das Abscheiden einer Deckschicht über den gefüllten Zwischenräumen in einem kapazitiv gekoppelten CVD-Reaktor.
  • Gemäß der Erfindung wird ein Verfahren zum Füllen von Zwischenräumen zwischen elektrisch leitenden Leitungen auf einem Halbleitersubstrat und zum Abscheiden einer Deckschicht über den gefüllten Zwischenräumen zur Verfügung gestellt, welches die folgenden Schritte umfasst:
    das Tragen eines Substrates auf einem Substratträger in einer Prozesskammer eines induktiv gekoppelten Reaktors mit Plasma-unterstützter Gasphasenabscheidung nach chemischem Verfahren, wobei der gesagte Substratträger eine Elektrode aufweist, welche eine HF-Vorspannung dem Substrat sowohl während eines Schrittes zur Zwischenraumfüllung als auch eines nachfolgenden Schrittes zur Abdeckung zuführt,
    der gesagte Schritt zur Zwischenraumfüllung umfasst das Füllen von Zwischenräumen zwischen elektrisch leitenden Leitungen auf dem Substrat durch:
    Einführen eines ersten Prozessgases, umfassend ein Edelgas, in die Prozesskammer,
    das Energetisieren des ersten Prozessgases in ein Plasma, durch induktives Einkoppeln von HF-Energie in die Prozesskammer, um einen ersten dielektrischen Film, umfassend Siliziumoxid, in den Zwischenräumen mit einer ersten Abscheidungsgeschwindigkeit anwachsen zu lassen;
    der gesagte Schritt des Abdeckens umfasst das Einleiten eines zweiten Prozessgases in die Prozesskammer hinein,
    das Energetisieren des zweiten Prozessgases in ein Plasma, durch induktives Einkoppeln von HF-Energie in die Prozesskammer, um eine Deckschicht, umfassend einen zweiten dielektrischen Film, auf der Oberfläche des gesagten ersten dielektrischen Films abzuscheiden, wobei die gesagte Deckschicht mit einer zweiten Abscheidungsgeschwindigkeit abgeschieden wird, welche größer ist als die erste Abscheidungsgeschwindigkeit.
  • Andere vorzuziehende Merkmale der Erfindung werden in den angehängten Ansprüchen beschrieben.
  • Der Reaktor kann eine im wesentlichen ebene Induktionsspule umfassen. Ebene und nicht ebene Spulen können verwendet werden, eine im wesentlichen ebene Spule wird jedoch vorgezogen.
  • Das Substrat kann auf einem Substrathalter in der Prozesskammer positioniert werden, und die Temperatur auf einer Oberfläche des Substrathalters kann gesteuert werden.
  • Der Substrathalter kann eine Temperatur von rund 80°C bis 200°C aufweisen.
  • In Abhängigkeit von dem Film, welcher abgeschieden werden soll, kann das zugeordnete Prozessgas einen Silizium beinhaltenden gasförmigen Reaktionspartner (Reaktant-Gas) umfassen, welcher aus der Gruppe ausgewählt ist, die aus SiH4, SiF4, Si2H6, TEOS, TMCTS und Mischungen hieraus besteht. Das Prozessgas kann einen gasförmigen Reaktionspartner umfassen, welcher aus der Gruppe ausgewählt wird, die aus H2, O2, N2, NH3, NF3, N2O und NO und Mischungen hieraus besteht. Alternativ kann das Prozessgas einen gasförmigen Reaktionspartner umfassen, welcher aus der Gruppe ausgewählt wird, die aus Bor beinhaltendem Gas, Phosphor beinhaltendem Gas und Mischungen hieraus besteht. Das Edelgas kann Argon sein.
  • Das induktiv gekoppelte Plasma wird vorzugsweise durch eine HF-Antenne erzeugt, welche eine ebene Spulenform aufweist. Somit kann der IC PECVD Reaktor leicht maßstäblich vergrößert werden, um zum Beispiel 300 mm Wafer und 600 mm × 720 mm Flachbildschirme aufzunehmen. Die Quelle des induktiv gekoppelten Plasmas (ICP) erzeugt gleichförmige Plasmen mit hoher Dichte über große Bereiche, unabhängig von der Vorspannungsleistung, welche verwendet wird, um die Ionensputterenergie zu steuern. Anders als ECR- oder Helicon-Quellen sind keine Magneten erforderlich.
  • Kurze Beschreibung der Zeichnungen
  • Die Erfindung wird in größerem Detail mit Bezug auf die begleitenden Zeichnungen beschrieben werden, in welchen sich entsprechende Elemente sich entsprechende Bezugsziffern tragen, und in welchen:
  • die 1 eine schematische Darstellung eines Reaktors mit induktiv gekoppeltem Plasma hoher Dichte ist, welcher verwendet werden kann, um das Verfahren gemäß der Erfindung auszuführen;
  • die 2 FTIR-Spektren von Filmen umfasst, welche mit verschiedenen Sauerstoff zu Siliziumwasserstoff (Silan) Massenstromverhältnissen (konstanter Gesamtstrom) abgeschieden worden sind;
  • die 3A, 3B, 3C und 3D rasterelektronenmikroskopische (REN) Bilder von Zwischenraumfüllungen sind, in welchen alle Proben dekoriert wurden, um Fehlstellen in dem Film anzureichern; die Strukturen waren Polysilizium auf Oxid, und alle Abscheidungen dauerten drei Minuten mit Ausnahme von derjenigen aus 3A, welche eine Minute dauerte;
  • die 4 einen Plasmareaktor mit einem Gasinjektionssystem darstellt;
  • die 5 einen Injektor für das Gasinjektionssystem darstellt.
  • Detaillierte Beschreibung der vorzuziehenden Ausführungen
  • Reaktor für induktiv gekoppeltes plasmaunterstütztes CVD
  • Die 1 zeigt einen ICP-Reaktor 20, welcher Substrate mit hochdichtem Plasma behandeln kann. Geeignete ICP-Reaktoren umfassen in TCPTM-Systeme von der LAM Research Corp., Fremont, CA. Siehe ebenso Ogle, US-A-4 948 458. Der Reaktor umfasst eine Prozesskammer 21, in welcher Plasma 22 benachbart zu einem Substrat 23 erzeugt wird. Das Substrat wird auf einem wassergekühlten Substratträger 24 getragen, und eine Temperatursteuerung des Substrats wird dadurch erzielt, dass Heliumgas durch einen Kanal 25 in einen Raum zwischen dem Substrat und dem Substratträger zugeführt wird. Der Substratträger kann eine eloxierte Aluminiumelektrode umfassen, welche geheizt werden kann, oder einen Keramikwerkstoff, welcher in sich eine eingebettete Elektrode aufweist, wobei die Elektrode durch eine HF-Quelle 26 und einer zugeordneten Schaltung 27 zum HF-Abgleichen etc. mit Leistung versorgt wird. Die Temperatur des Substrates wird während des Behandeln desselben durch die Temperaturüberwachungseinrichtung 28 überwacht, welche an einen Temperaturmessfühler 29 angeschlossen ist.
  • Um ein Vakuum in der Kammer 21 zur Verfügung zu stellen, ist eine Turbopumpe an der Auslassöffnung 30 angeschlossen, und ein Drucksteuerventil kann verwendet werden, um den gewünschten Vakuumdruck aufrecht zu erhalten. Prozessgase können durch die Kanäle 31, 32 in die Kammer zugeführt werden, welche gasförmige Reaktionspartner zu Gasverteilungsringen zuführen, die sich entlang der Unterseite des dielektrischen Fensters 33 erstrecken, oder die Prozessgase können durch ein dielektrisches Brausekopffenster (Showerhead-Fenster) zugeführt werden. Eine externe ICP-Spule 34, welche außerhalb der Kammer in der Nähe des Fensters angeordnet ist, wird mit HF-Leistung durch die HF-Quelle 35 und die zugeordnete Schaltung 36 zur Impedanzabgleichung etc. versorgt. Wie offensichtlich ist, ist die externe Induktionsspule im wesentlichen eben und umfasst im allgemeinen ein einziges leitendes Element, welches in einer ebenen Spirale oder einer Reihe von konzentrischen Ringen ausgebildet ist. Die ebene Ausführung ermöglicht, dass die Spule leicht maßstäblich vergrößert werden kann, durch Verwenden eines längeren leitenden Elementes, um den Spulendurchmesser zu vergrößern, und daher können größere Substrate oder mehrfache Spulenanordnungen verwendet werden, um ein gleichförmiges Plasma über einer großen Fläche zu erzeugen. Wenn ein Substrat in der Kammer behandelt wird, versorgt die HF-Quelle 35 die Spule 34 mit einem HF-Strom, vorzugsweise in einem Bereich von rund 100 kHz bis 27 MHz, und noch eher vorzuziehen mit 13,56 MHz, und die HF-Quelle 26 versorgt die untere Elektrode mit einem HF-Strom, vorzugsweise in einem Bereich von rund 100 kHz bis 27 MHz, und noch eher vorzuziehen mit 400 kHz, 4 MHz oder 13,56 MHz. Eine große Gleichstrom-Mantelspannung über der Oberfläche eines Substrates kann zur Verfügung gestellt werden, durch Versorgen der Elektrode mit HF-Leistung.
  • Eine HF-Vorspannung (HF-Bias) wird an das Substrat angelegt, um einen Ionenbeschuss des wachsenden Filmes während des Schrittes des Füllens des Zwischenraumes zu erzeugen. Die HF-Frequenz kann alles oberhalb des Wertes sein, welcher notwendig ist, um einen stationären Mantelzustand beizubehalten, welcher einige wenige hundert kHz beträgt. Eine Substratvorspannung weist zahlreiche vorteilhafte Wirkungen auf die Filmeigenschaften auf, und kann ebenso verwendet werden, um den wachsenden Film in dem Schritt des Zwischenraumfüllens gleichzeitig zu sputtern. Dies ermöglicht, dass enge Zwischenräume mit einem großen Längenverhältnis schnell mit einem Dielektrikum hoher Qualität gefüllt werden. Eine HF-Vorspannung kann während des Schrittes des Abscheidens der Deckschicht verwendet werden.
  • Der Reaktor 20 kann verwendet werden, um das Verfahren zum Füllen von Zwischenräumen der Erfindung auszuführen, wobei ein schweres Edelgas verwendet wird, um das Verhältnis der Ätz- gegenüber der Abscheidungsgeschwindigkeit (EDR: Etch-to-Deposition-Rate Ratio) zum fehlstellenfreien Füllen von Zwischenräumen von weniger als 0,5 Mikrometer mit großem Längenverhältnis zu vergrößern. Verfahren zum Füllen von Zwischenräumen werden ferner im Dokument US-A-6 106 675 beschrieben. Das schwere Edelgas ist wirksam beim Sputtern von Ecken der Seitenwände der Zwischenräume, so dass die Ecken mit einem Winkel von rund 45 Grad facettiert werden. Das Edelgas weist ein geringes Ionisierungspotential auf und formt massive Ionen, welche die Sputtergeschwindigkeit bei einer gegebenen HF-Leistung relativ zu der Abscheidungsgeschwindigkeit vergrößern, wodurch die Leistung vermindert wird, welche erforderlich ist, um eine gegebene Lückenstruktur/Zwischenraumstruktur zu füllen. Zudem hilft das niedrige Ionisierungspotential des Edelgases dabei, die Plasmaerzeugung und den Ionenbeschuss gleichförmiger über dem Substrat auszubreiten. Weil Xenon das schwerste der nicht reaktiven Edelgase ist, wird Xenon als das Edelgas vorgezogen. Krypton kann ebenso verwendet werden, obwohl es eine geringere Masse und ein höheres Ionisierungspotential als Xenon aufweist. Argon ist ebenso als das Edelgas geeignet. Vorzugsweise ist die Menge von Edelgas, welche hinzugefügt wird, wirksam dabei, eine Sputter-Ätz-Komponente mit einer Größe in der Größenordnung der Abscheidungsgeschwindigkeit zur Verfügung zu stellen, so dass das Verhältnis der Ätzgeschwindigkeit gegenüber der Abscheidungsgeschwindigkeit vorzugsweise bei rund 5 Prozent bis 70 Prozent und noch eher vorzuziehen bei rund 10 Prozent bis 40 Prozent liegt.
  • Beim Ausführen des Abscheidungsverfahrens in einem ICP CVD Reaktor kann die Kammer auf einem Vakuumdruck von weniger als 13,3 Pa (100 mTorr) und vorzugsweise von 3,9 Pa (30 mTorr) oder weniger, noch eher vorzuziehen von rund 0,13 Pa (1 mTorr) bis 0,66 Pa (5 mTorr) gehalten werden. Die Strömungsgeschwindigkeiten der individuellen Komponenten des Prozessgases reichen typischerweise von 1,6 × 10–7 bis 3,3 × 10–6 m3s (10–200 sccm) für ein Substrate von 200 mm und höher für größere Substrate. Eine Turbomolekularpumpe, welche durch einen Absperrschieber gedrosselt wird, wird verwendet, um den Prozessdruck zu steuern. Die relative Menge von jeder Komponente wird teilweise von der Stöchiometrie des Verbundes/der Verbunde abhängen, welcher/welche abgeschieden werden sollen. Die ICP-Leistung reicht vorzugsweise von 200 bis 3000 Watt, und die HF-Vorspannungsleistung, welche an die untere Elektrode angelegt wird, kann von 0 bis 3000 Watt für ein Substrat von 0,2 m (200 mm) reichen. Vorzugsweise weist die untere Elektrode eine solche Oberfläche auf, dass die HF-Vorspannungsleistung rund 0 bis 80 000 Watt/m2 (0–8 Watt/cm2) und vorzugsweise wenigstens 20 000 Watt/m2 (2 Watt/cm2) an Leistung zuführen kann. Ein Wärmeübertragungsgas, welches zum Beispiel Helium und/oder Argon umfasst, kann mit einem Druck von 133 (1) bis 1333 Pa (10 Torr) zugeführt werden, um die Substrattemperatur vorzugsweise bei rund 293 K (20°C) bis 773 K (500°C) zu halten, und noch eher vorzuziehen bei rund 373 K bis 673 K (100°C bis 400°C), und am meisten vorzuziehen bei rund 423 K bis 648 K (150°C bis 375°C).
  • Um einen Schaden an den Metallleitungen oder an vorher existierenden Filmen und Strukturen auf dem Substrat zu vermeiden, und um eine exakte und präzise Prozesssteuerung sicherzustellen, wird eine geheizte mechanische Spannvorrichtung oder vorzugsweise eine elektrostatische Spannvorrichtung/ein elektrostatischer Chuck (ESC) verwendet, um das Substrat zu halten. Der ESC ist vorzugsweise bipolar oder monopolar. Vorzugsweise wird die Elektrode auf einer Temperatur gehalten, welche von rund 50°C bis 350°C reicht, um die Temperatur des Wafers auf rund 325°C bis 375°C zu halten. Die vorzuziehende Elektrodentemperatur wird unter anderen Dingen von dem HF-Vorspannungspegel und dem einzelnen Abscheidungsschritt abhängig sein. Zum Beispiel wird während des Verfahrens des Zwischenraumfüllens die Elektrodentemperatur vorzugsweise auf zwischen 80°C (volle Vorspannung/Bias) und 200°C (keine Vorspannung/Bias) gehalten. Ähnlich wird während des Abdeckungsverfahrens die Elektrodentemperatur vorzugsweise auf zwischen rund 125°C (volle Vorspannung/Bias) und 350°C (keine Vorspannung/Bias) gehalten. Die Verfahren des Füllens der Zwischenräume und des Abdeckens werden hier beschrieben. Ein geeigneter Chuck zur Temperatursteuerung wird in US-A-5 835 334 offenbart.
  • Während der Abscheidung wird das Substrat (zum Beispiel ein Wafer) typischerweise auf einer Temperatur gehalten, welche höher ist als jene des ESC, aufgrund des Plasmaheizens. Konsequenterweise ist, obwohl der ESC geheizt werden kann, seine Temperatur niedriger als jene des Substrates. Die Elektrode stellt vorzugsweise ferner eine Rückseitenkühlung mit Helium zur Steuerung der Substrattemperatur zur Verfügung. Die Substrattemperatur kann durch Einstellen des Pegels der HF-Vorspannung und der ESC-Temperatur und anderen Parametern gesteuert werden, wie hier beschrieben wird. Wie ferner hier in den Experimenten beschrieben wird, kann die Elektrodentemperatur die physikalischen Eigenschaften des abgeschiedenen Films wesentlich beeinflussen.
  • Ein ICP-CVD Reaktor ist besonders zum Abscheiden von SiO2 für IMD-Anwendungen geeignet, weil die erzeugten Filme eine exzellente Qualität aufweisen, welche praktisch nicht von SiO2 unterscheidbar sind, das durch thermische Oxidation bei hoher Temperatur von kristallinem Si gewachsen ist (thermisches Oxid). Zusätzlich kann diese Technik Zwischenräume füllen, welche so schmal wie 0,25 Mikrometer bei Längenverhältnissen von 3:1 und höher sind, mit einem hochqualitativen Werkstoff. Zudem können die Abscheidungstemperaturen unterhalb von 450°C liegen, für eine Kompatibilität mit Al-Metallisierungen, und die Dickengleichförmigkeiten sind besser als 2% I-σ auf 8 in. (20,32 cm) Wafer, mit im wesentlichen keinen Änderungen in anderen Filmeigenschaften. Schließlich kann ICP-CVD im Hinblick auf die Prozessausführbarkeit Nettoabscheidungsgeschwindigkeiten (net deposition rates) oberhalb von 8,3 × 10–9 ms–1 (5000 Å/min) in Zwischenraumfüllungsverfahren erreichen. Für die Deckschicht kann ICP-CVD eine Abscheidungsgeschwindigkeit bis zu rund 2,5 × 10–8 ms–1 (1,5 μm/min) mit einer guten Gleichförmigkeit erreichen. Es ist selbstverständlich, dass Leiterbahnen aus anderen geeigneten Werkstoffen hergestellt werden können, umfassend, zum Beispiel, Kupfer, Wolfram und Mischungen hieraus.
  • Die Abscheidung von SiO2 in Zwischenräume mit einem großen Längenverhältnis von weniger als 5 × 10–1 m (0,5 μm) durch das erfinderische Verfahren schließt das gleichzeitige Abscheiden (Deposition) und Sputtern (Kathodenzerstäubung) von SiO2 ein. Die resultierende anisotropische Abscheidung (Beschichtung) füllt Zwischenräume von unten nach oben, und die Winkelabhängigkeit der Sputter-Ausbeute (sputtering yield) verhindert ebenso, dass sich die oberen Enden der Zwischenräume während der Abscheidung zusammenkneifen (vollständig einschnüren). Ein wichtiges Merkmal von den meisten Systemen für Plasma hoher Dichte ist, dass die Vorspannungsleistung (Bias-Leistung) die Mantelspannung über dem Wafer im wesentlichen unabhängig von der Plasmaerzeugung bestimmt. Hohe Vorspannungsleistungen erzeugen große Mantelspannungen und somit einen energetischen Ionenbeschuss der Wafer-Oberfläche. In der Abwesenheit einer HF-Vorspannung (HF-Bias) neigt die Filmqualität und der Wirkungsgrad des Zwischenraumfüllens dazu, schlecht zu sein, aufgrund eines zerklüfteten Aussehens des Seitenwandfilms, was anzeigt, dass er sehr porös ist, und schwere Abscheidungen, welche sich über den Metallleitungen ausbilden, schirmen die Schlitzböden vor einer Abscheidung ab und kneifen eventuell den Zwischenraum zu, wodurch eine Fehlstelle zurückbleibt.
  • ICP kann ein hochdichtes Plasma erzeugen (zum Beispiel > rund 1 × 1017 Ionen/m3 (1 × 1011 Ionen/cm3) und es auf einem sehr niedrigen Druck halten (zum Beispiel < rund 1,3 Pa (10 mTorr)). Die Vorteile von PECVD hoher Dichte umfassen einen vergrößerten Durchsatz, gleichförmige Ionen- und Radikaldichten über große Bereiche und nachfolgend hierzu die Herstellbarkeit von maßstäblich vergrößerten Reaktoren. Wenn sie durch ein getrenntes HF-Vorspannen der Substratelektrode ergänzt werden, können ICP-CVD Systeme eine unabhängige Steuerung der Ionenbeschussenergie ermöglichen und einen zusätzlichen Freiheitsgrad zur Verfügung stellen, um das Plasmaabscheidungsverfahren zu manipulieren.
  • In ICP-Systemen tritt das Wachstum des SiO2-Films durch eine ionenaktivierte Reaktion zwischen Sauerstoffspezien, welche auf den Wafer aus der Plasmaquelle auftreffen und Siliziumwasserstofffragmenten (Silanfragmenten), welche auf dem Wafer adsorbiert werden, auf. Durch Verwenden von ICP-CVD können Zwischenräume unter 5 × 10–7 m (0,5 μm) mit großem Längenverhältnis mit einem SiΟ2-Dielektrikum hoher Qualität auf Wafern mit 0,20 m (8 in. (20,32 cm)) Durchmesser gefüllt werden. Im wesentlichen stellt das ICP-CVD System ein ausführbares Verfahren für intermetallisches dielektrisches CVD zur Verfügung, welches Plasmen hoher Dichte verwendet.
  • Prozessgasverteilungssystem
  • Es ist dargelegt worden, dass für PECVD hoher Dichte eine verbesserte Abscheidungsgeschwindigkeit (Abscheidungsrate) und -gleichförmigkeit durch Verwenden eines Gasverteilungssystems erzielt werden kann, welches eine gleichförmige Zufuhr mit hoher Strömungsgeschwindigkeit von gasförmigen Reaktionspartnern (Reaktant-Gase) auf die Substratoberfläche zur Verfügung stellt, für beides, um die Abscheidungsgeschwindigkeit zu vergrößern und die Anforderungen an die Reinigung der Kammer zu minimieren. Ein geeignetes Gasverteilungssystem wird offenbart in US-A-6 270 862.
  • Die 4 stellt ein Plasmabehandlungssystem dar, welches solch ein Gasverteilungssystem umfasst. Das System umfasst einen Substratträger 130 und eine Behandlungskammer 140. Der Träger kann zum Beispiel eine HF- vorgespannte Elektrode umfassen. Der Träger kann von einer unteren Endwand der Kammer getragen werden, oder er kann freitragend sein und sich von einer Seitenwand der Kammer aus erstrecken. Das Substrat 120 kann an die Elektrode entweder mechanisch oder elektrostatisch gespannt sein.
  • Das System umfasst ferner eine Antenne 150, wie zum Beispiel die ebene Spule mit mehreren Windungen, die in der 4 gezeigt ist, eine nicht ebene Spule mit mehreren Windungen oder eine Antenne, welche eine andere Form aufweist, welche durch eine geeignete HF-Quelle und eine geeignete Schaltung zur HF-Impedanzabgleichung mit Leistung versorgt wird und HF-Energie induktiv in die Kammer koppelt, um ein Plasma hoher Dichte zur Verfügung zu stellen. Die Kammer kann eine geeignete Vakuumpumpvorrichtung umfassen, zum Halten des Innenraums der Kammer auf einem gewünschten Druck. Ein dielektrisches Fenster, wie zum Beispiel das ebene dielektrische Fenster 155 mit einer gleichförmigen Dicke, das in der 4 gezeigt ist, oder ein nicht ebenes dielektrisches Fenster ist zwischen der Antenne 150 und dem Innenraum der Behandlungskammer 140 vorgesehen und formt die Vakuumwand an dem oberen Ende der Behandlungskammer.
  • Ein primärer Gasring 170 ist unterhalb des dielektrischen Fensters 155 vorgesehen. Der Gasring 170 kann mechanisch an das Kammergehäuse oberhalb des Substrats angeschlossen sein. Der Gasring 170 kann zum Beispiel aus Aluminium oder galvanisiertem Aluminium hergestellt sein.
  • Ein sekundärer Gasring 160 kann ebenso unterhalb des dielektrischen Fensters 155 vorgesehen sein. Ein oder mehrere Gase wie Ar und O2 werden in die Kammer 140 durch Auslässe in dem sekundären Gasring 160 zugeführt. Jeder geeignete Gasring kann als der sekundäre Gasring 160 verwendet werden. Der sekundäre Gasring 160 kann oberhalb des Gasrings 170 angeordnet sein, getrennt durch zusätzliche Abstandhalter 165, welche aus Aluminium oder galvanisiertem Aluminium geformt sind, wie in der 4 gezeigt ist. Alternativ kann, obwohl dies nicht gezeigt ist, der sekundäre Gasring 160 unterhalb des Gasrings 170, zwischen dem Gasring 170 und dem Substrat 120 positioniert sein, oder der sekundäre Gasring 160 kann unterhalb des Substrates 120 positioniert sein und derart ausgerichtet sein, um Gas vertikal von dem Boden der Kammer aus zu injizieren. Noch eine weitere Alternative ist, dass das Ar und O2 durch Auslässe zugeführt werden, welche an dem Kammerboden angeschlossen sind, wobei die Abstandhalter 165 das dielektrische Fenster 155 und den primären Gasring 170 trennen.
  • Eine Vielzahl von abnehmbaren Injektoren 180 sind an dem primären Gasring 170 angeschlossen, um ein Prozessgas wie zum Beispiel SiH4 oder ein ähnliches Silizium beinhaltendes Gas, wie zum Beispiel SiF4, TEOS und so weiter, auf das Substrat 120 zu leiten. Diese Gase werden von den Injektoren 180 durch Austrittsöffnungen 187 der Injektoren zu dem Substrat geleitet. Zusätzlich können gasförmige Reaktionspartner durch Auslässe in dem primären Gasring 170 zugeführt werden. Die Injektoren können aus jedem geeigneten Werkstoff hergestellt sein, wie zum Beispiel Aluminium, galvanisiertes Aluminium, Quarz oder Keramiken wie Al2O3. Obwohl zwei Injektoren gezeigt sind, kann jede Anzahl von Injektoren verwendet werden. Zum Beispiel kann ein Injektor an jedem der Auslässe auf dem primären Gasring 170 angeschlossen sein. Vorzugsweise werden acht bis zweiunddreißig Injektoren auf einem Ring 170 mit einem Durchmesser von 0,2 m bis 0,21 m (200 bis 210 mm) für 0,2 m (200 mm) Substrate verwendet.
  • Die Injektoren 180 sind oberhalb der Ebene des Substrates 120 positioniert, mit ihren Öffnungen auf jedem geeigneten Abstand, wie zum Beispiel 0,03 bis 0,1 m (3 bis 10 cm) entfernt von dem Substrat. Die Injektoren können, gemäß einer vorzuziehenden Ausführung, mit Abstand innerhalb oder außerhalb des Umfangs des Substrats angeordnet sein, zum Beispiel 0 bis 0,05 m (0 bis 5 cm) entfernt von dem Umfang des Substrates. Dies hilft sicherzustellen, dass mögliche Partikelflocken aus den Injektoren nicht auf das Substrat fallen und es verunreinigen werden. Die Injektoren können alle dieselbe Länge aufweisen, oder alternativ kann eine Kombination aus verschiedenen Längen verwendet werden, um die Abscheidungsgeschwindigkeit und -gleichförmigkeit zu erhöhen. Die Injektoren sind vorzugsweise derart ausgerichtet, dass wenigstens einige der Injektoren das Prozessgas in eine Richtung leiten, welche die ausgesetzte Oberfläche des Substrates schneidet.
  • Entgegengesetzt zu den vorhergehenden Ausgestaltungen des Gasinjektionssystems, welche vorwiegend auf einer Diffusion beruhen, um das Gas über dem Substrat zu verteilen, sind die Injektoren gemäß einer Ausführung der vorliegenden Erfindung derart ausgerichtet, dass sie Gas in eine Richtung injizieren, welche eine ausgesetzte Oberfläche des Substrates in einem spitzen Winkel schneidet. Der Winkel der Injektion kann von rund 15 bis < 90 Grad reichen, vorzugsweise 15 bis 45 Grad gegenüber der Horizontalebene des Substrates. Der Winkel oder die Achse der Injektion kann entlang der Achse des Injektors liegen, oder alternativ auf einen Winkel von bis zu 90 Grad oder mehr mit Bezug auf die Achse des Injektors. Der Durchmesser der Austrittsöffnung der Injektoren kann zwischen 2,54 × 10–4 m (0,010 Inches) und 1,5 × 10–3 m (0,060 Inches) liegen, vorzugsweise bei rund 5 × 10–4 m (0,020 Inches) bis 1 × 10–3 m (0,040 Inches). Der hohle Kern der Injektoren 180 kann derart gebohrt sein, dass er rund das Zweifache des Durchmessers der Austrittsöffnungen 187 aufweist, um sicherzustellen, dass eine Schallgeschwindigkeitsströmung in der Austrittsöffnung und nicht innerhalb des Kerns des Injektors auftritt. Die Strömungsgeschwindigkeit von SiH4 beträgt vorzugsweise zwischen 4,16 × 10–7 – 5 × 10–6 m3s–1 (25–300 sccm) für ein Substrat von 0,2 m (200 mm), aber sie kann für größere Substrate höher sein.
  • Ein weiteres Gasinjektionssystem, welches verwendet werden kann, verwendet eine Vielzahl von Injektoren, wie in der 5 dargestellt ist. In dieser Ausführung ist die Öffnung 187A derart ausgerichtet, dass sie das Gas entlang einer Injektionsachse (bezeichnet mit „A") einführt, in einer Richtung, welche von dem Wafer 120A weg (und in Richtung des dielektrischen Fensters) zeigt. Der Winkel oder die Achse der Injektion kann entlang der Achse des Injektors (mit „B" bezeichnet) oder alternativ in einem Winkel von bis zu rund 90 Grad oder mehr mit Bezug auf die Achse des Injektors liegen. In dieser Ausführung kann die Achse der Injektion von rund 5 bis < 90 Grad reichen, vorzugsweise von rund 15 bis 75 Grad, und am meisten vorzuziehen von rund 15 bis 45 Grad gegenüber der Ebene des Substrates. Diese Ausführung sieht das Merkmal vor, dass das Prozessgas oberhalb des Wafers fokussiert wird, was zu hohen Abscheidungsgeschwindigkeiten und einer guten Gleichförmigkeit führt, und ferner den Vorteil einer verminderten Anfälligkeit gegenüber dem Verstopfen der Öffnung bietet. Das verminderte Potential des Verstopfens der Öffnung ermöglicht somit, dass mehr Wafer behandelt werden können, bevor ein Reinigen des Injektors erforderlich ist, was den Durchsatz der Waferbehandlung ultimativ verbessert.
  • Aufgrund der kleinen Öffnungsgröße und Anzahl von Injektoren und den großen Strömungsgeschwindigkeiten von SiH4 entwickelt sich eine große Druckdifferenz zwischen dem Gasring 170 und dem Innenraum der Kammer. Zum Beispiel beträgt der Druckunterschied rund 100:1, wenn der Gasring einen Druck von > 133 Pa (1 Torr) aufweist und der Innenraum der Kammer einen Druck von rund 1,33 Pa (10 mTorr) aufweist. Dies führt zu einer gedrosselten Strömung mit Schallgeschwindigkeit an den Auslässen der Injektoren. Die Innenraumöffnung des Injektors kann ebenso konturiert sein, um eine Überschallströmung an dem Auslass zur Verfügung zu stellen.
  • Das Injizieren von dem SiH4 mit Schallgeschwindigkeit hindert das Plasma daran, in die Injektoren einzudringen. Diese Ausgestaltung vermeidet eine plasmainduzierte Entmischung von dem SiH4 und der daraus folgenden Bildung von amorphen Siliziumrückständen innerhalb des Gasringes und der Injektorverlängerungsröhren.
  • VERSUCH
  • Zum Füllen von Zwischenräumen und zum Abscheiden einer Deckschicht umfasst das Verfahren im allgemeinen einen anfänglichen optionalen Sputter-Reinigungs /Vorheizschritt in einem Plasma ohne jegliches Silizium beinhaltendes Gas, welchem ein Schritt des Zwischenraumfüllens mit großer Vorspannungsleistung folgt. Nachdem der Zwischenraum teilweise gefüllt worden ist, wird eine abschließende Opfer- oder „Deck"-Schicht des Films abgeschieden, vorzugsweise mit einer niedrigen HF-Vorspannungsleistung. Der Schritt des Zwischenraumfüllens füllt vorzugsweise im wesentlichen den gesamten oder wenigstens einen Hauptteil des Zwischenraumes, bevor die Deckschicht abgeschieden wird. Der Schritt des Abscheidens der Deckschicht erfordert nur eine ausreichende Vorspannungsleistung, um die Filmqualität geeignet zu halten, weil kein Sputtern während des Filmwachstums erforderlich ist. Die Deckschicht wird mit einer höheren Abscheidungsgeschwindigkeit als diejenige des Schrittes des Zwischenraumfüllens abgeschieden. Vorzugsweise wird dieser Deckfilm in einem nachfolgenden Planarisierungsschritt mit chemisch-mechanischem Polieren (CMP) teilweise entfernt.
  • Das IC PECVD System erzeugt ein hochdichtes Plasma mit geringem Druck in einem Prozessgas, welches Komponenten umfasst, welche die halbleitenden oder dielektrischen und Deckfilme bilden. Das erfindungsgemäße Verfahren ist anwendbar, um jeden geeigneten halbleitenden, dielektrischen und/oder Deckfilm abzuscheiden, umfassend, zum Beispiel, hydriertes amorphes Silizium Si:H, Siliziumoxid SiOx, wobei x 1,5 bis 2,5 beträgt, Siliziumnitrid, SiN, Siliziumoxifluorid SiOxFy, wobei x 1,5 bis 2,5 und y 2 bis 12 beträgt, und Mischungen hieraus. Es soll verständlich sein, dass beides, stöchiometrische und nichtstöchiometrische Verbindungen abgeschieden werden können, und dass die Werte von x und y durch Einstellen der Prozessparameter gesteuert werden können, wie zum Beispiel die Auswahl der gasförmigen Reaktionspartner und ihrer relativen Strömungsgeschwindigkeiten. Es wird erwartet, dass anorganische und organische Polymere ebenso abgeschieden werden können. Ein vorzuziehender dielektrischer und Deckfilm umfasst SiO2. Obwohl die Erfindung durch Beschreiben der Abscheidung von SiO2 dargestellt werden wird, soll verständlich sein, dass die Erfindung auf andere Filme anwendbar ist.
  • Die Komponenten des Prozessgases werden von dem halbleitenden und/oder dielektrischen Film abhängig sein, welcher abgeschieden werden soll. Mit Bezug auf Silizium beinhaltende Filme kann das Prozessgas zum Beispiel Siliziumwasserstoff/Silan (SiH4), Tetraethylorthosilikat (TEOS), 1,3,5,7-Tetramethylcyklotetrasiloxan (TMCTS), Disilan (Si2H6) oder andere Silizium beinhaltende organometallische Gase umfassen. Das Prozessgas kann ein Edelgas umfassen, vorzugsweise Ar, Kr, Xe und Mischungen hieraus, um die Plasmaeigenschaften oder die Sputtergeschwindigkeiten (Sputterraten), insbesondere während des Schrittes des Zwischenraumfüllens vor der Abscheidung der Deckschicht zu steuern. Um Nichtsiliziumkomponenten in den Film einzubinden, kann das Prozessgas einen gasförmigen Reaktionspartner, wie zum Beispiel H2, O2, N2, NH3, NF3, N2O, NO und Mischungen hieraus umfassen. Gasförmige Reaktionspartner können ebenso Bor und/oder phosphorbeinhaltende Gase umfassen, um Filme aus Borphosphosilikatglas (BPSG), Borsilikatglas (BSG) und Phosphosilikatgas (PSG) herzustellen.
  • Beispiel I (Zwischenraumfüllungsverfahren)
  • SIO2 IMD Abscheidungen wurden in einem ICP-System ausgeführt, welches ähnlich zu demjenigen aus der 1 war. Mechanisch gespannte Wafer von 0,15 m (150 mm) wurden verwendet. Zwei Gasringe, welche an der unteren Kante des Fensters 33 angeordnet waren, wurden verwendet. Ein Gasring verteilte das SIH4 und der andere das Ar und O2. Die Systemparameter werden in der Tabelle 1 festgesetzt. Die Elektrodentemperatur wurde bei 353 K (80°C) gehalten. Tabelle 1
    ICP-HF-Leistung 1000 Watt bei 13,56 MHz
    HF-Leistung der Elektrodenvorspannung 1000 Watt bei 400 kHz
    Ar-Massenstromgeschwindigkeit 1,6 × 10–6 m3 s–1 (100 sccm)
    O2-Massenstromgeschwindigkeit 1,0 × 10–6 m3 s–1 (60 sccm)
    SiH4-Massenstromgeschwindigkeit 6,6 × 10–7 m3 s–1 (40 sccm)
    He-Druck auf der Waferrückseite 399 Pa (3 Torr)
    Kammerdruck 0,49 Pa (3,75 Millitorr) (1000 l/s Pumpe)
  • Die Wirkung des Massenstromverhältnisses von Sauerstoff gegenüber Siliziumwasserstoff/Silan (bei einer konstanten Gesamtströmung) auf die Filmeigenschaften
  • Die Filmstöchiometrie wurde durch die chemische Zusammensetzung von dem Plasma bestimmt, welche primär durch das Verhältnis R der Massenstromgeschwindigkeiten (Massendurchsätze) von Siliziumwasserstoff (Silan) und Sauerstoff bestimmt wird: R = QSiH4/(QSiH4 + QO2), wobei Q der Massendurchsatz ist. Man stelle fest, dass das wirksame Verhältnis von Sauerstoff zu Siliziumwasserstoff, welches der Wafer erfährt, ebenso von anderen Prozessparametern abhängt. Die Wirkung von R auf die Filmeigenschaften ist in der Tabelle 2 gezeigt.
  • Figure 00210001
  • Die Plasmachemie für die Abscheidung kann allgemein in die folgenden Reaktionen unterteilt werden: R < 0,5: SiH4 beschränkt (2 + n)O2 + SiH4 → SiO2 : (OH)4n + (2 – 2n)H2O (I) R ≥ 0,5: O2 beschränkt O2 + SiH4 → SiO2 : (H)2n + (2 – n)H2 (II)
  • Hier bezeichnet SiO2: (X)n ein näherungsweise stöchiometrisches Oxid, beinhaltend einige Anteile n von X, wobei 0 ≤ n < 1 ist. Basierend auf den gemessenen OH-Gehalten war n stets kleiner als 0,025 (OH < 10 at. %). Die Reaktion (I) überwiegte solange der Filmwachstum siliziumwasserstoffbeschränkt war (R ≤ 0,5). Diese Reaktion setzte zunehmende Mengen von Wasser in das Plasma frei, wenn R abnahm, was die Beobachtung begründete, dass die OH-Konzentration in den Filmen mit abnehmendem R zunahm. Im Gegensatz hierzu führte beim Betrieb in dem sauerstoffbeschränkten System die Reaktion (II) (R > 0,5) zu einer vermehrten Produktion von H2, was die zunehmende Einbindung von H als Si-H (und das hieraus resultierende Auftreten von Si-reichen Suboxidgruppen, wie zum Beispiel Si2O3) bei größerem R begründet. Dies begründet ebenso die höheren Kammerdrücke, die bei einem großen R gemessen wurden, weil Turbomolekularpumpen niedrigere Pumpgeschwindigkeiten in H2 aufweisen.
  • Die Daten weisen ferner daraufhin, dass eine wesentliche Änderung in dem Prozess nahe R = 0,40 stattfindet. Dieser Übergang war in allen Filmeigenschaften evident, wie in der Tabelle 2 gezeigt ist, und scheint dem Übergang von einer siliziumwasserstoffbeschränkten Chemie, Reaktion (I), zu einer sauerstoffbeschränkten Chemie, Reaktion (II), zu entsprechen, wie oben beschrieben worden ist. Die Abscheidungsgeschwindigkeit ist linear von der Siliziumwasserstoffströmung abhängig, und der siliziumwasserstoffbeschränkte Bereich (R < 0,40) wurde auf eine Dicke von Null bei einer Strömung von Null extra poliert, wie man erwarten würde.
  • Die Filmspannung ist typischerweise eine Funktion der mechanischen Spannung aufgrund einer unterschiedlichen thermischen Ausdehnung zwischen dem Film und dem Substrat und der intrinsischen Filmspannung. Die erstere wird vorwiegend durch die Abscheidungstemperatur bestimmt. In dem letzteren Fall waren die Mikrostruktur und die Stöchiometrie des Films die überwiegenden Faktoren. In dem SiH4-beschränkten System schien die Filmspannung primär von der Abscheidungsgeschwindigkeit abzuhängen. Es wird angenommen, dass der schnellere Filmwachstum weniger Zeit für die thermische Entspannung und das Sputtern/die Verdichtung durch Ionenbeschuss zuließ. Der Wachstum von Filmen unter O2-beschränkten Zuständen war weniger zusammenpressend, obwohl sie mit höheren Abscheidungsgeschwindigkeiten abgeschieden wurden, als bei einem Filmwachstum unter O2-reichen Zuständen.
  • Die FTIR-Spektren, welche in der 2 gezeigt sind, stellen die Relevanz der Reaktionen I und II dar. Bei kleinem R, wurden Absorptionsbänder (Absorbance Bands) von Si-OH und von Si-HOH beobachtet, aber nicht für Si-H. Bei großem R gab es kein feststellbares Si-OH, aber beides, Bänder aus Si-H und Suboxid (Si2O3) Si-O, waren vorhanden. Bei einem mittleren R scheint gerade noch auf der O2-reichen Seite des kritischen Bereiches eine minimale Einbindung von Si-OH und Si-H vorzuliegen. Der Bereich des mittleren R ist optimal zum Erreichen der gewünschten dielektrischen Konstante. Der Brechungsindex kann ebenso als ein Maß für die vorzuziehenden Betriebszustände verwendet werden, weil Brechungsindizes zwischen 1,465 und 1,480 Filmen entsprechen, welche gute dielektrische Konstanten aufweisen.
  • Die Wirkung der ICP-Leistung auf die Filmeigenschaften:
  • Die Tabelle 3 zeigt wie die Filmeigenschaften von der ICP-Leistung abhängen, wobei die Vorspannungsleistung konstant auf 1000 Watt gehalten wurde.
  • Tabelle 3
    Figure 00240001
  • Die Wirkung, welche die ICP-Leistung auf die Filmeigenschaften hat, ist in der Natur ähnlich zu derjenigen, welche durch die Gesamtströmung verursacht wird. Beide Wirkungen scheinen im wesentlichen ein Phänomen der Zufuhr eines Abscheidungsprecursors (Zwischenproduktes) zu sein. Angenommen, dass der primäre Abscheidungsprecursor durch die Dissoziierung von Siliziumwasserstoff erzeugt wurde, wird die Zufuhr von dieser Spezies auf die Waferoberfläche von ihrer Erzeugungsgeschwindigkeit in dem Plasma und ihrem Verlustanteil zu der Pumpe und zu einer Abscheidung auf den Reaktorwänden abhängig sein. Beides, die Gesamtströmung und die ICP-Leistung können das effektive R an dem Wafer beeinflussen, durch entweder erzeugungs- oder verlustbasierten Mechanismen.
  • In dem Fall der Precursorerzeugung zeigen Berechnungen, basierend auf der Verbindungsfestigkeit, dass die Energie, welche erforderlich ist, um SiH4 zu dissoziieren, kleiner als diejenige für O2 sein sollte. In diesem Fall würde das Vergrößern der Siliziumwasserstoffzufuhr (Gesamtströmung) vorzugsweise die Vergrößerung von SiHX über alle relevanten Sauerstoffspezien vergrößern. Dies treibt die Reaktionschemie zu größerem R, wie beobachtet wurde. Die ICP-Leistung sollte dieses Verfahren ebenso antreiben, obwohl es unklar ist, wie die Abhängigkeit sein soll.
  • Wirkung der Vorspannungsleistung auf die Filmeigenschaften
  • Die Vorspannungsleistung wurde auf den Wafer angelegt, um das Gleichstrommantelpotential und somit die kinetische Energie der Beschussionen zu vergrößern, bis zu dem Punkt, in welchem sie den Film, wenn er wächst, sputtern. Dies verbesserte die Qualität der Filme auf einer Vielzahl von Wegen. O2-Plasma, welches der Abscheidung vorangeht, reinigt die Waferoberfläche durch Sputtern, was ermöglicht, dass sich eine saubere, haftende Schnittstelle ausbildet. Weil der Ionenbeschuss den Wafer während der Abscheidung aufheizt, erfordert die Temperatursteuerung eine Kühlung der Rückseite mit He. Der Ionenbeschuss neigt ebenso dazu, vorzugsweise „ätz"-schwache und nicht im Gleichgewicht befindliche Strukturen aus dem Film heraus zu sputtern und eine Verdichtung durch eine Kompaktierung zu erzeugen. Das ermöglicht, dass Filme mit hoher Qualität bei niedrigeren Wafertemperaturen abgeschieden werden als es anderweitig möglich ist. Die Abhängigkeit der Filmeigenschaften von der Vorspannungsleistung ist in der Tabelle 4 gezeigt.
  • Tabelle 4
    Figure 00260001
  • Man hat festgestellt, dass allgemein Filmeigenschaften einer signifikanten Änderung zwischen 400 und 500 Watt unterlagen. Es wird angenommen, dass obwohl die Ionenenergie bei einer Vorspannungsleistung von unter 400 W zugenommen haben kann, die Ionen keine ausreichende Energie zum Sputtern aufweisen, so dass die vorwiegende Wirkung der Vorspannungsleistung in diesem System diejenige war, die Plasmaerzeugung oberhalb des Wafers zu erhöhen. Oberhalb von 400 W lag die durchschnittliche Ionenenergie mutmaßlich oberhalb des Grenzwertes zum Sputtern für SiO2, und die Nettoabscheidungsgeschwindigkeit nahm ab, weil die Sputterkomponente jegliche Wirkungen aufgrund der sekundären Plasmaerzeugung dominierte.
  • Zwischenraumfüllungsabscheidung
  • Die Leistung des Zwischenraumfüllens kann aus dem „Etch to Diposition Rate Ratio" (Verhältnis der Ätz- zu der Abscheidungsgeschwindigkeit) vorhergesagt werden, ER/DR, welches aus den Abscheidungsgeschwindigkeiten (Abscheidungsraten) mit und ohne HF-Vorspannung berechnet wird (der Zustand „Zero-Bias" (ohne Vorspannung) verwendete tatsächlich 100 W, um die sekundäre Plasmaerzeugung zu berücksichtigen): E/D = [DR(keine Vorspannung) – DR(Spannung)] ÷ DR(keine Vorspannung), wobei DR die Abscheidungsgeschwindigkeit bezeichnet. Verfahren mit einem höheren E/D können aggressivere Zwischenräume füllen. Im allgemeinen sollte das geringst mögliche E/D, welches die geforderten Zwischenräume füllen wird, verwendet werden, um die Nettoabscheidungsgeschwindigkeit (Net Diposition Rate) zu maximieren. Selbstverständlich sollte, sobald die Zwischenräume gefüllt sind, das E/D auf den Minimalwert reduziert werden, welcher notwendig ist, um die Filmqualität zu sichern, so dass dem Hauptteil der IMD-Schicht ermöglicht wird, dass er mit viel höheren Geschwindigkeiten abgeschieden wird.
  • Die SEMs, welche in den 3A, 3B, 3C und 3D gezeigt sind, zeigen Beispiele einer guten und schlechten Lückenfüllung durch ICP-CVD. Die 3A zeigt eine teilweise Füllung, welche ohne Vorspannungsleistung versucht wurde. Die poröse Filmmorphologie und das „brotlaibartige" Erscheinungsbild des Films kann man am oberen Ende der Leitung erkennen. Diese schließt sich eventuell darüber, um eine Fehlstelle zu hinterlassen, wie diejenige, die in der 3B gezeigt ist. Dies sind ebenso die Strukturen, welche vorzugsweise weggesputtert werden, weil die Ausbeute des Sputterns maximal bei 45° ist. Die 3B gibt ein Beispiel einer nicht erfolgreichen Füllung, wobei eine Vorspannungsleistung verwendet wurde, aber das E/D für den Zwischenraum zu niedrig war. Man bemerke, dass die Brotlaibe sich in dem Verfahren früh geschlossen haben, und dabei einen großen, tiefen Zwischenraum zurückgelassen haben. In der 3C kann man eine winzige Fehlstelle nahe eines anderweitig identischen Zwischenraums, welcher erfolgreich gefüllt worden ist, erkennen, welche gerade bevor der Zwischenraum gefüllt worden ist, ausgebildet worden ist. In diesem Fall war das E/D marginal. Die Schichtbildung wurde vorsätzlich ausgeführt, durch periodisches Abscheiden einer dünnen Si-reichen Schicht und durch Dekorieren der Probe mit dem geeigneten Farbstoff, um den Kontrast der Zusammensetzung herauszuarbeiten. Dies zeigt klar, wie sich die Zwischenräume von unten nach oben füllen, mit einem kleinen Seitenwandwachstum im Vergleich zu denjenigen auf horizontalen Oberflächen. Die 45° Facetten, welche oberhalb der Leitungen durch Sputtern ausgebildet wurden, sind ebenso deutlich sichtbar. Die 3D zeigt, wie ein moderates E/D Verfahren (100 sccm Ar) einen aggressiven Zwischenraum vollständig ausgefüllt hat. Dies zeigt, dass ICP-CVD aggressive Strukturen füllen kann.
  • Beispiel II (Zwischenraumfüllungs- und Abdeckungsverfahren)
  • IMD- und Abdeckungsabscheidungen mit SiO2 wurden in einem ICP-System ausgeführt, welches ähnlich zu demjenigen aus der 4 ist. In diesem Beispiel wurden Wafer von 0,2 m (200 mm) behandelt. Die Wafer wurden elektrostatisch an einen thermisch gesteuerten Chuck gespannt. Die untere Elektrode wurde durch einen Generator mit 13,56 MHz mit Leistung versorgt. Eine Pumpe mit 2 m3/Sek (2000 l/Sek) wurde ebenso in das ICP-CVD System eingebunden, um die Pumpgeschwindigkeit bei hohen Strömungen zu verbessern. Eine ICP-Leistung wurde verwendet, welche in dem Bereich von 1000 bis 2500 Watt lag. Eine hohe Vorspannungsleistung wurde für das Verfahren zum Füllen der Zwischenräume verwendet und lag in dem Bereich von 500 bis 2500 Watt.
  • Typische Prozessparameter sind in der Tabelle 5 für die Zwischenraumfüllung, die Deck- und Opferdeckschichten gezeigt, und die entsprechenden Filmeigenschaften. Die Tabelle listet ebenso die vorzuziehenden Bereiche für die Prozessparameter auf. Tabelle 5
    Figure 00290001
    • * Vorzuziehende Bereiche werden in (Klammern) dargestellt.
  • Filmeigenschaften
    Figure 00300001
  • Bei diesen Abscheidungen (Zwischenräume mit 0,5 × 10–6 m (0,5 μm)) war Argon in dem Prozessgas vorhanden. Der Zusatz von Argon ist jedoch nicht immer notwendig, wie in den vorzuziehenden Bereichen angedeutet wird. Bei der Abscheidung der Deckschicht kann die anfängliche Abscheidung eine hohe HF-Vorspannungsleistung der Elektrode verwenden, um eine gute Filmqualität zu erzeugen. Danach kann eine geringere Vorspannungsleistung angelegt werden (vorzugsweise während in etwa dieselbe Elektrodentemperatur beibehalten wird), um eine Opferdeckschicht von geringerer Qualität zu erzeugen. Typischerweise wird diese Opferdeckschicht in einem nachfolgenden Ebnungsverfahren im wesentlichen entfernt.
  • Im allgemeinen verbessert eine hohe Substrattemperatur die Eigenschaften des abgeschiedenen Films. Im wesentlichen gibt es zwei primäre Beiträge zu der Substrattemperatur: (1) Thermisches Heizen von dem Substratträger (ESC) und (2) Plasmaheizen, welches primär aus der HF-Vorspannungsleistung der Elektrode und bis auf ein geringeres Ausmaß aus der Quellenleistung (ICP, ECR, etc.) stammt.
  • Im Stand der Technik wurde das Vergrößern der Leistung der Quelle und der Vorspannung verwendet, um die Substrattemperatur zu erhöhen, in einem Versuch, die Filmqualität zu verbessern. Dies führt jedoch häufig zu einem Kompromiss unter den gewünschten Filmeigenschaften, wie durch die untenstehenden Ergebnisse gezeigt wird, welche die Wirkung des Heliumdruckes auf der Rückseite, der Leistung und der Höhe der Kammer untersuchen.
  • Die Wirkungen des Heliumdruckes auf der Rückseite, der Leistung und der Kammerhöhe
  • Eine Reihe von Abscheidungen wurde ausgeführt, wobei die Höhe von Abstandhaltern, der Druck der Heliumkühlung und der Leistungspegel der ICP-CVD-Einrichtung variiert wurden, um die Substrattemperatur mit einer Elektrodentemperatur von 853 K (80°C) zu modulieren. Die Tabelle 6 stellt die Ergebnisse dar. Man hat festgestellt, dass Substrattemperaturen nahe 673 K (400°C) Oxide mit hoher Qualität produzieren. Unter anderen Dingen treibt eine hohe Substrattemperatur flüchtige Bestandteile aus und verbessert die Filmdichte. In der Abscheidung 3, in welcher kein Helium verwendet wurde, hat man abgeschätzt, dass die Wafertemperatur über 723 K (450°C) lag.
  • Bei dem Satz der ersten drei Wafer wurde der Heliumdruck von 266 Pa (2 Torr) auf 0 Pa (0 Torr) (das heißt kein Kühlen) vermindert, und dies verursachte einen Anstieg in dem Bereich der Substrattemperatur von 548 K (275°C) bis über 600 K (400°C). Die Filmeigenschaften zeigten an, dass die hohen Wafertemperaturen einen Film hoher Qualität erzeugten. Niedrige OH-Niveaus wurden in den Filmen festgestellt, und alle anderen Filmeigenschaften waren exzellent. Der Vorteil des Verwendens von einer hohen Wafertemperatur ist derjenige, dass diese keine nachteiligen Wirkungen im Hinblick auf die Filmspannung, OH % und das Nassätzverhältnis verursacht.
  • Der zweite Satz von Wafern (Abscheidungen mit den Nummern 4, 5 und 6) demonstrierte die Wirkungen des Verwendens von Kühlgas aus Helium und Argon für die Steuerung der Substrattemperatur. Der Satz der ersten drei Wafer verwendete Helium, und der zweite Satz von drei Wafern verwendete Argon zum Kühlen. Die Ergebnisse zeigen, dass Helium und Argon ähnliche Prozessergebnisse erzeugten.
  • Der erste und der dritte Satz von drei Wafern vergleichen die Wirkung von Plasmaheizen des Wafers. Das Waferheizen wurde ausgeführt, durch Vermindern des Abstandes zwischen der ICP-Spule gegenüber der Substratoberfläche (die Höhe der Abstandshalter). Die Ergebnisse zeigten, dass sich die Qualität geändert hat, verlaufend von einem großen zu einem niedrigeren Zwischenraumabstand bei dem Prozess mit demselben Leistungsniveau. Das OH % blieb dasselbe, und das Nassätzverhältnis verbesserte sich bei kleineren Abständen, beim Vergleich der Fälle mit Heliumkühlung bei 267 (2) oder 133 Pa (1 Torr). Es wurde jedoch eine größere Druckspannung beobachtet, wenn ein kleinerer Zwischenraumabstand verwendet wurde.
  • Wenn man den Satz der dritten drei Wafer mit den letzten beiden Wafern in der Tabelle 6 vergleicht, wurde die ICP-Leistung von 2500 auf 2000 Watt vermindert. Die Daten zeigen, dass geringere Druckspannungen durch Vermindern der Leistung beobachtet wurden. Das Nassätzverhältnis wurde verschlechtert, was anzeigt, dass ein geringeres Plasmaheizen die Filmstruktur verändert hat, wobei der Film möglicherweise poröser gemacht wurde. Daher ist das Nassätzverhältnis bei höheren Leistungsniveaus besser. Tabelle 6
    Figure 00330001
    • * Die Prozesszustände waren die Höhe der Abstandhalter (cm), der Druck der Heliumkühlung (Torr) und (3) die ICP-Leistung (Watt). Die HF-Vorspannung war in jedem Fall Null.
  • Wirkung der geheizten Elektrode auf die Filmeigenschaften
  • Im Gegensatz zu dem Ansatz, dass die Quelle und die Vorspannungsleistungen verwendet werden, um die Substrattemperatur zu erhöhen, wurde demonstriert, dass eine höhere Elektrodentemperatur zu einer verbesserten Filmqualität und einem breiteren Prozessfenster führen kann, ohne einen Kompromiss unter den gewünschten Werten der Filmspannung, OH % und/oder dem Nassätzverhältnis.
  • Dies wird durch die Ergebnisse, die in der Tabelle 7 gezeigt sind, dargestellt, wobei die Ergebnisse einer Deckschichtabscheidung mit einer Elektrode von 343 K (70°C) und 393 K (120°C) für solche Fälle mit und ohne einer angelegten HF-Vorspannung zusammengefasst sind. Vorzugsweise beträgt beim Präparieren eines Deckschichtfilms das Nassätzverhältnis < 2:1, das OH % ist ≤ rund 1%, und die Größe der Filmspannung ist kleiner als 200 MPa. Einfaches Vergrößern des Plasmaheizens des Wafers durch Vergrößern der Vorspannung von 0 bis 2000 Watt führt zu einer Verminderung in dem Nassätzverhältnis, aber dies führt ebenso zu einer unerwünschten Zunahme in der Filmspannung. Im Gegensatz dazu, durch Verwenden einer Elektrode mit höherer Temperatur, werden beides, die Filmspannung und das Nassätzverhältnis, in Fällen mit und ohne HF-Vorspannungsleistung reduziert. Somit verwendet ein vorzuziehendes Verfahren eine thermisch gesteuerte Elektrode mit einer Temperatur, welche aus dem Bereich von rund 333 K (60°C) bis 473 K (200°C) auswählbar ist.
  • Tabelle 7: Vergleich der Filmeigenschaften mit Elektroden von 343 K (70°C) und 393 K (120°C):
    Figure 00350001
  • Die Prozessparameter sind in Tabelle 5 festgesetzt.
  • Ein weiterer Vorteil des Verwendens einer höheren Elektrodentemperatur ist, dass die Bereiche für die anderen Prozesszustände, umfassend zum Beispiel den Druck, die Strömungsgeschwindigkeiten der gasförmigen Reaktionspartner und die TCP-Leistung, breiter sind, so dass ein breiterer Satz von Betriebszuständen verwendet werden kann.
  • Das Vorhergehende hat Grundlagen, vorzuziehende Ausführungen und Betriebsarten der vorliegenden Erfindung beschrieben. Die Erfindung soll jedoch nicht derart ausgelegt werden, dass sie auf die besonderen beschriebenen Ausführungen beschränkt ist. Somit sollen die oben beschriebenen Ausführungen eher als beispielhaft denn als einschränkend verstanden werden, und es soll verständlich sein, dass an solchen Ausführungen Variationen vorgenommen werden können, durch Facharbeiter, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen, wie er durch die folgenden Patentansprüche definiert wird.

Claims (11)

  1. Ein Verfahren zum Füllen von Zwischenräumen zwischen elektrisch leitenden Leitungen auf einem Halbleiter-Substrat (23, 120) und zum Abscheiden einer Deckschicht über den gefüllten Zwischenräumen, umfassend die folgenden Schritte: das Tragen eines Substrates (23, 120) auf einem Substratträger (24, 130) in einer Prozesskammer (21, 140) eines induktiv gekoppelten Reaktors (20) mit Plasma-unterstützter Gasphasenabscheidung nach chemischem Verfahren, wobei der gesagte Substratträger eine Elektrode aufweist, welche eine HF-Vorspannung dem Substrat sowohl während eines Schrittes zur Zwischenraumfüllung als auch eines nachfolgenden Schrittes zur Abdeckung zuführt, der gesagte Schritt zur Zwischenraumfüllung umfasst das Füllen von Zwischenräumen zwischen elektrisch leitenden Leitungen auf dem Substrat (23, 120) durch: Einführen eines ersten Prozessgases, umfassend ein Edelgas, zu der Prozesskammer (21, 140), das Energetisieren des ersten Prozessgases in ein Plasma, durch induktives Einkoppeln von HF-Energie in die Prozesskammer, um einen ersten dielektrischen Film, umfassend Siliziumoxid, in den Zwischenräumen mit einer ersten Abscheidungsgeschwindigkeit anwachsen zu lassen; der gesagte Schritt des Abdeckens umfasst das Einleiten eines zweiten Prozessgases in die Prozesskammer hinein, das Energetisieren des zweiten Prozessgases in ein Plasma, durch induktives Einkoppeln von HF-Energie in die Prozesskammer, um eine Deckschicht, umfassend einen zweiten dielektrischen Film, auf der Oberfläche des gesagten ersten dielektrischen Films abzuscheiden, wobei die gesagte Deckschicht mit einer zweiten Abscheidungsgeschwindigkeit abgeschieden wird, welche größer ist als die erste Abscheidungsgeschwindigkeit.
  2. Das Verfahren aus Anspruch 1, wobei das zweite Prozessgas ein Edelgas umfasst, und das erste Prozessgas eine größere Menge von Edelgas als das zweite Prozessgas umfasst.
  3. Das Verfahren aus Anspruch 1 oder Anspruch 2, wobei die HF-Vorspannung, welche während des Schrittes der Zwischenraumfüllung angelegt wird, dieselbe ist wie oder größer ist als diejenige, welche während des Schrittes des Abdeckens angelegt wird.
  4. Das Verfahren aus Anspruch 3, wobei die HF-Vorspannung während des Schrittes der Zwischenraumfüllung größer ist als während des Schrittes der Abdeckung.
  5. Das Verfahren aus einem der vorhergehenden Ansprüche, wobei das erste und das zweite Prozessgas durch eine Gaszufuhr (160, 170) eingeleitet werden, welche Öffnungen (187) aufweist, wobei wenigstens einige der Öffnungen das Prozessgas entlang einer Achse einer Einspritzung ausrichten, die eine ausgesetzte Oberfläche des Substrates (23, 120) mit einem spitzen Winkel schneidet.
  6. Das Verfahren aus einem der Ansprüche 1, 2 oder 3, wobei die HF-Vorspannung dadurch erzeugt wird, dass die Elektrode mit einer Leistung von wenigstens 20000 Watt/m2 versorgt wird.
  7. Das Verfahren aus einem der vorhergehenden Ansprüche, wobei der Substratträger (24, 130) auf einer Temperatur von 80°C bis 200°C gehalten wird.
  8. Das Verfahren aus einem der vorhergehenden Ansprüche, wobei ein Wärmeübertragungsgas zwischen eine Oberfläche des Substrats (23, 120) und eine Oberfläche des Substratträgers (24, 130) zugeführt wird.
  9. Das Verfahren aus einem der vorhergehenden Ansprüche, wobei ein sauerstoffbeinhaltendes Gas in den Zwischenräumen plasmaphasenreagiert wird, und Polymerrückstände in den Zwischenräumen vor dem Anwachsenlassen des ersten dielektrischen Films entfernt werden.
  10. Das Verfahren aus einem der vorhergehenden Ansprüche, wobei der Reaktor eine im wesentlichen ebene Induktionsspule (34) aufweist, und der erste dielektrische Film durch Energetisieren des ersten Prozessgases in ein Plasma durch induktives Einkoppeln von HF-Energie aus der Spule in die Prozesskammer produziert wird, und der zweite dielektrische Film durch Energetisieren des zweiten Prozessgases in ein Plasma durch induktives Einkoppeln von HF-Energie aus der Spule in die Prozesskammer produziert wird.
  11. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei der zweite dielektrische Film aus Siliziumoxid ausgebildet wird.
DE69732918T 1996-12-23 1997-12-22 Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD Expired - Lifetime DE69732918T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/772,374 US6184158B1 (en) 1996-12-23 1996-12-23 Inductively coupled plasma CVD
US772374 1996-12-23
PCT/US1997/022987 WO1998028465A1 (en) 1996-12-23 1997-12-22 Inductively coupled plasma cvd

Publications (2)

Publication Number Publication Date
DE69732918D1 DE69732918D1 (de) 2005-05-04
DE69732918T2 true DE69732918T2 (de) 2006-04-13

Family

ID=25094857

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69732918T Expired - Lifetime DE69732918T2 (de) 1996-12-23 1997-12-22 Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD

Country Status (8)

Country Link
US (2) US6184158B1 (de)
EP (1) EP0953066B1 (de)
JP (1) JP2001507081A (de)
KR (1) KR100497778B1 (de)
AT (1) ATE292200T1 (de)
DE (1) DE69732918T2 (de)
TW (1) TW432493B (de)
WO (1) WO1998028465A1 (de)

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19752926A1 (de) * 1997-11-28 1999-06-10 Bosch Gmbh Robert Verfahren zum Aufbringen eines Schutzlacks auf einen Wafer
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
KR100420753B1 (ko) * 1999-03-17 2004-03-02 세미컨덕터300 게엠베하 운트 코 카게 반도체 웨이퍼 상의 갭 충진 방법
EP1208002A4 (de) * 1999-06-03 2006-08-02 Penn State Res Found Dünnschicht-abgeschieden leersäule-netzwerksmaterialien
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP4105353B2 (ja) * 1999-07-26 2008-06-25 財団法人国際科学振興財団 半導体装置
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
DE10010286A1 (de) * 2000-02-25 2001-09-13 Infineon Technologies Ag Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur
GB2367426A (en) * 2000-04-04 2002-04-03 Agere Syst Guardian Corp Silicon rich oxides and fluorinated silicon oxide insulating layers
JP3532830B2 (ja) * 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
JP3934343B2 (ja) 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
AUPR368201A0 (en) * 2001-03-13 2001-04-12 Redfern Integrated Optics Pty Ltd Silica-based optical device fabrication
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
EP1421606A4 (de) * 2001-08-06 2008-03-05 Genitech Co Ltd Geräte für die plasmaverstärkte atomische schichtablagerung (peald) und verfahren zur bildung eines dünnen leitfähigen films damit dafür
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
KR100418908B1 (ko) * 2001-12-21 2004-02-14 엘지전자 주식회사 광도파로용 실리카막 제조방법
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6936547B2 (en) * 2002-10-31 2005-08-30 Micron Technology, Inc.. Gas delivery system for deposition processes, and methods of using same
US7786021B2 (en) * 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040132287A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Dry etch process for copper
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7807225B2 (en) * 2003-01-31 2010-10-05 Sharp Laboratories Of America, Inc. High density plasma non-stoichiometric SiOxNy films
US7544625B2 (en) * 2003-01-31 2009-06-09 Sharp Laboratories Of America, Inc. Silicon oxide thin-films with embedded nanocrystalline silicon
KR100497607B1 (ko) * 2003-02-17 2005-07-01 삼성전자주식회사 박막 형성 방법 및 박막 증착 장치
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20070184181A1 (en) * 2003-03-25 2007-08-09 Kazuo Wada Device and method for forming film for organic electro-luminescence element using inductive coupling CVD
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7595096B2 (en) * 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US8357242B2 (en) 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
US8133822B2 (en) * 2004-03-15 2012-03-13 Sharp Laboratories Of America, Inc. Method of forming silicon nanocrystal embedded silicon oxide electroluminescence device with a mid-bandgap transition layer
US7998884B2 (en) * 2004-03-15 2011-08-16 Sharp Laboratories Of America, Inc. Method of forming a light emitting device with a nanocrystalline silicon embedded insulator film
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
US9222169B2 (en) * 2004-03-15 2015-12-29 Sharp Laboratories Of America, Inc. Silicon oxide-nitride-carbide thin-film with embedded nanocrystalline semiconductor particles
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20060128149A1 (en) * 2004-12-15 2006-06-15 Dongbuanam Semiconductor Inc. Method for forming a metal wiring in a semiconductor device
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP3984639B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100731998B1 (ko) * 2005-06-07 2007-06-27 주식회사 뉴파워 프라즈마 유도결합 플라즈마 소오스
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US20080179762A1 (en) * 2007-01-25 2008-07-31 Au Optronics Corporation Layered structure with laser-induced aggregation silicon nano-dots in a silicon-rich dielectric layer, and applications of the same
US7857907B2 (en) * 2007-01-25 2010-12-28 Au Optronics Corporation Methods of forming silicon nanocrystals by laser annealing
US20080202414A1 (en) * 2007-02-23 2008-08-28 General Electric Company Methods and devices for coating an interior surface of a plastic container
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080266689A1 (en) * 2007-04-26 2008-10-30 Sharp Laboratories Of America, Inc. Non-stoichiometric SiOxNy optical filters
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
TWI381534B (zh) * 2009-03-24 2013-01-01 Au Optronics Corp 光學感測器與其製作方法以及具有光學感測器之顯示面板
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101273116B1 (ko) * 2010-03-16 2013-06-13 서울대학교산학협력단 실리콘 산화막의 형성 방법
US20120027956A1 (en) * 2010-07-29 2012-02-02 International Business Machines Corporation Modification of nitride top layer
JP5224012B2 (ja) * 2010-12-08 2013-07-03 日新電機株式会社 シリコン酸窒化膜の形成方法及び半導体デバイス
US8927857B2 (en) * 2011-02-28 2015-01-06 International Business Machines Corporation Silicon: hydrogen photovoltaic devices, such as solar cells, having reduced light induced degradation and method of making such devices
TW201316375A (zh) 2011-10-05 2013-04-16 Intevac Inc 電感/電容複合式電漿供應源及具有其腔室之系統
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
CN104752258A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理腔室的清洁方法
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9385003B1 (en) 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6963900B2 (ja) 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2021113257A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation In-situ pecvd cap layer
TWI762114B (zh) * 2020-12-25 2022-04-21 天虹科技股份有限公司 電漿清潔裝置
CN114752921B (zh) * 2021-01-08 2023-08-18 江苏鲁汶仪器股份有限公司 一种倒装芯片中的镀膜方法
US20220298636A1 (en) * 2021-03-22 2022-09-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
AU548915B2 (en) 1983-02-25 1986-01-09 Toyota Jidosha Kabushiki Kaisha Plasma treatment
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4992301A (en) 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
FR2653633B1 (fr) 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
JP3123061B2 (ja) * 1990-06-13 2001-01-09 ソニー株式会社 バイアスecr―cvd法による埋め込み平坦化方法
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
EP0489407A3 (en) 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
DE4106770C2 (de) 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
KR100255703B1 (ko) 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5286518A (en) * 1992-04-30 1994-02-15 Vlsi Technology, Inc. Integrated-circuit processing with progressive intermetal-dielectric deposition
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
EP0637058B1 (de) 1993-07-30 2004-03-31 Applied Materials, Inc. Verfahren zum Einleiten reaktiven Gases in eine Substratbearbeitungsvorrichtung
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
TW293983B (de) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
JP3257241B2 (ja) * 1994-04-25 2002-02-18 ソニー株式会社 プラズマcvd方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5686356A (en) * 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5691876A (en) * 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH08227933A (ja) * 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5616519A (en) * 1995-11-02 1997-04-01 Chartered Semiconductor Manufacturing Pte Ltd. Non-etch back SOG process for hot aluminum metallizations
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US5789314A (en) * 1995-12-05 1998-08-04 Integrated Device Technology, Inc. Method of topside and inter-metal oxide coating
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5744400A (en) * 1996-05-06 1998-04-28 Accord Semiconductor Equipment Group Apparatus and method for dry milling of non-planar features on a semiconductor surface
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5922133A (en) * 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Also Published As

Publication number Publication date
US6184158B1 (en) 2001-02-06
KR20000062317A (ko) 2000-10-25
EP0953066B1 (de) 2005-03-30
DE69732918D1 (de) 2005-05-04
US20010019903A1 (en) 2001-09-06
EP0953066A1 (de) 1999-11-03
ATE292200T1 (de) 2005-04-15
TW432493B (en) 2001-05-01
JP2001507081A (ja) 2001-05-29
KR100497778B1 (ko) 2005-06-23
WO1998028465A1 (en) 1998-07-02

Similar Documents

Publication Publication Date Title
DE69732918T2 (de) Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
CN111524788B (zh) 氧化硅的拓扑选择性膜形成的方法
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
EP0584252B1 (de) VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE69633770T2 (de) Verfahren und Vorrichtung zur chemischen Gasphasenabscheidung dünner Schichten
US5120680A (en) Method for depositing dielectric layers
US7238616B2 (en) Photo-assisted method for semiconductor fabrication
DE69829390T2 (de) Gasinjektions-system für plasma-behandlungsvorrichtung
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
US4681653A (en) Planarized dielectric deposited using plasma enhanced chemical vapor deposition
US7067436B2 (en) Method of forming silicon oxide film and forming apparatus thereof
US6465043B1 (en) Method and apparatus for reducing particle contamination in a substrate processing chamber
US7112531B2 (en) Silicon oxide co-deposition/etching process
EP0518544B1 (de) Anisotropische Ablagerung von Dielektrika
US7202183B2 (en) Method of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US5281557A (en) Soluble oxides for integrated circuit fabrication formed by the incomplete dissociation of the precursor gas
JPH05226327A (ja) 絶縁膜の製造方法および製造装置
CN114664651A (zh) 半导体表面缺陷的处理方法和半导体器件的制备方法
JPH08298262A (ja) 半導体装置の製造方法
JPH0750296A (ja) 絶縁膜製造方法
KR20170044777A (ko) 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
JPH1092816A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
8364 No opposition during term of opposition