DE69729659D1 - Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld - Google Patents

Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld

Info

Publication number
DE69729659D1
DE69729659D1 DE69729659T DE69729659T DE69729659D1 DE 69729659 D1 DE69729659 D1 DE 69729659D1 DE 69729659 T DE69729659 T DE 69729659T DE 69729659 T DE69729659 T DE 69729659T DE 69729659 D1 DE69729659 D1 DE 69729659D1
Authority
DE
Germany
Prior art keywords
microlithography
screen device
micro lens
confocal microscopy
recording field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69729659T
Other languages
English (en)
Other versions
DE69729659T2 (de
Inventor
Kenneth C Johnson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of DE69729659D1 publication Critical patent/DE69729659D1/de
Application granted granted Critical
Publication of DE69729659T2 publication Critical patent/DE69729659T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0036Scanning details, e.g. scanning stages
    • G02B21/0044Scanning details, e.g. scanning stages moving apertures, e.g. Nipkow disks, rotating lens arrays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0028Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders specially adapted for specific applications, e.g. for endoscopes, ophthalmoscopes, attachments to conventional microscopes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70241Optical aspects of refractive lens systems, i.e. comprising only refractive elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
DE69729659T 1996-02-28 1997-02-20 Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld Expired - Fee Related DE69729659T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1243496P 1996-02-28 1996-02-28
US12434P 1996-02-28
PCT/US1997/002949 WO1997034171A2 (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy

Publications (2)

Publication Number Publication Date
DE69729659D1 true DE69729659D1 (de) 2004-07-29
DE69729659T2 DE69729659T2 (de) 2005-06-23

Family

ID=21754960

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69729659T Expired - Fee Related DE69729659T2 (de) 1996-02-28 1997-02-20 Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld

Country Status (6)

Country Link
US (1) US6133986A (de)
EP (1) EP0991959B1 (de)
JP (1) JP2001500628A (de)
AU (1) AU1975197A (de)
DE (1) DE69729659T2 (de)
WO (1) WO1997034171A2 (de)

Families Citing this family (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020118457A1 (en) * 2000-12-22 2002-08-29 Dowski Edward Raymond Wavefront coded imaging systems
US20020195548A1 (en) * 2001-06-06 2002-12-26 Dowski Edward Raymond Wavefront coding interference contrast imaging systems
US7218448B1 (en) 1997-03-17 2007-05-15 The Regents Of The University Of Colorado Extended depth of field optical systems
US20080248046A1 (en) * 1997-03-17 2008-10-09 Human Genome Sciences, Inc. Death domain containing receptor 5
US6853653B2 (en) * 1997-07-22 2005-02-08 Cymer, Inc. Laser spectral engineering for lithographic process
DE69720458T2 (de) * 1997-10-22 2004-02-26 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Programmierbares räumlich lichtmoduliertes Mikroskop und Mikroskopieverfahren
US6016185A (en) * 1997-10-23 2000-01-18 Hugle Lithography Lens array photolithography
DE19748211A1 (de) * 1997-10-31 1999-05-06 Zeiss Carl Fa Optisches Array-System und Reader für Mikrotiterplatten
JP3547610B2 (ja) * 1998-03-27 2004-07-28 パイオニア株式会社 体積ホログラフィックメモリ光情報記録再生装置
US6331891B1 (en) * 1998-04-07 2001-12-18 Fujitsu Limited Apparatus and method for assembling semiconductor device and semiconductor device thus fabricated
US7498164B2 (en) 1998-05-16 2009-03-03 Applied Biosystems, Llc Instrument for monitoring nucleic acid sequence amplification reaction
US6818437B1 (en) * 1998-05-16 2004-11-16 Applera Corporation Instrument for monitoring polymerase chain reaction of DNA
JP2003524754A (ja) * 1998-05-16 2003-08-19 ピーイー コーポレイション (エヌワイ) Dnaのポリメラーゼ連鎖反応をモニタする装置
DE19846928A1 (de) * 1998-10-12 2000-04-13 Zeiss Carl Fa Abbildungssystem mit einem Zylinderlinsenarray
US6339503B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Optical interconnect using microlens/minilens relay
US6339506B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Microlens array with spatially varying optical property
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6424404B1 (en) * 1999-01-11 2002-07-23 Kenneth C. Johnson Multi-stage microlens array
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US8994920B1 (en) 2010-05-07 2015-03-31 Kenneth C. Johnson Optical systems and methods for absorbance modulation
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
US9097983B2 (en) 2011-05-09 2015-08-04 Kenneth C. Johnson Scanned-spot-array EUV lithography system
US7054504B2 (en) * 1999-02-25 2006-05-30 Ludwig Lester F Relative optical path phase reconstruction in the correction of misfocused images using fractional powers of the fourier transform
US6556361B1 (en) * 1999-03-17 2003-04-29 Rochester Institute Of Technology Projection imaging system with a non-circular aperture and a method thereof
GB9906929D0 (en) * 1999-03-26 1999-05-19 Univ Glasgow Assay system
US6529262B1 (en) 1999-04-14 2003-03-04 Ball Semiconductor, Inc. System and method for performing lithography on a substrate
DE19919092A1 (de) * 1999-04-27 2000-11-02 Zeiss Carl Jena Gmbh Anordnung zur optischen Auswertung eines Gegenstandsarrays
US6392752B1 (en) * 1999-06-14 2002-05-21 Kenneth Carlisle Johnson Phase-measuring microlens microscopy
GB2351556B (en) * 1999-06-26 2004-06-30 Cambridge Imaging Ltd Improved assay analysis
EP1133712B1 (de) * 1999-09-29 2003-12-03 Koninklijke Philips Electronics N.V. Bildprojektionssystem
AU2421101A (en) * 1999-11-16 2001-05-30 Agilent Technologies Inc. Confocal imaging
GB2360162B (en) 2000-01-07 2004-06-02 Axon Instr Inc Scanning microscope
US6379867B1 (en) 2000-01-10 2002-04-30 Ball Semiconductor, Inc. Moving exposure system and method for maskless lithography system
US6628390B1 (en) * 2000-01-24 2003-09-30 Kenneth C. Johnson Wafer alignment sensor using a phase-shifted microlens array
DE10005189A1 (de) 2000-02-05 2001-08-09 Zeiss Carl Projektionsbelichtungsanlage mit reflektivem Retikel
DE10017824B4 (de) * 2000-04-10 2004-03-18 Till I.D. Gmbh Vorrichtung zur parallelen photometrischen Fluoreszenz- oder Lumineszenzanalyse mehrerer voneinander getrennter Probenbereiche auf einem Objekt
US7001792B2 (en) * 2000-04-24 2006-02-21 Eagle Research & Development, Llc Ultra-fast nucleic acid sequencing device and a method for making and using the same
US8232582B2 (en) 2000-04-24 2012-07-31 Life Technologies Corporation Ultra-fast nucleic acid sequencing device and a method for making and using the same
US6425669B1 (en) 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6552779B2 (en) 2000-05-25 2003-04-22 Ball Semiconductor, Inc. Flying image of a maskless exposure system
US6563581B1 (en) * 2000-07-14 2003-05-13 Applera Corporation Scanning system and method for scanning a plurality of samples
US6407766B1 (en) * 2000-07-18 2002-06-18 Eastman Kodak Company Method and apparatus for printing to a photosensitive media using multiple spatial light modulators
US6537738B1 (en) 2000-08-08 2003-03-25 Ball Semiconductor, Inc. System and method for making smooth diagonal components with a digital photolithography system
US6493867B1 (en) 2000-08-08 2002-12-10 Ball Semiconductor, Inc. Digital photolithography system for making smooth diagonal components
US6708131B1 (en) * 2000-08-30 2004-03-16 Micron Technology, Inc. Wafer alignment system
US7136159B2 (en) * 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP4659300B2 (ja) * 2000-09-13 2011-03-30 浜松ホトニクス株式会社 レーザ加工方法及び半導体チップの製造方法
US6313936B1 (en) * 2000-09-20 2001-11-06 General Nutronics, Inc. Method and device for switching wavelength division multiplexed optical signals using micro-electromechanical mirrors
DE10046379A1 (de) 2000-09-20 2002-03-28 Zeiss Carl System zur gezielten Deformation von optischen Elementen
US6456384B1 (en) * 2000-11-09 2002-09-24 Tropel Corporation Moiré interferometer with overlapping illumination and imaging systems
US6967708B1 (en) 2000-11-10 2005-11-22 National Institute Of Advanced Industrial Science And Technology Pattern transfer device using PC projector
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
US6512625B2 (en) 2000-11-22 2003-01-28 Ball Semiconductor, Inc. Light modulation device and system
US6433917B1 (en) 2000-11-22 2002-08-13 Ball Semiconductor, Inc. Light modulation device and system
US20020127855A1 (en) * 2001-01-04 2002-09-12 Sauer Jon Robert Method for fabricating a pattern in a mask on a surface of an object and product manufactured thereby
DE10106605A1 (de) 2001-02-13 2002-08-22 Zeiss Carl System zur Beseitigung oder wenigstens Dämpfung von Schwingungen
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US20060291048A1 (en) * 2001-03-19 2006-12-28 Dmetrix, Inc. Multi-axis imaging system with single-axis relay
AU2002254276A1 (en) * 2001-03-19 2002-10-03 Peter H. Bartels Miniaturized microscope array digital slide scanner
US7061584B2 (en) * 2001-03-19 2006-06-13 Dmetrix, Inc. Multi-axis projection imaging system
US20040004759A1 (en) * 2002-07-08 2004-01-08 Olszak Artur G. Microscope array for simultaneously imaging multiple objects
DE10116059B4 (de) * 2001-03-30 2007-03-01 Tesa Scribos Gmbh Lithograph mit bewegter Linse und Verfahren zum Herstellen digitaler Hologramme in einem Speichermedium
WO2002084340A1 (en) * 2001-04-10 2002-10-24 President And Fellows Of Harvard College Microlens for projection lithography and method of preparation thereof
US6941035B2 (en) * 2001-04-26 2005-09-06 Creo Srl Optical cross-connect switch
JP3708845B2 (ja) 2001-06-19 2005-10-19 株式会社ミツトヨ 両テレセントリック対物レンズ
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4728536B2 (ja) * 2001-07-05 2011-07-20 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
US6975458B1 (en) 2001-07-13 2005-12-13 Kurt Kanzler Method and apparatus for transformation of a gaussian laser beam to a far field diffraction pattern
US7154928B2 (en) * 2004-06-23 2006-12-26 Cymer Inc. Laser output beam wavefront splitter for bandwidth spectrum control
US20030025979A1 (en) * 2001-07-31 2003-02-06 Ball Semiconductor, Inc. Surface distortion compensated photolithography
US6965387B2 (en) * 2001-08-03 2005-11-15 Ball Semiconductor, Inc. Real time data conversion for a digital display
US20030031596A1 (en) * 2001-08-09 2003-02-13 Yokogawa Electric Corporation Biochip reader and fluorometric imaging apparatus
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
WO2003025838A1 (en) * 2001-09-14 2003-03-27 The Arizona Board Of Regents On Behalf Of The University Of Arizona Inter-objective baffle system
WO2003038518A1 (en) 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
JP2005533365A (ja) 2001-11-07 2005-11-04 アプライド マテリアルズ インコーポレイテッド マスクレスの光子−電子スポット格子アレイ印刷装置
US6639201B2 (en) * 2001-11-07 2003-10-28 Applied Materials, Inc. Spot grid array imaging system
US6946655B2 (en) * 2001-11-07 2005-09-20 Applied Materials, Inc. Spot grid array electron imaging system
EP1311007A1 (de) * 2001-11-07 2003-05-14 ASML Netherlands B.V. Piezoelektrischer Aktor, lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
CN1791839A (zh) * 2001-11-07 2006-06-21 应用材料有限公司 光点格栅阵列光刻机
US6885492B2 (en) 2001-11-08 2005-04-26 Imaginative Optics, Inc. Spatial light modulator apparatus
US7379579B2 (en) * 2001-11-27 2008-05-27 Asml Netherlands B.V. Imaging apparatus
CN1292310C (zh) * 2001-12-17 2006-12-27 皇家飞利浦电子股份有限公司 形成光学图像的方法、本方法用的衍射部件、实行所述方法的设备
AT411755B (de) * 2001-12-21 2004-05-25 Baeuerle Dieter Dr Vorrichtung und verfahren zum modifizieren einer werkstück-oberfläche mit hilfe von photonen-strahlung
US7619735B2 (en) * 2002-01-15 2009-11-17 Applied Materials, Israel, Ltd. Optical inspection using variable apodization
US6665048B2 (en) 2002-01-22 2003-12-16 Creo Inc. Method for imaging a continuously moving object
EP2400539B1 (de) 2002-03-12 2017-07-26 Hamamatsu Photonics K.K. Verfahren zum abtrennen eines substrates
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
FR2837937B1 (fr) * 2002-03-28 2004-08-27 Pascal Joffre Systeme de traitement optique de surfaces
JP2005522739A (ja) * 2002-04-15 2005-07-28 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 結像方法
US6870604B2 (en) * 2002-04-23 2005-03-22 Ball Semiconductor, Inc. High resolution point array
JP3938714B2 (ja) * 2002-05-16 2007-06-27 大日本スクリーン製造株式会社 露光装置
US6987259B2 (en) 2002-05-30 2006-01-17 Dmetrix, Inc. Imaging system with an integrated source and detector array
JP4201178B2 (ja) * 2002-05-30 2008-12-24 大日本スクリーン製造株式会社 画像記録装置
US7193775B2 (en) * 2002-05-30 2007-03-20 Dmetrix, Inc. EPI-illumination system for an array microscope
EP1369731A3 (de) * 2002-06-07 2008-02-13 FUJIFILM Corporation Belichtungskopf und Belichtungsvorrichtung
JP4279053B2 (ja) * 2002-06-07 2009-06-17 富士フイルム株式会社 露光ヘッド及び露光装置
JP2004062156A (ja) * 2002-06-07 2004-02-26 Fuji Photo Film Co Ltd 露光ヘッド及び露光装置
US7164961B2 (en) * 2002-06-14 2007-01-16 Disco Corporation Modified photolithography movement system
US7312432B2 (en) * 2002-07-08 2007-12-25 Dmetrix, Inc. Single axis illumination for multi-axis imaging system
US7053985B2 (en) * 2002-07-19 2006-05-30 Applied Materials, Isreal, Ltd. Printer and a method for recording a multi-level image
US6960773B2 (en) * 2002-07-22 2005-11-01 Massachusetts Institute Of Technology System and method for maskless lithography using an array of improved diffractive focusing elements
US7023622B2 (en) 2002-08-06 2006-04-04 Dmetrix, Inc. Miniature microscope objective lens
US20040051030A1 (en) * 2002-09-17 2004-03-18 Artur Olszak Method and apparatus for acquiring images from a multiple axis imaging system
US7113651B2 (en) * 2002-11-20 2006-09-26 Dmetrix, Inc. Multi-spectral miniature microscope array
AU2003278865A1 (en) * 2002-09-19 2004-04-08 Dmetrix, Inc. A multi-axis projection imaging system
DE10246274B4 (de) * 2002-10-02 2006-06-01 Leica Microsystems Cms Gmbh Mikroskop mit Korrektur und Verfahren zur Korrektur der durch Temperaturänderung hervorgerufenen XYZ-Drift
DE10301775A1 (de) * 2003-01-18 2004-07-29 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Erfassungsvorrichtung für die optische Erfassung eines Objektes, Verfahren zum Betrieb einer derartigen Erfassungsvorrichtung sowie Scannvorrichtung und konfokales Mikroskop
WO2004066352A2 (en) 2003-01-23 2004-08-05 Orbotech Ltd. System and method for providing high brightness illumination
JP2004287082A (ja) * 2003-03-20 2004-10-14 Tadahiro Omi マスク描画装置
JP4315694B2 (ja) * 2003-01-31 2009-08-19 富士フイルム株式会社 描画ヘッドユニット、描画装置及び描画方法
DE10308708A1 (de) * 2003-02-28 2004-09-09 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Vorrichtung zur Beaufschlagung eines Objektes mit Laserstrahlung, Bearbeitungsvorrichtung für die Bearbeitung eines Objektes sowie Druckvorrichtung für das Drucken von Bildinformationen
JP4057937B2 (ja) * 2003-03-25 2008-03-05 富士フイルム株式会社 露光装置
US6947199B2 (en) * 2003-03-28 2005-09-20 Silicon Light Machines Corporation Loosely-packed two-dimensional modulator arrangement
JP4480339B2 (ja) * 2003-04-03 2010-06-16 新光電気工業株式会社 露光装置および露光方法、ならびに描画装置および描画方法
US20040199073A1 (en) * 2003-04-03 2004-10-07 Agency For Science, Technology And Research Method and apparatus for measuring motion of a body in a number of dimensions
JP4390189B2 (ja) * 2003-04-10 2009-12-24 大日本スクリーン製造株式会社 パターン描画装置
US20040223199A1 (en) * 2003-05-06 2004-11-11 Olszak Artur G. Holographic single axis illumination for multi-axis imaging system
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
EP1480080A1 (de) * 2003-05-22 2004-11-24 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
TWI304522B (en) * 2003-05-28 2008-12-21 Asml Netherlands Bv Lithographic apparatus, method of calibrating and device manufacturing method
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
EP1482375B1 (de) * 2003-05-30 2014-09-17 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
EP1482373A1 (de) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
EP1489449A1 (de) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Räumlicher Lichtmodulator
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) * 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7295315B2 (en) * 2003-06-30 2007-11-13 Kenneth C. Johnson Focus and alignment sensors and methods for use with scanning microlens-array printer
TW200506418A (en) * 2003-07-01 2005-02-16 Nippon Sheet Glass Co Ltd Lens plate, its manufacturing method, and image transmitting apparatus
JP4373731B2 (ja) * 2003-07-22 2009-11-25 富士フイルム株式会社 描画装置及び描画方法
EP1500980A1 (de) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographischer Projektionsapparat, Verfahren zur Herstellung eines Artikels und dabei erzeugter Artikel
US7042624B2 (en) * 2003-07-29 2006-05-09 Kodak Graphic Communications, Canada Company Non-uniform light valve
US7224504B2 (en) 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US7187399B2 (en) * 2003-07-31 2007-03-06 Fuji Photo Film Co., Ltd. Exposure head with spatial light modulator
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
WO2005022264A2 (en) * 2003-08-27 2005-03-10 Koninklijke Philips Electronics N.V. Optical image formation using a light valve array and a light converging array
CN1842747A (zh) * 2003-08-27 2006-10-04 皇家飞利浦电子股份有限公司 形成光学图像的方法、用于执行所述方法的设备以及使用所述方法制作装置的处理
US7075100B2 (en) * 2003-09-22 2006-07-11 Honeywell International Inc. Confocal scanner system and method
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10344060A1 (de) * 2003-09-23 2005-05-04 Zeiss Carl Jena Gmbh Konfokales Laser-Scanning-Mikroskop
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
JP3797355B2 (ja) * 2003-10-22 2006-07-19 セイコーエプソン株式会社 圧電振動子の製造方法
KR20060108618A (ko) 2003-10-27 2006-10-18 코닌클리케 필립스 일렉트로닉스 엔.브이. 광학 이미지를 형성하기 위한 장치 및 방법
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4510429B2 (ja) * 2003-11-19 2010-07-21 財団法人国際科学振興財団 マスク描画手法、及びマスク描画装置
US7116405B2 (en) * 2003-12-04 2006-10-03 Johnson Kenneth C Maskless, microlens EUV lithography system with grazing-incidence illumination optics
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
WO2005063432A1 (de) * 2003-12-20 2005-07-14 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co. Kg Vorrichtung zum bearbeiten eines werkstücks mit laserlicht
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
CN1637589A (zh) * 2003-12-26 2005-07-13 富士胶片株式会社 图像曝光方法和装置
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7996458B2 (en) * 2004-01-28 2011-08-09 Apple Inc. Assigning tasks in a distributed system
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI609410B (zh) * 2004-02-06 2017-12-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
KR20060111692A (ko) * 2004-02-12 2006-10-27 후지 샤신 필름 가부시기가이샤 패턴형성방법
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2006184840A (ja) * 2004-03-22 2006-07-13 Fuji Photo Film Co Ltd パターン形成材料、並びにパターン形成装置及びパターン形成方法
US7227618B1 (en) 2004-03-24 2007-06-05 Baokang Bi Pattern generating systems
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
JP2005309380A (ja) * 2004-03-26 2005-11-04 Fuji Photo Film Co Ltd 画像露光装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7688426B2 (en) * 2004-04-14 2010-03-30 Litel Instruments Method and apparatus for measurement of exit pupil transmittance
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088427B2 (en) * 2004-04-20 2006-08-08 Litel Instruments Apparatus and method for high resolution in-situ illumination source measurement in projection imaging systems
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
JP4237727B2 (ja) * 2004-04-30 2009-03-11 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
US7072500B2 (en) * 2004-05-07 2006-07-04 Wisconsin Alumni Research Foundation Image locking system for DNA micro-array synthesis
EP1598779B1 (de) * 2004-05-18 2013-07-24 Agfa HealthCare NV Beseitigung der periodischen Variationen in einem digitalen Signal
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) * 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
JP2006011371A (ja) * 2004-05-26 2006-01-12 Fuji Photo Film Co Ltd パターン形成方法
US7477403B2 (en) * 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
JP2006018228A (ja) * 2004-05-31 2006-01-19 Fuji Photo Film Co Ltd パターン形成方法
US7123348B2 (en) 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20070062965A (ko) * 2004-06-15 2007-06-18 후지필름 가부시키가이샤 감광성 조성물, 및 패턴형성방법 및 영구패턴
CN1721996A (zh) * 2004-06-17 2006-01-18 富士胶片株式会社 描绘装置及描绘方法
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP4583827B2 (ja) * 2004-07-21 2010-11-17 富士フイルム株式会社 画像形成装置および画像形成方法
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) * 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060044533A1 (en) * 2004-08-27 2006-03-02 Asmlholding N.V. System and method for reducing disturbances caused by movement in an immersion lithography system
US7846649B2 (en) * 2004-09-13 2010-12-07 Applied Materials Israel, Ltd. High resolution printer and a method for high resolution printing
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
WO2006055736A1 (en) 2004-11-16 2006-05-26 Illumina, Inc. And methods and apparatus for reading coded microbeads
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7400390B2 (en) * 2004-11-29 2008-07-15 Applied Materials, Israel, Ltd. Inspection system and a method for aerial reticle inspection
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4588428B2 (ja) * 2004-12-09 2010-12-01 富士フイルム株式会社 画像露光方法および装置
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602005010611D1 (de) * 2004-12-17 2008-12-04 Koninkl Philips Electronics Nv Mehrpunktuntersuchungsgerät
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7382449B2 (en) * 2004-12-21 2008-06-03 Alces Technology Alignment tool for precise pattern transfer
US20060134535A1 (en) * 2004-12-22 2006-06-22 3M Innovative Properties Company Lensed fiber array for sub-micron optical lithography patterning
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7202939B2 (en) 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7756660B2 (en) 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
JP4587170B2 (ja) * 2005-01-20 2010-11-24 キヤノン株式会社 露光装置及びデバイスの製造方法
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
TW200640245A (en) 2005-02-04 2006-11-16 Fuji Photo Film Co Ltd Rendering device and rendering method
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
JP4690754B2 (ja) * 2005-03-24 2011-06-01 株式会社ナノシステムソリューションズ 大面積マスクレス露光方法及び露光装置
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7221514B2 (en) * 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
US7400382B2 (en) * 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
TWI268339B (en) * 2005-05-25 2006-12-11 Ind Tech Res Inst Displacement measuring device and method, an internal diameter measuring device by use of the variance of the wavelength to measure the displacement and the internal diameter
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
JP4753625B2 (ja) * 2005-05-31 2011-08-24 大日本スクリーン製造株式会社 パターン描画装置およびブロック数決定方法
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
JP2007010785A (ja) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp 永久パターン形成方法
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
JP2007025394A (ja) * 2005-07-19 2007-02-01 Fujifilm Holdings Corp パターン形成方法
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
DE502005010557D1 (de) * 2005-09-13 2010-12-30 Univ Albert Ludwigs Freiburg Mikroskopieverfahren mit räumlich modulierbarer Beleuchtung
JP4923254B2 (ja) * 2005-09-21 2012-04-25 国立大学法人東北大学 露光方法
JP2007086373A (ja) * 2005-09-21 2007-04-05 Fujifilm Corp 永久パターン形成方法
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
DE102006009810A1 (de) * 2006-03-01 2007-09-06 Fries Research & Technology Gmbh Konfokales Mikroskop und Verfahren zur Vermessung einer Oberfläche
JP4948866B2 (ja) 2006-03-27 2012-06-06 富士フイルム株式会社 描画状態調整方法及び装置
CN101416114B (zh) * 2006-04-04 2011-03-02 特萨斯克里伯斯有限公司 用于微构造存储介质的设备和方法以及包括微构造区域的存储介质
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7830575B2 (en) 2006-04-10 2010-11-09 Illumina, Inc. Optical scanner with improved scan time
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US8822894B2 (en) 2011-01-07 2014-09-02 California Institute Of Technology Light-field pixel for detecting a wavefront based on a first intensity normalized by a second intensity
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
US7548315B2 (en) * 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7932993B2 (en) * 2006-09-16 2011-04-26 Wenhui Mei Divided sub-image array scanning and exposing system
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
JP4511502B2 (ja) * 2006-09-30 2010-07-28 日立ビアメカニクス株式会社 基板露光装置
US7755775B1 (en) * 2006-10-03 2010-07-13 N&K Technology, Inc. Broadband optical metrology with reduced wave front distortion, chromatic dispersion compensation and monitoring
US7804603B2 (en) * 2006-10-03 2010-09-28 Asml Netherlands B.V. Measurement apparatus and method
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7834980B2 (en) * 2006-12-21 2010-11-16 Asml Netherlands B. V. Lithographic apparatus and method
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US8760615B2 (en) * 2007-05-24 2014-06-24 Asml Netherlands B.V. Lithographic apparatus having encoder type position sensor system
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US8687166B2 (en) * 2007-05-24 2014-04-01 Asml Netherlands B.V. Lithographic apparatus having an encoder position sensor system
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
TWI378221B (en) * 2007-09-21 2012-12-01 Ind Tech Res Inst Scatterfield microscopical measuring method and apparatus
WO2009041816A2 (en) * 2007-09-25 2009-04-02 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappeliijk Onderzoek Tno A method of patterning a hard disk medium
JP5582287B2 (ja) * 2007-11-06 2014-09-03 株式会社ニコン 照明光学装置及び露光装置
CN101868740B (zh) * 2007-11-23 2012-10-10 皇家飞利浦电子股份有限公司 多模式光斑发生器和多模式多光斑扫描显微镜
BRPI0819304A2 (pt) * 2007-11-23 2015-05-12 Koninkl Philips Electronics Nv Gerador de ponto de luz, microscópio de varredura de múltiplos pontos de luz, e, método para gerar uma imagem de uma amostra microscópica
WO2009089089A1 (en) * 2008-01-02 2009-07-16 Board Of Regents, The University Of Texas System Microdevice fabrication
US9046680B2 (en) * 2008-03-07 2015-06-02 California Institute Of Technology Scanning illumination microscope
NL1036558A1 (nl) * 2008-03-25 2009-09-28 Asml Netherlands Bv Method and lithographic apparatus for acquiring height data relating to a substrate surface.
US9581723B2 (en) 2008-04-10 2017-02-28 Schlumberger Technology Corporation Method for characterizing a geological formation traversed by a borehole
US8725477B2 (en) * 2008-04-10 2014-05-13 Schlumberger Technology Corporation Method to generate numerical pseudocores using borehole images, digital rock samples, and multi-point statistics
US9561622B2 (en) 2008-05-05 2017-02-07 Georgia Tech Research Corporation Systems and methods for fabricating three-dimensional objects
US8115904B2 (en) 2008-05-30 2012-02-14 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
CN102113083B (zh) * 2008-06-04 2016-04-06 迈普尔平版印刷Ip有限公司 对目标进行曝光的方法和系统
TWI460548B (zh) * 2008-06-04 2014-11-11 Mapper Lithography Ip Bv 用於曝光靶材之方法和系統
DE102008031412A1 (de) * 2008-07-02 2010-01-28 Nanofocus Ag Vorrichtung und Verfahren zur Beobachtung mehrerer auf einer Linie angeordneter Messpunkte auf einer zu vermessenden Objektoberfläche
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
JP5190609B2 (ja) * 2008-08-21 2013-04-24 株式会社ブイ・テクノロジー 露光装置及びそれに使用するフォトマスク
JP2010060990A (ja) * 2008-09-05 2010-03-18 Hitachi High-Technologies Corp 露光装置、露光方法、及び表示用パネル基板の製造方法
TWI427431B (zh) 2008-09-22 2014-02-21 Asml Netherlands Bv 微影裝置、可程式化圖案化器件及微影方法
JP2012510085A (ja) * 2008-11-26 2012-04-26 マイクロニック マイデータ アーベー 複雑な2次元インターレーススキームを使用した画像読出し/書込み方法
JP5469674B2 (ja) * 2008-12-05 2014-04-16 マイクロニック マイデータ アーベー 被加工物に書き込む方法およびシステム
US9075227B2 (en) 2009-01-24 2015-07-07 Ecole Polytechnique Federale De Lausanne (Epfl) High-resolution microscopy and photolithography devices using focusing micromirrors
US8311788B2 (en) 2009-07-01 2012-11-13 Schlumberger Technology Corporation Method to quantify discrete pore shapes, volumes, and surface areas using confocal profilometry
US20110004447A1 (en) * 2009-07-01 2011-01-06 Schlumberger Technology Corporation Method to build 3D digital models of porous media using transmitted laser scanning confocal mircoscopy and multi-point statistics
KR101757837B1 (ko) 2009-05-20 2017-07-26 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
WO2011035299A2 (en) * 2009-09-21 2011-03-24 California Institute Of Technology Reflective focusing and transmissive projection device
WO2011047053A2 (en) * 2009-10-13 2011-04-21 California Institute Of Technology Holographically illuminated imaging devices
WO2011058634A1 (ja) * 2009-11-12 2011-05-19 株式会社ブイ・テクノロジー 露光装置及びそれに使用するフォトマスク
US20130256286A1 (en) * 2009-12-07 2013-10-03 Ipg Microsystems Llc Laser processing using an astigmatic elongated beam spot and using ultrashort pulses and/or longer wavelengths
EP2354853B1 (de) * 2010-02-09 2013-01-02 Carl Zeiss SMT GmbH Optisches Rasterelement, optischer Integrator und Beleuchtungssystem eines mikrolithografischen Projektionsbelichtungsgerätes
TWI448830B (zh) 2010-02-09 2014-08-11 Asml Netherlands Bv 微影裝置及元件製造方法
JP5579278B2 (ja) * 2010-02-23 2014-08-27 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US9235140B2 (en) 2010-02-23 2016-01-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2011106324A2 (en) * 2010-02-23 2011-09-01 California Institute Of Technology Nondiffracting beam detection devices for three-dimensional imaging
US9041911B2 (en) 2010-02-25 2015-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8743165B2 (en) 2010-03-05 2014-06-03 Micronic Laser Systems Ab Methods and device for laser processing
JP5609611B2 (ja) * 2010-03-11 2014-10-22 株式会社リコー 分光特性取得装置、画像評価装置、及び画像形成装置
DE102010016382B4 (de) * 2010-04-09 2022-06-02 Leica Microsystems Cms Gmbh Fluoreszenzmikroskop und Verfahren zur Durchführung von Multipositionierungen in einer Screening-Applikation
KR101537289B1 (ko) 2010-04-12 2015-07-16 에이에스엠엘 네델란즈 비.브이. 기판 핸들링 장치 및 리소그래피 장치
CN101846890B (zh) * 2010-05-13 2012-08-22 苏州苏大维格光电科技股份有限公司 并行光刻直写系统
US8536545B2 (en) 2010-09-09 2013-09-17 California Institute Of Technology Delayed emission detection devices and methods
DE102010041623A1 (de) * 2010-09-29 2012-03-29 Carl Zeiss Smt Gmbh Spiegel
JP5515119B2 (ja) * 2010-10-05 2014-06-11 株式会社ブイ・テクノロジー マイクロレンズアレイを使用したスキャン露光装置
NL2007789A (en) * 2010-12-08 2012-06-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
WO2012122398A2 (en) 2011-03-09 2012-09-13 California Institute Of Technology Talbot imaging devices and systems
EP2691811B1 (de) 2011-03-29 2018-01-31 ASML Netherlands B.V. Messung der position eines strahlungsfleckes in der lithographie
DE102011001785B4 (de) * 2011-04-04 2015-03-05 Jenoptik Optical Systems Gmbh Belichtungseinrichtung zur strukturierten Belichtung einer Fläche
US9645502B2 (en) 2011-04-08 2017-05-09 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
WO2012145566A2 (en) 2011-04-20 2012-10-26 California Institute Of Technology Talbot-illuminated imaging devices, systems, and methods for focal plane tuning
WO2012143188A1 (en) 2011-04-21 2012-10-26 Asml Netherlands B.V. Lithographic apparatus, method for maintaining a lithographic apparatus and device manufacturing method
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
KR20120136206A (ko) * 2011-06-08 2012-12-18 삼성전기주식회사 마스크리스 가공 장치
DE102011078817A1 (de) * 2011-06-17 2012-12-20 Siemens Aktiengesellschaft Verfahren zur dreidimensionalen Vermessung eines Körpers und Vorrichtung
NL2009213A (en) 2011-08-18 2013-02-19 Asml Netherlands Bv Lithograpic apparatus and device manufacturing method.
CN103048885B (zh) * 2011-10-11 2015-02-25 中山新诺科技股份有限公司 无掩膜曝光系统及方法
NL2009342A (en) 2011-10-31 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8854426B2 (en) 2011-11-07 2014-10-07 Microsoft Corporation Time-of-flight camera with guided light
KR101616764B1 (ko) 2011-11-29 2016-04-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 디바이스 제조 방법, 및 컴퓨터 프로그램
WO2013079316A2 (en) 2011-11-29 2013-06-06 Asml Netherlands B.V. Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method
WO2013083371A1 (en) 2011-12-05 2013-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2013083383A1 (en) 2011-12-06 2013-06-13 Asml Netherlands B.V. A lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method of calculating setpoint data and a computer program
NL2009902A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP5833437B2 (ja) * 2011-12-29 2015-12-16 ルネサスエレクトロニクス株式会社 シミュレーション装置およびシミュレーションプログラム
NL2009979A (en) 2012-01-12 2013-07-15 Asml Netherlands Bv A lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method for providing setpoint data and a computer program.
DE102012000650A1 (de) * 2012-01-16 2013-07-18 Carl Zeiss Microscopy Gmbh Verfahren und vorrichtung zum abrastern einer oberfläche eines objekts mit einem teilchenstrahl
CN104054024B (zh) 2012-01-17 2017-06-13 Asml荷兰有限公司 光刻设备和装置制造方法
JP6042457B2 (ja) 2012-02-23 2016-12-14 エーエスエムエル ネザーランズ ビー.ブイ. デバイス、露光装置および放射誘導方法
US10025082B2 (en) 2012-02-23 2018-07-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Multi-focal structured illumination microscopy systems and methods
EP2817670B1 (de) * 2012-02-23 2020-07-29 The United States Of America, As Represented By The Sectretary, Department Of Health And Human Services Multifokale strukturierte beleuchtungsmikroskopiesysteme und verfahren
TW201343296A (zh) * 2012-03-16 2013-11-01 Ipg Microsystems Llc 使一工件中具有延伸深度虛飾之雷射切割系統及方法
WO2013138911A1 (en) * 2012-03-23 2013-09-26 Huron Technologies International Inc. Slide scanner with dynamic focus and specimen tilt and method of operation
JP6150043B2 (ja) * 2012-03-29 2017-06-21 株式会社ブイ・テクノロジー 露光装置
WO2014013412A1 (en) 2012-07-17 2014-01-23 Ecole Polytechnique Federale De Lausanne (Epfl) Reflective optical objective
US9091862B2 (en) 2012-07-24 2015-07-28 Trustees Of Boston University Partitioned aperture wavefront imaging method and system
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
JP6306603B2 (ja) * 2012-11-08 2018-04-04 ディーディーエム システムズ, インコーポレイテッド 3次元オブジェクトを加工するためのシステムおよび方法
NL2012052A (en) 2013-01-29 2014-08-04 Asml Netherlands Bv A radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method.
JP6308523B2 (ja) * 2014-03-11 2018-04-11 株式会社ブイ・テクノロジー ビーム露光装置
US9523645B2 (en) * 2014-10-20 2016-12-20 Exnodes Inc. Lenticular wafer inspection
EP3040779A1 (de) * 2014-12-30 2016-07-06 Visitech As Maskenlose Belichtungsvorrichtung mit Ausrichtung
WO2016156516A2 (en) 2015-03-31 2016-10-06 Samantree Medical Sa Systems and methods for in-operating-theatre imaging of fresh tissue resected during surgery for pathology assessment
US9939732B2 (en) * 2015-10-27 2018-04-10 Cymer, Llc Controller for an optical system
US10976535B2 (en) 2016-03-30 2021-04-13 Optical Wavefront Laboratories Multiple camera microscope imaging with patterned illumination
US10908507B2 (en) 2016-07-13 2021-02-02 Applied Materials, Inc. Micro LED array illumination source
JP6781582B2 (ja) 2016-07-25 2020-11-04 株式会社ニューフレアテクノロジー 電子ビーム検査装置及び電子ビーム検査方法
US10069996B2 (en) * 2016-09-15 2018-09-04 Xerox Corporation System and method for utilizing digital micromirror devices to split and recombine a signal image to enable heat dissipation
EP3559747A1 (de) 2016-12-20 2019-10-30 EV Group E. Thallner GmbH Vorrichtung und verfahren zur belichtung einer lichtempfindlichen schicht
CN110073269B (zh) 2016-12-20 2022-03-01 Ev 集团 E·索尔纳有限责任公司 用于曝光光敏层的设备和方法
EP3559616B1 (de) * 2016-12-21 2022-09-21 CSEM Centre Suisse d'Electronique et de Microtechnique SA - Recherche et Développement Optisches system
US11086113B2 (en) 2017-06-06 2021-08-10 The United States Of America Multi-focal structured illumination microscopy systems and methods
US10539776B2 (en) * 2017-10-31 2020-01-21 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US10928621B2 (en) 2017-10-31 2021-02-23 Samantree Medical Sa Sample dishes for use in microscopy and methods of their use
US11747603B2 (en) 2017-10-31 2023-09-05 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
CN108312505A (zh) * 2018-02-05 2018-07-24 中山新诺科技股份有限公司 一种dmd扫描成像的3d成型装置及方法
WO2019157556A1 (en) * 2018-02-13 2019-08-22 Newsouth Innovations Pty Limited Piezoelectric microlens actuator
US10634890B1 (en) 2018-10-26 2020-04-28 General Electric Company Miniaturized microscope for phase contrast and multicolor fluorescence imaging
KR102511881B1 (ko) 2019-08-14 2023-03-20 세라믹 데이터 솔루션즈 게엠베하 정보의 장기 저장을 위한 방법 및 그를 위한 저장 매체
DE102020200214A1 (de) 2020-01-09 2021-07-15 Hochschule für angewandte Wissenschaften Kempten Körperschaft des öffentlichen Rechts Konfokale Messvorrichtung zur 3D-Vermessung einer Objektoberfläche
CN111258046A (zh) * 2020-02-26 2020-06-09 清华大学 基于前置微透镜阵列的光场显微系统及方法
US10937630B1 (en) 2020-04-27 2021-03-02 John Bennett Modular parallel electron lithography
JP2023532545A (ja) 2020-07-03 2023-07-28 セラミック・データ・ソリューションズ・ゲーエムベーハー 多ビット符号方式により記憶密度が向上した情報の記憶方法および情報記憶媒体
CA3184431A1 (en) 2020-07-03 2022-01-06 Christian Pflaum Increased storage capacity for a method for long-term storage of information and storage medium therefor
FR3112866B1 (fr) * 2020-07-22 2022-07-22 Damae Medical Systèmes et procédés d’analyse microscopique d’un échantillon
EP3955248A1 (de) * 2020-08-11 2022-02-16 Christian Pflaum Datenaufzeichnung auf keramischem material
KR20230156072A (ko) 2021-03-16 2023-11-13 세라믹 데이터 솔루션즈 게엠베하 초고해상도 기술들을 이용하는 데이터 캐리어, 판독 방법 및 시스템

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3013467A (en) * 1957-11-07 1961-12-19 Minsky Marvin Microscopy apparatus
US4101210A (en) * 1976-06-21 1978-07-18 Dimensional Development Corporation Projection apparatus for stereoscopic pictures
JPS54133130A (en) * 1978-04-05 1979-10-16 Canon Inc Projector
SE455736B (sv) * 1984-03-15 1988-08-01 Sarastro Ab Forfaringssett och anordning for mikrofotometrering och efterfoljande bildsammanstellning
US4668080A (en) * 1985-11-29 1987-05-26 Rca Corporation Method and apparatus for forming large area high resolution patterns
US5032720A (en) * 1988-04-21 1991-07-16 White John G Confocal imaging system
JPH0315018A (ja) * 1989-01-13 1991-01-23 Dainippon Screen Mfg Co Ltd 画像走査記録装置のレーザ露光装置
GB2231681B (en) * 1989-05-05 1993-04-21 Hatfield Polytechnic Optical microscopes
US5245369A (en) * 1989-11-01 1993-09-14 Aura Systems, Inc. Scene projector
JP2893778B2 (ja) * 1990-01-17 1999-05-24 キヤノン株式会社 露光装置
US5239178A (en) * 1990-11-10 1993-08-24 Carl Zeiss Optical device with an illuminating grid and detector grid arranged confocally to an object
ATE137588T1 (de) * 1990-11-10 1996-05-15 Grosskopf Rudolf Dr Ing Optische abtastvorrichtung mit konfokalem strahlengang, in der lichtquellen- und detektormatrix verwendet werden
US5260826A (en) * 1992-01-21 1993-11-09 Physical Optics Corporation Nonscanning sectioning microscope
EP0558781B1 (de) * 1992-03-05 1998-08-05 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
JPH0684741A (ja) * 1992-06-25 1994-03-25 Think Lab Kk 半導体レーザ露光装置
JP2895703B2 (ja) * 1992-07-14 1999-05-24 三菱電機株式会社 露光装置およびその露光装置を用いた露光方法
JP3052587B2 (ja) * 1992-07-28 2000-06-12 日本電気株式会社 露光装置
US5517279A (en) * 1993-08-30 1996-05-14 Hugle; William B. Lens array photolithography
EP0679864A4 (de) * 1993-09-30 1997-12-17 Komatsu Mfg Co Ltd Optischer konfokalapparat.
JP3404607B2 (ja) * 1993-09-30 2003-05-12 株式会社小松製作所 共焦点光学装置
JPH07159722A (ja) * 1993-12-09 1995-06-23 Nikon Corp 投射装置
KR0124058B1 (en) * 1993-12-22 1997-11-24 Korea Telecommunication Measuring device and method of 2-dimension microscope displacement by using moire appearance
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
JP3376690B2 (ja) * 1994-04-28 2003-02-10 株式会社ニコン 露光装置、及び該装置を用いた露光方法
KR100220675B1 (ko) * 1994-10-31 1999-09-15 전주범 투사형 화상표시장치
US5754299A (en) * 1995-01-13 1998-05-19 Nikon Corporation Inspection apparatus and method for optical system, exposure apparatus provided with the inspection apparatus, and alignment apparatus and optical system thereof applicable to the exposure apparatus
US5724121A (en) * 1995-05-12 1998-03-03 Hughes Danbury Optical Systems, Inc. Mounting member method and apparatus with variable length supports
JP3548277B2 (ja) * 1995-05-17 2004-07-28 ペンタックス株式会社 マルチビーム記録装置およびマルチビーム記録装置の開口板製作方法
US5739899A (en) * 1995-05-19 1998-04-14 Nikon Corporation Projection exposure apparatus correcting tilt of telecentricity
AU6601996A (en) * 1995-07-31 1997-02-26 Lsi Logic Corporation Lithography systems employing programmable reticles
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
WO1998004950A1 (en) * 1996-07-25 1998-02-05 Anvik Corporation Seamless, maskless lithography system using spatial light modulator

Also Published As

Publication number Publication date
US6133986A (en) 2000-10-17
WO1997034171A2 (en) 1997-09-18
EP0991959B1 (de) 2004-06-23
AU1975197A (en) 1997-10-01
EP0991959A2 (de) 2000-04-12
DE69729659T2 (de) 2005-06-23
JP2001500628A (ja) 2001-01-16
EP0991959A4 (de) 2000-04-12
WO1997034171A3 (en) 1998-02-26

Similar Documents

Publication Publication Date Title
DE69729659D1 (de) Mikrolinsen-rastereinrichtung für mikrolithografie und für konfokale mikroskopie mit grossem aufnahmefeld
DE69521355D1 (de) Optisches Nahfeld- und Atomkraft-Rastermikroskop
DE69622503D1 (de) Vergrösserungslinse und Bildwiedergabegerät
DE69830153D1 (de) Optische schaltvorrichtung und bildanzeigevorrichtung
DE69215326T2 (de) Kombiniertes Rasterkraftmikroskop und optisches metrologisches Gerät
DE69531647D1 (de) Optische Vorrichtung und diese verwendendes Verschiebungsinformationmessgerät
DE69729194D1 (de) Optische platte und antriebsgerät dafür
DE69725072D1 (de) Optisches Element für Projektionsanzeigevorrichtung
DE69308421T2 (de) Optisches Nahfeldabtastmikroskop und seine Anwendungen
FR2725532B1 (fr) Microscope autofocus
DE69531140D1 (de) Optische Vorrichtung und diese verwendende am Kopf montierte Anzeige
DE69525236T2 (de) Aktinische strahlungshärtbare zusammensetzung und blattförmige linse
DE69731436D1 (de) Antriebsvorrichtung für eine Objektivlinse und damit ausgerüstetes optisches Plattengerät
DE69426712D1 (de) Optische Kopfvorrichtung und optisches Informationsgerät
DE69118117D1 (de) Optisches Nahfeldabtastmikroskop und dessen Anwendungen
DE69610514T2 (de) Für optische Speicherungseinheit verwendbares optisches Bauelement und optische Einrichtung damit
DE69535427D1 (de) Optische Anordnung und Herstellungsverfahren dafür
DE69725811D1 (de) Optische Abtastvorrichtung und Abtastverfahren
DE69635494D1 (de) Optisches steuerungsverfahren und optische steuerungsvorrichtung
DE69618051T2 (de) Aerodynamische Gleitlagervorrichtung und abtastende optische Ablenkvorrichtung
DE69828034D1 (de) Optische schaltvorrichtung,bildanzeige und projektionsvorrichtung
DE69731176D1 (de) Optische Wellenleitervorrichtung und Herstellungsverfahren
DE9406545U1 (de) Kontrastvorrichtung für Mikroskopie
DE69435190D1 (de) Optisches Nahfeld- und Atomkraft-Rastermikroskop
DE69635772D1 (de) Regeleinrichtung für optische Abtastvorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee