DE69725245T2 - Verfahren zur Ätzung von Substraten - Google Patents

Verfahren zur Ätzung von Substraten Download PDF

Info

Publication number
DE69725245T2
DE69725245T2 DE69725245T DE69725245T DE69725245T2 DE 69725245 T2 DE69725245 T2 DE 69725245T2 DE 69725245 T DE69725245 T DE 69725245T DE 69725245 T DE69725245 T DE 69725245T DE 69725245 T2 DE69725245 T2 DE 69725245T2
Authority
DE
Germany
Prior art keywords
etching
gas
deposition
cycle
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69725245T
Other languages
English (en)
Other versions
DE69725245D1 (de
Inventor
Jyoti Kiron Bhardwaj
Huma Ashraf
Babak Khamsehpour
Janet Hopkins
Alan Michael Hynes
Martin Edward Ryan
David Mark Haynes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Surface Technology Systems Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9616224.3A external-priority patent/GB9616224D0/en
Priority claimed from GBGB9616223.5A external-priority patent/GB9616223D0/en
Application filed by Surface Technology Systems Ltd filed Critical Surface Technology Systems Ltd
Publication of DE69725245D1 publication Critical patent/DE69725245D1/de
Application granted granted Critical
Publication of DE69725245T2 publication Critical patent/DE69725245T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Description

  • Die Erfindung betrifft Verfahren zum Behandeln von Halbleitersubstraten und insbesondere, aber nicht ausschließlich, ein Verfahren zum Ablagern einer Seitenwand-Passivierungsschicht auf geätzten Strukturmerkmalen und ein Verfahren zum Ätzen derartiger Strukturmerkmale einschließlich des Passivierungsverfahrens.
  • Es ist bekannt, Gräben oder Ausnehmungen in Silizium anisotrop unter Verwendung von Verfahren zu ätzen, die Ätzen und Ablagern kombinieren. Das Ziel liegt darin, eine anisotrope Ätzung zu erzeugen, während die ausgebildeten Seitenwände des Grabens oder der Ausnehmung durch Ablagern einer Passivierungsschicht geschützt sind.
  • Derartige Verfahren sind beispielsweise in US-A-4579623, EP-A-0497023, EP-A-0200951, WO-A-94014187 und US-A-4985114 gezeigt. Diese beschreiben alle entweder eine Mischung aus Ablagerungs- und Ätzgasen oder abwechselnde Ätz- und Ablagerungsschritte. Nach allgemeiner Ansicht ist das Mischen der Gase weniger effektiv, da die beiden Prozesse dazu neigen, sich gegenseitig aufzuheben und tatsächlich tendiert man zu vollständig abwechselnden Schritten.
  • Andere Ansätze sind in EP-A-0383570, US-A-4943344 und US-A-4992136 beschrieben. Alle diese versuchen das Substrat auf einer niedrigen Temperatur zu halten und verwenden als erstes, was in gewisser Weise ungewöhnlich ist, Schauer von hochenergetischen Ionen während des Ätzens, um unerwünschte Ablagerungen von den Seitenwänden zu entfernen.
  • Der kontinuierliche Trend in der Halbleiterherstellung geht zu Strukturmerkmalen mit zunehmendem Geometrieverhältnis, wobei mit kleiner werdender Breite des Strukturmerkmals das Seitenwandprofil und die Oberflächenrauhigkeit immer mehr Bedeutung bekommen. Gegenwärtige Vorschläge tendieren vielmehr zum Erzeugen von bogenförmigen oder hinterschnittenen Seitenwandprofilen sowie rauen Seitenwänden und/oder Böden der Strukturformationen abhängig von dem zu laufenden Prozess.
  • Das Auftreten verschiedener Probleme ist von der Anwendung und den jeweiligen Prozesserfordernissen, freiliegendem Siliziumbereich (unmaskierte Bereiche des Substrates), Ätztiefe, Geometrieverhältnis, Seitenwandprofil und Substrattopographie abhängig.
  • Das Verfahren der vorliegenden Erfindung betrifft und reduziert diese verschiedenen Probleme.
  • Gemäß einem Aspekt betrifft die Erfindung ein Verfahren gemäß Anspruch 1.
  • Die Ätz- und Ablagerungsschritte können sich zusätzlich überlagern.
  • Zusätzlich wird zwischen dem Ätzen und dem Ablagern und/oder zwischen dem Ablagern und dem Ätzen die Kammer ausgepumpt, wobei das Auspumpen ausgeführt wird bis
    Figure 00020001
    gilt, wobei Ppa der Partialdruck des in dem vorangegangenen Schritt verwendeten Gases (A),
    Ppb der Partialdruck des in dem nachfolgenden Schritt verwendeten Gases (B) und
    x der Prozentsatz ist, bei dem die Prozessrate des mit dem Gas (A) ausgeführten Prozesses auf einen stationären Zustand abfällt.
  • Vorzugsweise wird die Ätzrate während des ersten Zyklus oder für wenigstens einige der ersten Zyklen durch eine oder mehrere der folgenden Maßnahmen reduziert:
    • (a) Einleiten eines Spülgases
    • (b) Reduktion der Plasmaleistung
    • (c) Reduktion der Zykluszeit
    • (d) Reduktion der Gasströmung
    • (e) Variieren des durchschnittlichen Kammerdruckes über einen Zyklus.
  • Vorzugsweise wird die Ätzrate während des ersten Zyklus oder für wenigstens einige der ersten Zyklen durch eine oder mehrere der folgenden Maßnahmen erhöht:
    • (a) Erhöhung der Plasmaleistung
    • (b) Erhöhung der Zykluszeit
    • (c) Erhöhung der Gasströmungsrate
    • (d) Erhöhung der Dichte der Ablagerungsart
    • (e) Variieren des durchschnittlichen Kammerdruckes über einen Zyklus.
  • Andere vorteilhafte Merkmale des Verfahrens sind, dass das Ätzgas CFx oder XeF2 ist; und dass der durchschnittliche Kammerdruck über einen Zyklus reduziert und/oder die Strömungsrate während der Ablagerung erhöht wird, insbesondere für flaches Ätzen mit hohem Geometrieverhältnis, wobei dies von erhöhter Selbstvorspannung (beispielsweise Spannung > 20 eV oder tatsächlich > 100 eV) begleitet sein kann.
  • Der Ablagerungsschritt kann ein Kohlenwasserstoff-Ablagerungsgas verwenden, um eine Kohlenstoff- oder Kohlenwasserstoffschicht abzulagern. Das Gas kann O-, N- oder F-Elemente enthalten, und die abgelagerte Schicht kann stickstoff- oder fluordotiert sein.
  • Das Substrat kann frei auf einem Träger in der Kammer liegen, wenn rückwärtige Kühlung ein Thema ist. Alternativ ist das Substrat festgeklammert und dessen Temperatur wird gesteuert, um beispielsweise im Bereich von –100°C bis 100°C zu liegen. In vorteilhafter Weise wird auch die Temperatur der Kammer auf den gleichen Temperaturbereich geregelt wie der Wafer, um Kondensation an der Kammer oder ihrer Einrichtung zu reduzieren, so dass eine Grundrauhigkeit reduziert ist.
  • Das Substrat ist beispielsweise GaAs, GaP, GaN, GaSb, SiGe, Mo, W oder Ta und in diesem Fall ist das Ätzgas insbesondere bevorzugt eines von oder eine Kombination von Cl2, BCl3, SiCl4, SiCl2H2, CHxCly, CxCly oder CHx mit oder ohne Wasserstoff oder einem Inertgas. CL2 ist besonders bevorzugt. Das Ablagerungsgas ist eines von oder eine Kombination von CHx, CHxCly oder CxCly mit oder ohne Wasserstoff oder einem Inertgas; CH4, CH2C12 sind besonders bevorzugt.
  • Die Erfindung kann auf verschiedene Weise ausgeführt werden und es wird nun beispielhaft eine bestimmte Ausführungsform beschrieben unter Bezugnahme auf die beigefügten Zeichnungen. Diese zeigen in:
  • 1 eine schematische Darstellung eines Reaktors zum Bearbeiten von Halbleitern;
  • 2 eine schematische Darstellung eines Grabens, welcher gemäß einem Verfahren nach dem Stand der Technik ausgebildet ist;
  • 3 eine vergrößerte Darstellung der Öffnung des Grabens von 2;
  • 4 eine graphische Darstellung der Ätzrate von Silizium über den Prozentsatz von CH4 in H2;
  • 5 eine graphische Darstellung der Stufenabdeckung über den Prozentsatz von CH4 in N2 für unterschiedliche mittlere Ionenenergien;
  • 6 ein Diagramm, welches verschiedene mögliche Synchronisationen zwischen Gasen und Betriebsparametern der Vorrichtung gemäß 1 illustriert (nicht Teil der Erfindung);
  • 7 ein Diagramm entsprechend 6, welches jedoch ein alternatives Betriebsszenario illustriert (nicht Teil der Erfindung);
  • 8 eine graphische Darstellung der Ätzrate von Silizium über einem Verhältnis des Partialdruckes;
  • 9(i) eine schematische Darstellung für den rampenartigen Verlauf von Parametern für tiefe anisotrope Profilsteuerung, während 9(ii) den rampenartigen Verlauf mehr allgemein darstellt;
  • 10 und 11 REM-Aufnahmen bzw. -mikrobilder (REM – Rasterelektronenmikrobild für Oberflächen) eines Grabens, welcher gemäß dem Stand der Technik hergestellt ist, wobei 11 eine Vergrößerung der Öffnung von 10 ist;
  • 12 und 13 entsprechende REM-Aufnahmen (REM – Rasterelektronenmikroskop für Oberflächen) eines Grabens, welcher gemäß dem Prozess der Anmelderin ausgebildet wurde, bei dem ein abrupter Übergang der Prozessparameter stattgefunden hat (nicht Teil der Erfindung);
  • 14 eine Darstellung entsprechend 12, mit dem Unterschied, dass rampenartig verlaufende Parameter angewendet wurden;
  • 15 eine graphische Darstellung einer Ablagerungsrate über der HF-Plattenleistung bei verschiedenen Kammerdrücken;
  • 16 eine REM-Aufnahme eines Grabens mit hohem Geometrieverhältnis gemäß dem Stand der Technik;
  • 17 eine entsprechende REM-Aufnahme, wobei der Prozess der Anmelderin mit abruptem Übergang angewendet wurde (nicht Teil der Erfindung);
  • 18 eine REM-Aufnahme eines Grabens mit hohem Geometrieverhältnis, welcher mit dem Prozess der Anmelderin unter Anwendung von rampenartigen Übergängen ausgebildet wurde;
  • 19(a) und 19(b) Tabellen, welche Prozessbedingungen enthalten, die für die Gräben gemäß 14 und 18 verwendet wurden;
  • 20 eine graphische Darstellung der Synchronisation von Ablagerungs- und Ätzgas während der initialen Zyklen des Verfahrens der Anmelderin; und
  • 21 eine Darstellung eines bzgl. 20 alternativen Ansatzes unter Verwendung eines Spülgases.
  • 1 veranschaulicht schematisch eine im Stand der Technik bekannte Reaktorkammer 10, welche zur Verwendung sowohl zum Ionenätzen (RIE) als auch Gasphasenabscheidung nach dem chemischen Verfahren (CVD) geeignet ist. Eine Vakuumkammer 11 enthält typischerweise eine Trägerelektrode 12 zur Aufnahme eines Halbleiterwafers 13 und ferner eine beabstandete Elektrode 14. Der Wafer wird gegen den Träger 12 mittels Klammern 15 gedrückt und üblicherweise mittels Rückseitenkühlung (nicht dargestellt) gekühlt.
  • Die Kammer 11 ist von einer Spule 15a umgeben, die von einer HF-Quelle 16 versorgt wird, um ein Plasma in der Kammer 11 zwischen den Elektroden 12 und 14 zu induzieren. Alternativ kann eine Mikrowellenquelle zum Erzeugen des Plasmas verwendet werden. In beiden Fällen gibt es die Notwendigkeit, eine Plasmavorspannung zu erzeugen, die sowohl HF als auch DC und an den Träger angeschlossen sein kann, um die Bewegung der Ionen von dem Plasma herunter auf den Wafer 13 zu beeinflussen. Ein Beispiel einer solchen einstellbaren Vorspannungsquelle ist mit 17 angedeutet. Die Kammer ist mit einem Gaseinlass 18, durch den Ablagerungs- oder Ätzgase eingeführt werden können, und einem Auslass zum Entfernen von gasförmigen Produkten und überschüssigem Gas ausgerüstet. Der Betrieb eines derartigen Reaktors im RIE- oder CVD-Betrieb ist im Stand der Technik wohl bekannt und verstanden.
  • Beim Ätzen von Gräben, Ätzgruben, Durchgängen oder anderen Formationen auf der Oberfläche des Halbleiterwafers ist es übliche Praxis, zuerst eine photoresistente Maske mit Öffnungen, welche Abschnitte des Substrates frei lassen, abzulagern. Es werden Ätzgase in die Kammer eingeführt, und es werden ein Anzahl von Schritten durchgeführt, um sicher zu stellen, dass der Ätzprozess in eine Abwärtsrichtung anisotrop ist, so dass so wenig wie möglich von den Seitenwänden der Formation geätzt wird. Aus verschiedenen Gründen ist es in der Praxis schwierig, ein echtes anisotropes Ätzen zu erzielen, und es wurden verschiedene Versuche unternommen, um passivierende Werkstoffe auf den Seitenwänden abzulagern, so dass dieser Werkstoff als Opferschicht geätzt wird. Das bis heute erfolgreichste System dieser Art ist in WO-A-94014187 beschrieben, und dieses System ist schematisch in 2 illustriert. Der in diesem Dokument beschriebene Prozess verwendet aufeinander folgende und getrennte Ätz- und Ablagerungsschritte, so dass nach dem ersten Ätzschritt die Seitenwände hinterschnitten sind, wie mit 20 gezeigt, und dieser Hinterschnitt wird dann durch Ablagern einer Passivierungsschicht 21 geschützt. Wie aus 2 ersichtlich, erzeugt diese Anordnung eine raue Seitenwand, und mit zunehmender Zahl von Ätzschritten oder mit zunehmendem Geometrieverhältnis kann es im Profil zu Bögen oder Hinterschneidungsspalten kommen. Die Dokumente im Stand der Technik beschreiben das Ablagern einer CFx-Passivierungsschicht.
  • Die Anmelderin schlägt einen verbesserten Prozess vor, um eine Formation mit glätterer Wandstruktur und um insbesondere eine bessere Qualität bei tiefen Formationen und/oder Formationen mit hohem Geometrieverhältnis zu ermöglichen. Der Einfachheit halber wird die Beschreibung in zwei Teile unterteilt.
  • In der nachfolgenden Beschreibung ist vorausgesetzt, dass
    • – 1 eV entspricht 1,6*10–19Joule
    • – 1 mTorr entspricht 0,133 Pascal.
  • 1. Passivierung
  • Wie zuvor bereits erwähnt, ist es in bekannten Verfahren vorgesehen, eine Passivierungsschicht in Form von CFx abzulagern. Bei dem erfindungsgemäßen Prozess schlägt die Anmelderin vor, die Seitenwände mittels Kohlenstoff- oder Kohlenwasserstoffschichten zu passivieren, welche signifikant höhere Bindungsenergien zur Verfügung stellen, insbesondere wenn unter hoher Eigenvorspannung abgelagert, so dass die graphitische Phase zumindest teilweise entfernt ist.
  • Wenn es gewünscht ist, diese dünnen Schichten oder Lagen auch bei hohen Eigenvorspannungen von beispielsweise 20 eV oder höher, vorzugsweise über 100 eV, abzulagern, ergibt sich ein zusätzlicher signifikanter Vorteil, wenn es zu Formationen mit hohem Geometrieverhältnis kommt, da die hohe Eigenvorspannung sicher stellt, dass der Transport des Ablagerungswerkstoffes hinab zum zu ätzenden Boden der Formation derart verbessert ist, dass ein Ätzen von Hinterschneidungen in die Seitenwand verhindert ist. Dieser Transporteffekt kann noch durch progressives Reduzieren des Kammerdruckes und/oder Erhöhen der Gasflussrate verbessert werden, so dass die Verweilzeit reduziert ist. In einigen Anordnungen kann es wünschenswert sein, die Ablagerung so weit voran zu treiben, dass eine positiv abgeschrägte oder V-förmige Formation erzielt wird. In dem besonderen Fall von flachen (< 20 μm) Gräben mit hohem Geometrieverhältnis kann das Merkmal Öffnungsgröße (oder kritische Abmessung CD – critical dimension) im Bereich von < 0,5 μm liegen.
  • Die dünnen Filme aus Kohlenwasserstoff (H-C), welche durch diese Passivierung ausgebildet werden, haben signifikante Vorteile gegenüber den dünnen Schichten aus Fluorkohlenstoft gemäß dem Stand der Technik.
  • Die dünnen H-C-Schichten beispielsweise können nach dem Abschluss des Ätzprozesses einfach durch eine Trockenaschungsbehandlung (dry ashing treatment) (Sauerstoffplasma) entfernt werden. Dies kann bei Formationen von MEMS (micro-electro-mechanical systems = mikroelektromechanische Systeme) besonders wichtig sein, bei denen Nassbehandlung zum Festhaften von resonanten Strukturen, die von Gräben mit hohem Geometrieverhältnis getrennt sind, führen. Bei anderen Anwendungen, wie beispielsweise optischen oder biomedizinischen Vorrichtungen, kann es von besonderer Bedeutung sein, die Seitenwandschicht vollständig zu entfernen.
  • Die dünnen H-C-Schichten können aus einem breiten Spektrum von H-C-Vorläufern (beispielsweise CH4, C2H4, C3H6, C4H8, C2H2 usw. einschließlich aromatische H-C's mit hohem Molekulargewicht) abgelagert werden. Diese können mit Edelgasen und/oder H2 gemischt sein. Ein Gas als Sauerstoffquelle kann ebenfalls hinzugefügt (beispielsweise CO, CO2, O2 usw.) und zum Steuern der Phasenbalance der dünnen Schicht während der Ablagerung verwendet werden. Der Sauerstoff tendiert dazu, die graphitische Phase (sp2) des Kohlenstoffes zu entfernen und die härtere Phase (sp3) zurück zu lassen. Der proportionale Anteil von vorhandenem Sauerstoff beeinflusst daher die Charakteristik der dünnen Schicht oder Lage, die letztlich abgelagert wird.
  • Wie oben bereits erwähnt wurde, kann dem H-C-Vorläufer H2 zugemischt werden. H2 ätzt bevorzugt Silizium, und bei korrekt gewählten proportionalen Anteilen ist es möglich, eine Passivierung der Seitenwand zu erreichen, während das Ätzen des Bodens der Ausnehmung während der Passivierungsphase fortschreitet.
  • Der bevorzugte Prozess dafür besteht darin, den gewählten H-C-Vorläufer (beispielsweise CH4) mit H2 zu mischen und eine mit einer Maske strukturierte bzw. maskierte Siliziumoberfläche mit dem Gemisch in der Vorrichtung zu behandeln, welche für das vorgeschlagene Ätzverfahren verwendet wird. Die Siliziumätzrate wird als eine Funktion der CH4-Konzentration in H2 aufgetragen, und eine beispielhafte graphische Darstellung ist in 4 gezeigt. Es ist zu bemerken, dass sich die Ätzrate von einem anfänglichen stationären Zustand mit zunehmendem prozentualen Anteil von CH4 bis zu einem Spitzenwert erhöht, bevor diese auf Null abfällt.
  • Es wird angenommen, dass die graphische Darstellung den folgenden Mechanismus darstellt. In dem anfänglichen stationären Zustand wird das Ätzen im Wesentlichen durch die Bildung von SiHx-Reaktionsprodukten durch das N2 dominiert. Bei etwa 10% CH4 in H2 wird das CH4-Ätzen des Substrates signifikant (durch Ausbilden von Si(CHx)y-Produkten), und die Ätzrate erhöht sich. Ablagern einer Kohlenwasserstoffschicht findet überall statt, obwohl aufgrund des Ätzens keine Netzablagerung auf diesem Teil des Graphen vorliegt. Eventuell beginnt die Ablagerung den Ätzprozess zu dominieren, bis bei etwa 38% CH4 die Netzablagerung auftritt.
  • Es wurde herausgefunden, dass diese veränderlichen Charakteristiken auf zwei verschiedene Arten angewendet werden können. Bei hoher Eigenvorspannung oder hoher mittlerer Ionenenergie, beispielsweise > 100 eV, ist die abgelagerte Schicht oder Beschichtung aufgrund der reduzierten graphitischen Phase relativ hart, und der Prozess kann im ansteigenden Abschnitt des Ätzratengraphen ausgeführt werden, da die Beschichtung gegenüber Ätzen wesentlich widerstandsfähiger ist als das Siliziumsubstrat. Es ist deshalb möglich, das Silizium während der ganzen Ablagerungsphase zu ätzen. Selektivitäten bzgl. Maskierung oder Resistenz, die 100 : 1 überschreiten, können leicht erreicht werden. Es ist besonders zu bemerken, dass dann, während eine signifikante Entfernung der graphitischen Phase aufgrund des Ionenbombardements der Maske 22 vorliegt, die hohe Ausrichtung der Ionen bedeutet, dass die Seitenwandbeschichtung relativ unberührt bleibt.
  • Der Prozess kann auch bei niedrigen durchschnittlichen Ionenenergien entweder mit einem H-C-Vorläufer alleine oder mit H2-Verdünnung betrieben werden. Im letzten Fall ist es bevorzugt, dass der Prozess im abfallenden Teil des Ätzgraphen betrieben wird, d. h. für CH4 mit einem Prozentanteil > 18% aber < 38%, wenn Netzablagerung auftritt. Der Bereich für CN4 ist typischerweise 18% bis 30%.
  • Es wird angenommen, dass die niedrigen Werte von mittlerer Ionenenergie während der Polymerablagerung für eine hohe Maskierungsselektivität von Vorteil sind. Unter diesen Bedingungen mit niedriger HF-Vorspannung steigt die Selektivität über ein weites Fenster für die Passivierungsablagerung ins Unendliche. Wenn also eine hohe Selektivität erforderlich ist, bringt der Ansatz mit kleinen mittleren Ionenenergien Vorteile. 5 veranschaulicht die Stufenabdeckung (Seitenwandablagerung gemessen bei 50% der Stufenhöhe gegen Oberflächenablagerung) für dünne H-C-Schichten unter Verwendung von CN4 und H2 in einem Bereich von Bedingungen einschließlich der beiden oben beschriebenen Ausführungsformen. 5 zeigt, dass bei hohen Ionenenergien die Stufenabdeckung steigt, aber selbst bei Bedingungen mit niedriger Vorspannung eine ausreichende Passivierung zum Schutz gegen seitliches Ätzen vorliegt. In dem letzteren Fall dient die höhere Ablagerungsrate ferner zum Verbessern der Maskierungsselektivität. Die Ablagerungsrate bei niedrigen Ionenenergien ist um einen Faktor zwei größer als bei dem 100 eV-Fall.
  • Es ist daher bevorzugt, dass durch die Verwendung dieser Techniken der Anwender im Wesentlichen diejenige Kombination von Ätzrate und Selektivität wählen kann, die zu der von ihm gewünschten Struktur am besten passt. Des Weiteren kann die Verbesserung der Maskenselektivität dazu verwendet werden, entweder die Ätzrate zu erhöhen und/oder die Kerbenbildung zu verringern.
  • 6, welche nicht Teil der Erfindung ist, veranschaulicht, wie verschiedene Parameter des Prozesses synchronisiert werden können. 6(d) zeigt kontinuierliche und unveränderte Spulenleistung, während bei 6(e) die Spulenleistung umgeschaltet wird, um den Ätz- oder Ablagerungsschritt zu verbessern, und die Leistung während des Ätzens kann anders gewählt werden als beim Ablagern, abhängig von der erforderlichen Prozessleistung. 6(e) veranschaulicht beispielhaft eine höhere Spulenleistung während der Ablagerung.
  • 6(f) bis 6(i) zeigen Variationen bei der Vorspannungsleistung. Gemäß 6(f) liegt während des Ätzens eine hohe Vorspannungsleistung vor, um das Entfernen der dünnen Passivierungsschicht zu erleichtern, während gemäß 6(g) ein anfänglicher Hochleistungspuls verwendet wird, um diesen Entfernungsprozess zu verbessern, während die mittlere Ionenenergie niedrig gehalten wird, woraus sich Selektivitätsvorteile ergeben. 6(h) ist eine Kombination von 6(f) und 6(g), wenn die höheren Ionenenergien während des Ätzens erforderlich sind (beispielsweise bei tiefen Gräben). 6(i) zeigt, dass die Vorspannung während der Ablagerung abgeschaltet sein kann.
  • Bei einigen Prozessen wird zumindest die Segregationsperiode des Gases durch den Partialrestdruck des Gases "A" (Ppa) bestimmt, der in dem Partialrestdruck des Gases "B" (Ppb) toleriert werden kann. Dieser minimale Wert von Ppa in Ppb wird aus der charakteristischen Prozessrate (Ätzen oder Ablagern) als eine Funktion von Ppa/(Ppa + Ppb) bestimmt.
  • In 8 ist Gas "A" 20% CH4 + H2, während Gas "B" SF6 ist. Es zeigt sich, dass bei Ppa/(Ppa + Ppb) < 5% die Prozessrate im wesentlichen stationär ist. Bei typischen praktischen Bedingungen reicht eine Auspumpzeit von weniger als 1,5 s aus, und ein Plasma kann für über 65% der gesamten Zykluszeit aufrecht erhalten werden, wenn die Prozessschritte in der Größenordnung 2 bis 3 Sekunden dauern, und für über 80%, wenn die Schritte über 5 Sekunden lang sind. Eine geeignete Synchronisationsanordnung ist in 7 gezeigt (nicht Teil der Erfindung). Es ist zu bemerken, dass das Ätzen dem Auspumpen vorangeht, da es wünschenswert ist, ein Vermischen von Ablagerungs- und Ätzgasen zu vermeiden. Im Stand der Technik bekannte Vorschläge (beispielsweise US-A-4985114) schlagen ein Abschalten oder Reduzieren des Flusses des Ablagerungsgases für eine lange Zeitperiode vor, bevor das Plasma eingeschaltet wird. Das kann bedeuten, dass die Plasmaleistung nur für einen kleinen Abschnitt der gesamten Zykluszeiten vorhanden ist, was zu einer signifikanten Reduktion der Ätzrate führt. Die Anmelderin schlägt vor, dass die Kammer zwischen zumindest einigen Gaswechseln ausgepumpt wird, jedoch muss darauf geachtet werden, dass der Druck und die Stabilisation des Gasflusses aufrechterhalten wird. Vorzugsweise werden Hochgeschwindigkeits-Massenflusssteuerungen (Anstiegszeiten 100 ms) und automatische Drucksteuerungen (Winkeländerung und Stabilisierung in 300 ms) verwendet.
  • Die Anmelderin hat herausgefunden (vgl. 8), dass die Zeitspanne für das Auspumpen, die zum Verhindern des Ätzens notwendig ist, von dem Ablagerungsgas kompromittiert wird. Das Auspumpen kann dem Ätzschritt oder sowohl dem Ätz- als auch dem Ablagerungsschritt vorangehen, abhängig von dem genauen auszuführenden Prozess. Auspumpen reduziert ebenfalls Mikro-Ladung (micro-loading) (was in US-A-4985114 beschrieben ist) und ist bei Ätzen mit hohem Geometrieverhältnis vorteilhaft, wie nachfolgend näher beschrieben wird.
  • Bei der Erfindung können viele der variierten Parameter "rampenartig" ("ramped") verlaufen, wie in 9(ii) veranschaulicht. Das bedeutet, dass diese Parameter Zyklus für Zyklus in ihrer Amplitude oder Periode progressiv ansteigen oder abfallen, anstatt sich zwischen den Zyklen abrupt zu ändern. Im Falle des Auspumpens kann der rampenartige Verlauf dazu verwendet werden, am Anfang des Prozesses ein Vermischen zu erlauben, was eine Reduzierung oder Eliminierung der Kerbenausbildung an der Seitenwand ermöglicht, was nachfolgend näher erläutert wird.
  • Typische Prozessparameter sind wie folgt: 1. Ablagerungsschritt
    CH4 Schrittzeit: 2 bis 15 Sekunden; vorzugsweise 4 bis 6 Sekunden
    H2 Schrittzeit: 2 bis 15 Sekunden; vorzugsweise 4 bis 6 Sekunden
    HF-Spulenleistung: 600 W bis 1 kW; vorzugsweise 800 W
    HF Vorspannungsleistung: hoher Mittelwert der Energie im Falle: 50 W bis 300 W; vorzugsweise 100 W niedriger Mittelwert der Energie im Falle: 0 W bis 30 W; vorzugsweise 10 W
    Druck: 2 mTorr bis 50 mTorr; vorzugsweise 20 mTorr
    2. Ätzschritt
    SF6 Schrittzeit: 2 bis 15 Sekunden; vorzugsweise 4 bis 6 Sekunden
    HF-Spulenleistung: 600 W bis 1 kW; vorzugsweise 800 W
    HF-Vorspannungsleistung: hoher Mittelwert der Ionenenergie im Falle: 50 W bis 300 W; vorzugsweise 150 W niedriger Mittelwert der Ionenenergie im Falle: 0 W bis 30 W; vorzugsweise 15 W
    Druck: 2 mTorr bis 50 mTorr; vorzugsweise 30 mTorr
  • 2. Ätz/Ablagerungsverhältnis
  • Die Anmelderin hat herausgefunden, dass die Ansätze im Stand der Technik zu vereinfacht sind, da diese weder eine Änderung von Bedingungen während eines bestimmten Prozesses noch unterschiedliche Anforderungen oder unterschiedliche Arten von Formationen erlauben. Des Weiteren befasst sich der Stand der Technik nicht mit Schwierigkeiten bei tiefem Ätzen.
  • Daher ist die Anmelderin im Gegensatz zu WO-A-94014187 der Auffassung, dass es häufig vorteilhaft ist, die Ätz- und Passivierungs- oder Ablagerungsschritte zu überlagern, so dass die Rauhigkeit der Oberflächenwand, wie in 2 dargestellt, signifikant reduziert werden kann. Die Anmelderin hat ebenfalls ermittelt, dass in überraschender Weise die bisher verwendete starre sequentielle stufenwellenartige Abstufung weit vom Idealzustand entfernt ist. In vielen Fällen ist es wünschenswert, glatte Übergänge zwischen den Stufen zu verwenden, insbesondere wenn Überlappung auftritt, wenn eine Reduktion der Ätzrate akzeptabel ist. Da die Seitenwandrauhigkeit im Wesentlichen eine Manifestation der verbesserten Seitenätzkomponente ist, kann diese durch Begrenzen dieser Komponente des Ätzens reduziert werden. Der gewünschte Effekt kann durch eine oder verschiedene Weisen erreicht werden: teilweises Vermischen der Passivierungs- und Ätzschritte (Überlappen); Minimieren der Ätzdauer (und Verbessern der entsprechenden Passivierungsdauer); Reduzieren der Ätzproduktvolatilität durch Reduzieren der Temperatur des Wafers; Hinzufügen von Passivierungskomponenten zu dem Ätzgas, beispielsweise SF6 mit hinzugefügtem O, N, C, CFx, CHx oder Ersetzen des Ätzgases mit einer niedriger reaktiven Art eines Freisetzgases, wie beispielsweise Ersetzen von SF6 durch CFx usw..
  • Die Anmelderin hat ebenfalls herausgefunden, dass Änderungen im Grad des Ätzens und Ablagerns bei verschiedenen Stufen innerhalb des Prozesses wünschenswert sind.
  • Wie zuvor kurz angedeutet, kann es mit zunehmender Tiefe und/oder zunehmendem Geometrieverhältnis der Formation oder des Grabens progressiv schwieriger werden, Material abzulagern. Durch Steuern der Amplitude von Gasflussraten, durchschnittlicher Kammerdruck über einen Zyklus, Plasmaleistung, Vorspannungsleistung und/oder Zykluszeit kann das System in geeigneter Weise abgestimmt werden, um gutes anisotropes Ätzen mit guter Seitenwandpassivierung zu erzielen.
  • Dies und damit verbundene Techniken können zum Überwinden einiger Probleme im Ätzprofil verwendet werden:
  • a. Kerbenbildung an der Seitenwand
  • Das Problem der "Kerbenbildung an der Seitenwand" ("notching") ist vor allen Dingen hinsichtlich des freiliegenden Siliziumbereiches (schlecht bei kleinen freiliegenden Bereichen < 30%) sensitiv und ist entsprechend schlecht bei hohen durchschnittlichen Siliziumätzraten. Die Anmelderin ist der Auffassung, dass derartige Kerbenbildung durch eine relativ hohe Konzentration von Ätzmaterialien bedingt ist, die während der ersten Ätz-/Ablagerungszyklen vorhanden sind. Daher bestehen die von der Anmelderin vorgeschlagenen Lösungen darin, entweder die Passivierung zu verbessern oder die Ätzmaterialien während der ersten Zyklen zu löschen ("quentching"). Letzteres kann entweder durch Einstellung des Prozesses (rampenartiger Verlauf (ramping) eines von mehreren Parametern) oder durch Anordnen eines Werkstoffes innerhalb des Reaktors erfolgen, welcher (mittels chemischer Reaktion) Ätzmaterialien, wie beispielsweise Si, Ti, W usw., die mit dem F-Ätzmittel reagieren, bindet. Eine derartige chemische Beladung hat den Nachteil einer reduzierten mittleren Ätzrate, da das Löschen lediglich für die ersten wenigen Ätzschritte notwendig ist. Daher werden die Einstellungen des Prozesses als wichtig betrachtet.
  • Es ist wünschenswert, die "Kerbenbildung an der Seitenwand" ohne Beeinträchtigung oder Verschlechterung eines der anderen Aspekte des Ätzens, wie beispielsweise Ätzrate, Profilsteuerung, Selektivität usw., zu reduzieren/eliminieren. Untersuchungen durch die Anmelderin haben gezeigt, dass der Ansatz "Reduktion der Konzentration der Ätzmaterialien am Beginn des Ätzens" dadurch am besten gesteuert werden kann, indem man beginnt mit:
    • a. Einführen eines Fluor-Spülgases oder
    • b. niedrige Spulenleistung oder
    • c. kurze Ätzzykluszeit (Schrittdauer) oder
    • d. niedriger Fluss des Ätzgases oder
    • e. Anstieg des entsprechenden obigen Parameters a bis d während des Passivierungszyklus
    • d. eine Kombination des obigen.

    gefolgt von einem Erhöhen der (des) jeweiligen Parameters) auf normale voroptimierte Ätzbedingungen.
  • Die Natur des Problems (welches sich aus der direkten Anwendung des Standes der Technik ergibt) während eines Ätzens eines Siliziumgrabens ist schematisch in 3 und in den Rasterelektronenmikrobildern (SEM's – scanning electron micrographs) von 10 und 11 dargestellt. Diese Figuren zeigen, dass für einen Graben mit 1,7 μm anfänglicher Grabenöffnung der CD-Verlust 1,2 μm (70%) be trägt, während die Kerbbreite bis zu 0,37 μm beträgt. Derartige Werte des CD-Verlustes sind für die Mehrzahl der Anwendungen unakzeptabel.
  • Wenn abrupte Schritte (nicht Teil der Erfindung) zum Variieren der Prozessparameter verwendet werden, werden abrupte Übergänge in den Seitenwandprofilen erzeugt. Die SEMs in 12 und 13 veranschaulichen dies für unterschiedliche Prozessparameter. In 12 zeichnet sich der Übergang der Prozessparameter deutlich als abrupter Übergang im Seitenwandprofil am Punkt der Parameteränderung ab (nach 8,5 μm Ätztiefe). (Beachte, dass Kerbenbildungen an der Seitenwand eliminiert wurden.) 13 veranschaulicht eine weitere abrupte/schrittartige Änderung eines anderen Prozessparameters. Hier ist die Seitenwandpassivierung groß genug, um für die ersten 2 μm zu einem positiven Profil (und keiner Kerbenbildung an der Seitenwand) zu führen. Wenn die reduzierten Passivierungsbedingungen angewendet werden, ist dies gekennzeichnet durch den Übergang des Seitenwandwinkels und wieder Auftretens von Kerbenbildungen an der Seitenwand.
  • Durch Verwendung des Ansatzes mit "rampenartig verlaufendem" Parameter kann die Kerbenbildung an der Seitenwand eliminiert und das Erzeugen eines glatten Seitenwandprofils ohne abrupte Übergänge erzielt werden, wie sich aus dem SEM von 14 ergibt. Diese zeigt eine Ätzung eines 22 μm tiefen Grabens mit einem glatten positiven Profil und ohne CD-Verlust, wobei gleichzeitig im Vergleich zu einem Prozess ohne rampenartigen Verlauf und hoher Unterwirksamkeit (underact) die Ätzrate aufrechterhalten wird. Die in diesem Fall verwendeten Prozessbedingungen sind in 19(a) angegeben.
  • b. Profilsteuerung während tiefem Ätzen mit hohem Geometrieverhältnis
  • Dort, wo ein Ätzen mit hohem Geometrieverhältnis (> 10 : 1) erforderlich ist, ist die dem Stand der Technik zu entnehmende Lehre begrenzt. Während hier die Grenzen und Lösungen für relativ tiefes Ätzen (> 200 μm) diskutiert werden, hat das flache Ätzen mit hohem Geometrieverhältnis eine ebenso große Relevanz selbst für niedrige Werte von CD, wie beispielsweise < 0,5 μm.
  • Ein grundlegender Mechanismus, welcher Ätzen mit hohem Geometrieverhältnis von anderem unterscheidet, ist die Diffusion des ätzenden (und auch passivierenden) reaktiven Vorläufers wie auch der Ätzprodukte. Diese Art von Transportphänomen wurde für den Passivierungsschritt untersucht. Die Ergebnisse zeigen eindeutig, dass der Transport von Passivierungsmaterialien von der Seitenwand zum Boden des tiefen Grabens bei niedrigen Drücken verbessert ist. Eine Erhöhung der Plattenleistung verbessert dies ebenfalls, wie aus 15 ersichtlich ist. Der Graph veranschaulicht die verbesserte Passivierung in Richtung des Bodens des Grabens, wenn der Druck sinkt und die HF-Vorspannungsleistung steigt. Diese Daten wurden bei zuerst Ätzen von 200 μm tiefen Gräben, dann Verwenden nur des Passivierungsschrittes und Messen der Veränderung der Seitenwandpassivierung mit der Tiefe unter Verwendung eines SEM erhalten. Dies unterstützt die Veränderung der Passivierung mit der Ätztiefe und unterstützt ferner die Aussage, dass sich die optimalen Prozessbedingungen mit der Ätztiefe verändern.
  • Die Grenzen für die Anwendung von im Stand der Technik bekannten Verfahren für solche Prozesse mit hohem Geometrieverhältnis sind in dem SEM von 16 gezeigt. Es ist zu bemerken, dass dieses relativ hohe Verhältnis von Passivierung zu Ätzen bei einem Prozess mit festen Parametern immer noch zu Kerbenbildung an der Seitenwand führt, jedoch die Vergrößerung des SEM nicht ausreicht, um dies für die Ätzung eines Grabens mit 10 μm-CD und 230 μm Tiefe darzustellen. Ausgehend von den in 15 aufgezeigten Trends, kann das Profil durch Betrieb unterhalb der gewünschten hohen HF-Leistung der Vorspannung und bei niedrigen Druckbedingungen in gewisser Weise verbessert werden. Als Prozess mit festen Parametern verschlechtern jedoch hohe Vorspannung und niedriger Druck die Maskenselektivität (von > 100 : 1 auf < 20 : 1), wenn die Ionenenergie erhöht wird. Die Verwendung einer abrupten Parameteränderung führt zu einer entsprechenden abrupten Änderung der Seitenwand, wie in dem SEM von 17 gezeigt. Durch rampenartigen Verlauf der Parameter Erhöhung der Plattenspannung, Absenken des Druckes sowie Erhöhen der Zykluszeiten und Gasflüsse ergeben sich die gewünschten Ergebnisse, während verhältnismäßig hohe Selektivitäten von > 75 : 1 aufrechterhalten werden, vgl. 18. Hier zeigt das SEM einen 295 μm tief geätzten Graben mit 12 μm CD (Geometrieverhältnis 25 : 1). Die bei diesem Prozess verwendeten Prozessbedingungen sind in 19(b) angegeben.
  • 20 veranschaulicht eine Synchronisation zwischen Ablagerungs- und Ätzgasen, die für die ersten Zyklen verwendet wurden, um Kerbenausbildung an der Seitenwand zu vermindern. Typische Prozessbedingungen sind in 19(a) und dem zugehörigen SEM von 14 angegeben. 21 veranschaulicht eine Synchronisationsreferenz für die Verwendung eines Spülgases mit dem Verfahren (a) der Technik zur Verminderung der Kerbenausbildung an der Seitenwand. Die gestrichelte Linie zeigt die Alternative, bei der die Flussrate des Spülgases rampenartig verringert wird.
  • 9(i) zeigt eine Synchronisation zum Erzielen einer tiefen, anisotropen Ätzung mit hohem Geometrieverhältnis, obwohl die Technik des rampenartigen Verlaufes auch zur Verminderung der Kerbenausbildung an der Seitenwand verwendet werden kann. Um die in 18 gezeigten Ergebnisse zu erzielen, können die Bedingungen gemäß 19(b) verwendet werden.
  • Zurück zu 9(i):
    • 1. Dies zeigt einen rampenartigen Verlauf für den durchschnittlichen Druck. Es ist zu beachten, dass sich der Druck jeweils von niedrig zu hoch ändert, wenn sich der Zyklus von Ablagerung zu Ätzen ändert. Der sinkende, rampenartige Verlauf des Druckes führt dann zu der Druckerniedrigung sowohl bei den Ätzals auch den Passivierungszyklen.
    • 2. Dies zeigt einen rampenartigen Verlauf der HF-Vorspannungsleistung. Es ist zu beachten, dass sich die Vorspannung jeweils von niedrig zu hoch ändert, wenn sich der Zyklus von Ablagerung zu Ätzen ändert. Dies ist synchron mit der oben beschriebenen Änderung des Druckes. Der ansteigende rampenförmige Verlauf der Vorspannung betrifft in diesem Fall nur den Ablagerungsschritt.
    • 3. Dies zeigt ein weiteres Beispiel für einen rampenartigen Verlauf der HF-Vorspannungsleistung. Wiederum und synchron mit dem Druck ändert sich die Vorspannung jeweils von niedrig zu hoch, wenn sich der Zyklus von Ablagerung zu Ätzen ändert. Der ansteigende rampenförmige Verlauf der Vor spannung betrifft in diesem Fall sowohl den Ablagerungsschritt als auch den Ätzschritt.
  • In 9(ii) sind rampenförmige Verläufe allgemein veranschaulicht. Diese Beispiele dienen zur Veranschaulichung des rampenförmigen Verlaufes der Zykluszeit und der Schrittdauer.
    • 4. Dies zeigt einen rampenförmigen Verlauf der Zykluszeit, wobei die Größe des Parameters (wie beispielsweise Gasflussraten, Druck, HF-Leistung usw.) nicht rampenförmig verläuft. Bei einigen Anwendungen dient dies als eine Alternative zu den rampenförmigen Verläufen der "Größen" der obigen Fälle.
    • 5. Dies zeigt einen rampenförmigen Verlauf der Zykluszeit, wobei die Größe des Parameters (wie beispielsweise Gasflussraten, Druck, HF-Leistung usw.) zusätzlich rampenförmig verläuft. Es ist zu beachten, dass der rampenförmige Verlauf des Parameters in seiner Größe ansteigend oder abfallend sein kann und dass der Abfall zu einem Wert Null oder einem von Null verschiedenen Wert erfolgen kann.
  • 3. Ätzgase
  • Während jedes geeignete Ätzgas verwendet werden kann, hat die Anmelderin heraus gefunden, dass bestimmte Gase oder Gemische vorteilhaft sind.
  • In der WO-A-940114187 ist angegeben, dass es unerwünscht ist, irgendeine Art Passivierungsgas in der Ätzstufe zu haben, da dies die Prozessrate beeinflusst. Die Anmelderin hat jedoch herausgefunden, dass diese Vorgehensweise die Qualität der ausgebildeten Seitenwände der Gräben erheblich verbessern kann, und es wird vorgeschlagen, dass dem Ätzgas Passivierungsgase, wie beispielsweise O, N, C Kohlenwasserstoffe, Hydrohalogenkarbone und/oder Halogenkarbone hinzu gefügt werden. In gleicher Weise und zu demselben Zweck ist es wünschenswert, die chemische Reaktivität des geätzten Gases zu vermindern, und die Anmelderin schlägt die Verwendung von CFx, beispielsweise Halogenide mit höheren Atommassen, wie beispielsweise Cl, Br oder I, vor. Es können jedoch XeF2 und andere Ätzgase verwendet werden.
  • 4. Galliumarsenid und andere Werkstoffe
  • Bisherige Vorschläge bezogen sich alle auf eine Grabenformation in Silizium. Die Anmelderin hat klargemacht, dass mit der Verwendung einer geeigneten Passivierung ein anisotropisches Ätzen von Galliumarsenid und in der Tat auch anderen ätzbaren Werkstoffen möglich ist. Beispielsweise wird vorgeschlagen, dass Galliumarsenid mit Cl2 mit oder ohne Passivierungs- oder Ätzverstärkungsgasen geätzt wird, aber es wurde im Allgemeinen festgestellt, dass diese Technik mit der oben vorgeschlagenen Kohlenstoff- oder Kohlenwasserstoff-Passivierung weitaus mehr erfolgreich ist. Wenn die herkömmliche CFx-Chemie verwendet wird, können Ätzverhinderungsverbindungen erzeugt werden, was die Oberflächenrauhigkeit erhöht oder den Ätzvorgang begrenzt. Für Galliumarsenid sind niedrigere Temperaturen wünschenswert, wie auch die Verwendung eines Reaktors mit niedrigem Druck und hoher Plasmadichte. Geeignete Ätzchemiken sind bereits in der vorliegenden Beschreibungseinleitung aufgelistet.

Claims (17)

  1. Verfahren zum Ätzen eines vor dem Ätzen durch Ablagern einer Maske definierten Strukturmerkmals mit hohem Geometrieverhältnis auf einem Halbleitersubstrat in einer Reaktorkammer unter Verwendung der abwechselnden Prozeßschritte reaktives Ionenätzen des Substrates in Anwesenheit einer Plasmavorspannung und Ablagern einer Passivierungsschicht mittels Gasphasenabscheidung nach chemischem Verfahren (CVD) in aufeinandertolgenden Zyklen, um einen Netzätzeffekt zu erzielen, wobei sich das für das reaktive Ionenätzen verwendete Gas oder Gasgemisch von dem Gas oder Gasgemisch unterscheidet, welches für die Gasphasenabscheidung nach chemischem Verfahren (CVD) verwendet wird, und wobei Zyklus für Zyklus einer oder mehrere der folgenden Parameter: Gasströmungsrate, durchschnittlicher Kammerdruck über den Zyklus, Plasmaleistung, Substratvorspannung, Ätzrate, Ablagerungsrate und Zykluszeit progressiv erhöht oder erniedrigt wird, um die Form des von der Maske definierten Strukturmerkmals mit vertikalen Seitenwänden aufrecht zu erhalten.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß sich der Ätzschritt und der Ablagerungsschritt überlagern.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß zusätzlich zwischen dem Ätzen und dem Ablagern und/oder zwischen dem Ablagern und dem Ätzen die Kammer ausgepumpt wird.
  4. Verfahren nach Anspruch 3, dadurch gekennzeichnet, daß das Auspumpen ausgeführt wird bis
    Figure 00220001
    gilt, wobei Ppa der Partialdruck des in dem vorangegangenen Schritt verwendeten Gases (A), Ppb der Partialdruck des in dem nachfolgenden Schritt verwendeten Gases (A) und x der Prozentsatz ist, bei dem die Prozeßrate des mit dem Gas (A) ausgeführten Prozesses auf einen stationären Zustand abfällt.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Ätzrate während des ersten Zyklus oder für wenigstens einige der ersten Zyklen durch eine oder mehrere der folgenden Maßnahmen reduziert wird: (a) Einleiten eines Spülgases (b) Reduktion der Plasmaleistung (c) Reduktion der Zykluszeit (d) Reduktion der Gasströmung (e) Variieren des durchschnittlichen Kammerdruckes über einen Zyklus.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Ätzrate während des ersten Zyklus oder für wenigstens einige der ersten Zyklen durch eine oder mehrere der folgenden Maßnahmen erhöht wird: (a) Erhöhung der Plasmaleistung (b) Erhöhung der Zykluszeit (c) Erhöhung der Gasströmungsrate (d) Erhöhung der Dichte der Ablagerungsart (e) Variieren der durchschnittlichen Kammerdruckes über einen Zyklus.
  7. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Maske verbessert wird durch oder selbst abgelagert wird als eine Kohlenstoff- oder Kohlenwasserstoffschicht.
  8. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Ätzgas CFx oder XeF2 ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß der durchschnittliche Kammerdruck über einen Zyklus reduziert und/oder die Strömungsrate während der Ablagerung erhöht wird.
  10. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Substrat frei auf einem Träger in der Kammer, welcher von dem Plasma bis zum Gleichgewicht erhitzt wird, liegt.
  11. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Substrat auf einer Temperatur von –100°C bis 100°C gehalten wird.
  12. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Substrat GaAs GaP, GaN, GaSb, SiGe, Ge, Mo, W oder Ta ist.
  13. Verfahren nach Anspruch 12, dadurch gekennzeichnet, daß das Ätzgas eines oder eine Kombination ist von Cl2, BCl3, SiCl4, SiCl2H2, CHxCly, CxCly, CHx mit oder ohne Wasserstoff oder einem Inertgas.
  14. Verfahren nach Anspruch 12 oder 13, dadurch gekennzeichnet, daß das Ablagerungsgas eines oder eine Kombination ist von CHx, CHxClY oder CxCly mit oder ohne Wasserstoff oder einem Inertgas.
  15. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Ablagerungsgas ein Kohlenwasserstoffgas zum Ablagern von Kohlenstoff oder einer Kohlenstoffschicht ist.
  16. Verfahren nach Anspruch 15, dadurch gekennzeichnet, daß das Ablagerungsgas O-, N-, oder F-Elemente enthält und/oder mit H2 gemischt ist.
  17. Verfahren nach Anspruch 16, dadurch gekennzeichnet, daß das Ablagerungsgas Stickstoff- und/oder Fluordotiert ist.
DE69725245T 1996-08-01 1997-07-28 Verfahren zur Ätzung von Substraten Expired - Lifetime DE69725245T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9616223 1996-08-01
GBGB9616224.3A GB9616224D0 (en) 1996-08-01 1996-08-01 Method of surface treatment of semiconductor substrates
GB9616224 1996-08-01
GBGB9616223.5A GB9616223D0 (en) 1996-08-01 1996-08-01 Method of surface treatment of semiconductor substrates

Publications (2)

Publication Number Publication Date
DE69725245D1 DE69725245D1 (de) 2003-11-06
DE69725245T2 true DE69725245T2 (de) 2004-08-12

Family

ID=26309796

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69725245T Expired - Lifetime DE69725245T2 (de) 1996-08-01 1997-07-28 Verfahren zur Ätzung von Substraten

Country Status (5)

Country Link
US (1) US6051503A (de)
EP (2) EP0822582B1 (de)
JP (2) JP3540129B2 (de)
AT (1) ATE251341T1 (de)
DE (1) DE69725245T2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019116019A1 (de) * 2019-06-12 2020-12-17 X-Fab Semiconductor Foundries Gmbh Herstellung von Bauelementen in Substraten über einen mehrstufigen Ätzprozess

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969635B2 (en) 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
KR100528685B1 (ko) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 시료의 표면 가공방법
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
EP1055250B1 (de) 1998-12-11 2010-02-17 Surface Technology Systems Plc Plasmabehandlungsgerät
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
GB2348399A (en) * 1999-03-31 2000-10-04 Univ Glasgow Reactive ion etching with control of etch gas flow rate, pressure and rf power
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
DE19927806A1 (de) 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
DE19930188A1 (de) 1999-06-30 2001-01-04 Infineon Technologies Ag Verfahren zur Herstellung von Gräben für Speicherkondensatoren von DRAM-Halbleiterspeichern
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
GB9917305D0 (en) * 1999-07-23 1999-09-22 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
EP1077475A3 (de) * 1999-08-11 2003-04-02 Applied Materials, Inc. Verfahren zur Mikrobearbeitung einer Körperhölung mit mehrfachem Profil
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
JP2001110784A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法
US6949202B1 (en) 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US6960305B2 (en) 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US6942811B2 (en) 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
JP3525862B2 (ja) * 2000-05-22 2004-05-10 トヨタ自動車株式会社 センサ素子及びセンサ装置
US7019376B2 (en) 2000-08-11 2006-03-28 Reflectivity, Inc Micromirror array device with a small pitch size
EP1311310A4 (de) * 2000-08-21 2004-11-24 Cleveland Clinic Foundation Mikronadelgittermodul und methode zu seiner herstellung
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6451673B1 (en) * 2001-02-15 2002-09-17 Advanced Micro Devices, Inc. Carrier gas modification for preservation of mask layer during plasma etching
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6635556B1 (en) * 2001-05-17 2003-10-21 Matrix Semiconductor, Inc. Method of preventing autodoping
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6555166B2 (en) * 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US7067849B2 (en) 2001-07-17 2006-06-27 Lg Electronics Inc. Diode having high brightness and method thereof
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6890859B1 (en) * 2001-08-10 2005-05-10 Cypress Semiconductor Corporation Methods of forming semiconductor structures having reduced defects, and articles and devices formed thereby
US7189332B2 (en) 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6949395B2 (en) 2001-10-22 2005-09-27 Oriol, Inc. Method of making diode having reflective layer
US7148520B2 (en) 2001-10-26 2006-12-12 Lg Electronics Inc. Diode having vertical structure and method of manufacturing the same
US6906845B2 (en) * 2001-11-26 2005-06-14 Samsung Electronics Co., Ltd. Micro-mechanical device having anti-stiction layer and method of manufacturing the device
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US7027200B2 (en) 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
US6965468B2 (en) 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
US6946362B2 (en) * 2002-09-06 2005-09-20 Hewlett-Packard Development Company, L.P. Method and apparatus for forming high surface area material films and membranes
JP4694201B2 (ja) 2002-09-20 2011-06-08 インテグレイテッド ディーエヌエイ テクノロジーズ インコーポレイテッド アントラキノン消光色素、それらの製造方法及び使用
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
CN1723549B (zh) * 2002-10-11 2012-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
DE10318568A1 (de) * 2003-04-15 2004-11-25 Technische Universität Dresden Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6980347B2 (en) 2003-07-03 2005-12-27 Reflectivity, Inc Micromirror having reduced space between hinge and mirror plate of the micromirror
JP4161857B2 (ja) * 2003-09-10 2008-10-08 株式会社デンソー 半導体装置の製造方法
US7645704B2 (en) 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7413958B2 (en) * 2003-12-04 2008-08-19 Bae Systems Information And Electronic Systems Integration Inc. GaN-based permeable base transistor and method of fabrication
JP3816484B2 (ja) 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
JP4416569B2 (ja) * 2004-05-24 2010-02-17 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006173293A (ja) * 2004-12-15 2006-06-29 Toshiba Corp 半導体装置の製造方法
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
GB0508706D0 (en) 2005-04-28 2005-06-08 Oxford Instr Plasma Technology Method of generating and using a plasma processing control program
FR2887073B1 (fr) * 2005-06-14 2007-08-10 Alcatel Sa Procede de pilotage de la pression dans une chambre de procede
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
JP4707178B2 (ja) * 2005-06-29 2011-06-22 キヤノンマーケティングジャパン株式会社 エッチング方法およびエッチング装置
EP1804281B1 (de) 2005-12-28 2011-12-14 STMicroelectronics Srl Verfahren zum Ätzen eines tiefen Grabens in einem halbleitenden Gegenstand, und halbleitender Gegenstand so hergestellt.
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
DE102006043389A1 (de) * 2006-09-06 2008-03-27 Technische Universität Dresden Verfahren zum Plasmaätzen zur Erzeugung positiver Ätzprofile in Siliziumsubstraten
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
WO2008121158A1 (en) * 2007-04-02 2008-10-09 Inphase Technologies, Inc. Non-ft plane angular filters
US7758155B2 (en) * 2007-05-15 2010-07-20 Eastman Kodak Company Monolithic printhead with multiple rows of inkjet orifices
US20080284835A1 (en) * 2007-05-15 2008-11-20 Panchawagh Hrishikesh V Integral, micromachined gutter for inkjet printhead
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090033727A1 (en) * 2007-07-31 2009-02-05 Anagnostopoulos Constantine N Lateral flow device printhead with internal gutter
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5172417B2 (ja) * 2008-03-27 2013-03-27 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8585179B2 (en) * 2008-03-28 2013-11-19 Eastman Kodak Company Fluid flow in microfluidic devices
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8338308B2 (en) * 2008-12-19 2012-12-25 The Board Of Trustees Of The University Of Illinois Method of plasma etching Ga-based compound semiconductors
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
JP5532394B2 (ja) 2009-10-15 2014-06-25 セイコーエプソン株式会社 半導体装置及び回路基板並びに電子機器
CN102135733B (zh) * 2010-01-27 2012-12-05 中芯国际集成电路制造(上海)有限公司 光阻去除方法
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
JP5223878B2 (ja) 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
KR20120000612A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US9129904B2 (en) 2011-06-15 2015-09-08 Applied Materials, Inc. Wafer dicing using pulse train laser with multiple-pulse bursts and plasma etch
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8598016B2 (en) * 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US8507363B2 (en) * 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US9046690B2 (en) 2011-10-20 2015-06-02 Si-Ware Systems Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication
CN102431960A (zh) * 2011-12-07 2012-05-02 华中科技大学 一种硅通孔刻蚀方法
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
GB2499816A (en) 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US8946057B2 (en) 2012-04-24 2015-02-03 Applied Materials, Inc. Laser and plasma etch wafer dicing using UV-curable adhesive film
JP5713043B2 (ja) 2012-05-07 2015-05-07 株式会社デンソー 半導体基板の製造方法
US9048309B2 (en) 2012-07-10 2015-06-02 Applied Materials, Inc. Uniform masking for wafer dicing using laser and plasma etch
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US8940619B2 (en) 2012-07-13 2015-01-27 Applied Materials, Inc. Method of diced wafer transportation
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9252057B2 (en) 2012-10-17 2016-02-02 Applied Materials, Inc. Laser and plasma etch wafer dicing with partial pre-curing of UV release dicing tape for film frame wafer application
US8975162B2 (en) 2012-12-20 2015-03-10 Applied Materials, Inc. Wafer dicing from wafer backside
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
TWI619165B (zh) 2013-03-14 2018-03-21 應用材料股份有限公司 以雷射及電漿蝕刻進行的基板切割所用的含非光可界定雷射能量吸收層的多層遮罩
JP6180824B2 (ja) * 2013-07-02 2017-08-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN103400800B (zh) * 2013-08-14 2015-09-30 中微半导体设备(上海)有限公司 Bosch刻蚀方法
US9105710B2 (en) 2013-08-30 2015-08-11 Applied Materials, Inc. Wafer dicing method for improving die packaging quality
US9224650B2 (en) 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US9460966B2 (en) 2013-10-10 2016-10-04 Applied Materials, Inc. Method and apparatus for dicing wafers having thick passivation polymer layer
US9041198B2 (en) 2013-10-22 2015-05-26 Applied Materials, Inc. Maskless hybrid laser scribing and plasma etching wafer dicing process
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9312177B2 (en) 2013-12-06 2016-04-12 Applied Materials, Inc. Screen print mask for laser scribe and plasma etch wafer dicing process
US9299614B2 (en) 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
US9299611B2 (en) 2014-01-29 2016-03-29 Applied Materials, Inc. Method of wafer dicing using hybrid laser scribing and plasma etch approach with mask plasma treatment for improved mask etch resistance
US9018079B1 (en) 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US8991329B1 (en) 2014-01-31 2015-03-31 Applied Materials, Inc. Wafer coating
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9275902B2 (en) 2014-03-26 2016-03-01 Applied Materials, Inc. Dicing processes for thin wafers with bumps on wafer backside
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
CN103950887B (zh) * 2014-04-09 2016-01-20 华中科技大学 一种深硅刻蚀方法
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US8932939B1 (en) 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US8912078B1 (en) 2014-04-16 2014-12-16 Applied Materials, Inc. Dicing wafers having solder bumps on wafer backside
US8999816B1 (en) 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US8912075B1 (en) 2014-04-29 2014-12-16 Applied Materials, Inc. Wafer edge warp supression for thin wafer supported by tape frame
US9159621B1 (en) 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US8980727B1 (en) 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9112050B1 (en) 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9034771B1 (en) 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9165832B1 (en) 2014-06-30 2015-10-20 Applied Materials, Inc. Method of die singulation using laser ablation and induction of internal defects with a laser
US9093518B1 (en) 2014-06-30 2015-07-28 Applied Materials, Inc. Singulation of wafers having wafer-level underfill
US9142459B1 (en) 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
US9130057B1 (en) 2014-06-30 2015-09-08 Applied Materials, Inc. Hybrid dicing process using a blade and laser
US9349648B2 (en) 2014-07-22 2016-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using a rectangular shaped two-dimensional top hat laser beam profile or a linear shaped one-dimensional top hat laser beam profile laser scribing process and plasma etch process
US9117868B1 (en) 2014-08-12 2015-08-25 Applied Materials, Inc. Bipolar electrostatic chuck for dicing tape thermal management during plasma dicing
US9196498B1 (en) 2014-08-12 2015-11-24 Applied Materials, Inc. Stationary actively-cooled shadow ring for heat dissipation in plasma chamber
US9281244B1 (en) 2014-09-18 2016-03-08 Applied Materials, Inc. Hybrid wafer dicing approach using an adaptive optics-controlled laser scribing process and plasma etch process
US11195756B2 (en) 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US9177861B1 (en) 2014-09-19 2015-11-03 Applied Materials, Inc. Hybrid wafer dicing approach using laser scribing process based on an elliptical laser beam profile or a spatio-temporal controlled laser beam profile
US9196536B1 (en) 2014-09-25 2015-11-24 Applied Materials, Inc. Hybrid wafer dicing approach using a phase modulated laser beam profile laser scribing process and plasma etch process
US9130056B1 (en) 2014-10-03 2015-09-08 Applied Materials, Inc. Bi-layer wafer-level underfill mask for wafer dicing and approaches for performing wafer dicing
DE102014114613B4 (de) 2014-10-08 2023-10-12 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Strahlungsemittierender Halbleiterchip, Verfahren zur Herstellung einer Vielzahl an strahlungsemittierenden Halbleiterchips und optoelektronisches Bauelement mit einem strahlungsemittierenden Halbleiterchip
US9245803B1 (en) 2014-10-17 2016-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a bessel beam shaper laser scribing process and plasma etch process
US10692765B2 (en) 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
GB201420935D0 (en) 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
CN104465336B (zh) * 2014-12-02 2017-05-17 国家纳米科学中心 一种低频bosch深硅刻蚀方法
US9330977B1 (en) 2015-01-05 2016-05-03 Applied Materials, Inc. Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process
US9355907B1 (en) 2015-01-05 2016-05-31 Applied Materials, Inc. Hybrid wafer dicing approach using a line shaped laser beam profile laser scribing process and plasma etch process
US9159624B1 (en) 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
US9601375B2 (en) 2015-04-27 2017-03-21 Applied Materials, Inc. UV-cure pre-treatment of carrier film for wafer dicing using hybrid laser scribing and plasma etch approach
US9721839B2 (en) 2015-06-12 2017-08-01 Applied Materials, Inc. Etch-resistant water soluble mask for hybrid wafer dicing using laser scribing and plasma etch
US9478455B1 (en) 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US9852997B2 (en) 2016-03-25 2017-12-26 Applied Materials, Inc. Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
US9793132B1 (en) 2016-05-13 2017-10-17 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process
GB201608926D0 (en) 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
JP2018110156A (ja) 2016-12-28 2018-07-12 キヤノン株式会社 半導体装置、その製造方法およびカメラ
US11158540B2 (en) 2017-05-26 2021-10-26 Applied Materials, Inc. Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process
US10363629B2 (en) 2017-06-01 2019-07-30 Applied Materials, Inc. Mitigation of particle contamination for wafer dicing processes
US10535561B2 (en) 2018-03-12 2020-01-14 Applied Materials, Inc. Hybrid wafer dicing approach using a multiple pass laser scribing process and plasma etch process
GB201810387D0 (en) 2018-06-25 2018-08-08 Spts Technologies Ltd Method of plasma etching
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2020021765A (ja) * 2018-07-30 2020-02-06 株式会社アルバック 半導体素子の製造方法
US11355394B2 (en) 2018-09-13 2022-06-07 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate breakthrough treatment
US11011424B2 (en) 2019-08-06 2021-05-18 Applied Materials, Inc. Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
US11342226B2 (en) 2019-08-13 2022-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US10903121B1 (en) 2019-08-14 2021-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
US20210118734A1 (en) * 2019-10-22 2021-04-22 Semiconductor Components Industries, Llc Plasma-singulated, contaminant-reduced semiconductor die
US11600492B2 (en) 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
CN111257596B (zh) * 2020-02-25 2021-09-14 西南交通大学 一种扫描探针显微镜狭小实验腔环境气氛精确控制装置
US11373877B2 (en) 2020-04-13 2022-06-28 Applied Materials, Inc. Methods and apparatus for in-situ protection liners for high aspect ratio reactive ion etching
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
CN113140455A (zh) * 2021-04-14 2021-07-20 北京北方华创微电子装备有限公司 倾斜通孔的刻蚀方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0048175B1 (de) * 1980-09-17 1986-04-23 Hitachi, Ltd. Halbleiterbauelement und Verfahren zu dessen Herstellung
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
US4533430A (en) * 1984-01-04 1985-08-06 Advanced Micro Devices, Inc. Process for forming slots having near vertical sidewalls at their upper extremities
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JPS62502646A (ja) * 1985-09-27 1987-10-08 バロ−ス・コ−ポレ−シヨン ポリイミドに先細形状のビア・ホ−ルを作成する方法
JPS62136066A (ja) * 1985-12-09 1987-06-19 Mitsubishi Electric Corp 半導体装置の製造方法
EP0246514A3 (de) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Ätzung tiefer Nuten in monokristallinen Silizium
JP2502536B2 (ja) * 1986-08-08 1996-05-29 松下電器産業株式会社 パタ―ン形成方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
NL8701867A (nl) * 1987-08-07 1989-03-01 Cobrain Nv Werkwijze voor het behandelen, in het bijzonder droog etsen van een substraat en etsinrichting.
US5007982A (en) * 1988-07-11 1991-04-16 North American Philips Corporation Reactive ion etching of silicon with hydrogen bromide
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
IT1225636B (it) * 1988-12-15 1990-11-22 Sgs Thomson Microelectronics Metodo di scavo con profilo di fondo arrotondato per strutture di isolamento incassate nel silicio
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JPH03126222A (ja) * 1989-10-12 1991-05-29 Canon Inc 堆積膜形成方法
JPH03129820A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JP2913936B2 (ja) * 1991-10-08 1999-06-28 日本電気株式会社 半導体装置の製造方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP2661455B2 (ja) * 1992-03-27 1997-10-08 株式会社日立製作所 真空処理装置
JPH0612767A (ja) * 1992-06-25 1994-01-21 Victor Co Of Japan Ltd 自動再生装置
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
US5605600A (en) * 1995-03-13 1997-02-25 International Business Machines Corporation Etch profile shaping through wafer temperature control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019116019A1 (de) * 2019-06-12 2020-12-17 X-Fab Semiconductor Foundries Gmbh Herstellung von Bauelementen in Substraten über einen mehrstufigen Ätzprozess

Also Published As

Publication number Publication date
DE69725245D1 (de) 2003-11-06
EP0822582A2 (de) 1998-02-04
EP1357584A3 (de) 2005-01-12
EP0822582A3 (de) 1998-05-13
ATE251341T1 (de) 2003-10-15
EP1357584A2 (de) 2003-10-29
JP4550408B2 (ja) 2010-09-22
US6051503A (en) 2000-04-18
EP0822582B1 (de) 2003-10-01
JP3540129B2 (ja) 2004-07-07
JP2004119994A (ja) 2004-04-15
JPH10135192A (ja) 1998-05-22

Similar Documents

Publication Publication Date Title
DE69725245T2 (de) Verfahren zur Ätzung von Substraten
DE69736969T2 (de) Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE10328578B4 (de) Verfahren zum reaktiven Ionenätzen unter Verwendung einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht
EP0625285B1 (de) Verfahren zum anisotropen ätzen von silicium
DE19706682C2 (de) Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
EP0015403B1 (de) Verfahren zum reaktiven Ionenätzen von Silicium
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
WO1999010922A1 (de) Verfahren zum anisotropen ätzen von silizium
DE3209066A1 (de) Verfahren zum aetzen eines halbleitermaterials oder einer halbleiterverbindung
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
EP1110237B1 (de) Vorrichtung und verfahren zum hochratenätzen eines substrates mit einer plasmaätzanlage und vorrichtung und verfahren zum zünden eines plasmas und hochregeln oder pulsen der plasmaleistung
DE102009028256A1 (de) Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
DE102010028461A1 (de) Einebnung eines Materialsystems in einem Halbleiterbauelement unter Anwendung eines nicht-selektiven in-situ zubereiteten Schleifmittels
DE102008016429A1 (de) Verfahren zur Herstellung dünner Schichten durch einen thermisch aktivierten Prozess unter Anwendung eines Temperaturgradienten über das Substrat hinweg
DE10304851A1 (de) Ätzverfahren
DE3935189A1 (de) Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
DE19841964B4 (de) Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
DE10219108A1 (de) Hocheffizienter Fernreinigungsprozess für Prozesskammern in Abscheideanlagen
DE3714144A1 (de) Verfahren zum trockenaetzen eines siliziumnitridfilms sowie vorrichtung zur durchfuehrung des verfahrens
DE19945140B4 (de) Verfahren zur Herstellung einer Maskenschicht mit Öffnungen verkleinerter Breite
WO2002037549A2 (de) Verfahren zur strukturierung einer siliziumoxid-schicht
DE102013100035B4 (de) Ätzverfahren für III-V Halbleitermaterialien
EP2084734A1 (de) Verfahren zum plasmaätzen zur erzeugung positiver ätzprofile in siliziumsubstraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: ZEITLER, VOLPERT, KANDLBINDER, 80539 MUENCHEN

8327 Change in the person/name/address of the patent owner

Owner name: ROBERT BOSCH GMBH, 70469 STUTTGART, DE