DE69017947D1 - Verfahren und Vorrichtung zum Messen der Dicke dünner Filme. - Google Patents

Verfahren und Vorrichtung zum Messen der Dicke dünner Filme.

Info

Publication number
DE69017947D1
DE69017947D1 DE69017947T DE69017947T DE69017947D1 DE 69017947 D1 DE69017947 D1 DE 69017947D1 DE 69017947 T DE69017947 T DE 69017947T DE 69017947 T DE69017947 T DE 69017947T DE 69017947 D1 DE69017947 D1 DE 69017947D1
Authority
DE
Germany
Prior art keywords
measuring
thickness
thin films
films
thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69017947T
Other languages
English (en)
Other versions
DE69017947T2 (de
Inventor
Nathan Gold
Jon Opsal
David L Willenborg
Allan Rosencwaig
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Therma Wave Inc
Original Assignee
Therma Wave Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Therma Wave Inc filed Critical Therma Wave Inc
Application granted granted Critical
Publication of DE69017947D1 publication Critical patent/DE69017947D1/de
Publication of DE69017947T2 publication Critical patent/DE69017947T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
DE69017947T 1989-05-04 1990-05-02 Verfahren und Vorrichtung zum Messen der Dicke dünner Filme. Expired - Lifetime DE69017947T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/347,812 US4999014A (en) 1989-05-04 1989-05-04 Method and apparatus for measuring thickness of thin films

Publications (2)

Publication Number Publication Date
DE69017947D1 true DE69017947D1 (de) 1995-04-27
DE69017947T2 DE69017947T2 (de) 1995-11-16

Family

ID=23365380

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69017947T Expired - Lifetime DE69017947T2 (de) 1989-05-04 1990-05-02 Verfahren und Vorrichtung zum Messen der Dicke dünner Filme.

Country Status (4)

Country Link
US (1) US4999014A (de)
EP (1) EP0397388B1 (de)
JP (1) JP2514099B2 (de)
DE (1) DE69017947T2 (de)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5298972A (en) * 1990-01-22 1994-03-29 Hewlett-Packard Company Method and apparatus for measuring polarization sensitivity of optical devices
KR100203345B1 (ko) * 1991-01-11 1999-06-15 루돌프리서치코퍼레이션 동시 다중각/다중파장 타원편광계와 측정방법
US5159412A (en) * 1991-03-15 1992-10-27 Therma-Wave, Inc. Optical measurement device with enhanced sensitivity
JPH0518858A (ja) * 1991-07-12 1993-01-26 Casio Comput Co Ltd 薄膜の光学特性測定方法
US5181080A (en) * 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5227623A (en) * 1992-01-31 1993-07-13 Hewlett-Packard Company Method and apparatus for measuring polarization mode dispersion in optical devices
IL104708A (en) * 1993-02-12 1995-12-31 Orbotech Ltd Device and method for optical inspection of items
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5764365A (en) 1993-11-09 1998-06-09 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
USRE38153E1 (en) * 1993-11-09 2003-06-24 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
IL107549A (en) * 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5471303A (en) * 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5548404A (en) * 1994-09-23 1996-08-20 Sunshine Medical Instruments, Inc. Multiple wavelength polarization-modulated ellipsometer with phase-generated carrier
US5774222A (en) * 1994-10-07 1998-06-30 Hitachi, Ltd. Manufacturing method of semiconductor substrative and method and apparatus for inspecting defects of patterns on an object to be inspected
JPH10507833A (ja) * 1994-10-21 1998-07-28 サーマ−ウェイブ・インク 分光偏光解析装置
US6734967B1 (en) * 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JPH10501072A (ja) * 1995-03-20 1998-01-27 カンサス ステイト ユニバーシティ リサーチ フアウンデーション エリプソメトリー顕微鏡
US5706094A (en) * 1995-08-25 1998-01-06 Brown University Research Foundation Ultrafast optical technique for the characterization of altered materials
US6008906A (en) * 1995-08-25 1999-12-28 Brown University Research Foundation Optical method for the characterization of the electrical properties of semiconductors and insulating films
US6321601B1 (en) * 1996-08-06 2001-11-27 Brown University Research Foundation Optical method for the characterization of laterally-patterned samples in integrated circuits
US5748318A (en) * 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US6175416B1 (en) 1996-08-06 2001-01-16 Brown University Research Foundation Optical stress generator and detector
US5844684A (en) * 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
DE19733890C2 (de) * 1996-08-04 2000-03-16 Matsushita Electric Ind Co Ltd Verfahren zum Vermessen eines Mediums und Vorrichtung dazu
US5748317A (en) * 1997-01-21 1998-05-05 Brown University Research Foundation Apparatus and method for characterizing thin film and interfaces using an optical heat generator and detector
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
DE19708036C2 (de) * 1997-02-27 2000-06-29 Gunther Elender Ellipsometrisches Mikroskop
US5838448A (en) * 1997-03-11 1998-11-17 Nikon Corporation CMP variable angle in situ sensor
US5978074A (en) 1997-07-03 1999-11-02 Therma-Wave, Inc. Apparatus for evaluating metalized layers on semiconductors
US6278519B1 (en) 1998-01-29 2001-08-21 Therma-Wave, Inc. Apparatus for analyzing multi-layer thin film stacks on semiconductors
WO1999002970A1 (en) * 1997-07-11 1999-01-21 Therma-Wave, Inc. An apparatus for analyzing multi-layer thin film stacks on semiconductors
US5798837A (en) 1997-07-11 1998-08-25 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US5864393A (en) * 1997-07-30 1999-01-26 Brown University Research Foundation Optical method for the determination of stress in thin films
DE19734646A1 (de) * 1997-08-11 1999-03-04 Bosch Gmbh Robert Ellipsometer-Meßvorrichtung
IL123575A (en) * 1998-03-05 2001-08-26 Nova Measuring Instr Ltd Method and apparatus for alignment of a wafer
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6836324B2 (en) * 1998-03-18 2004-12-28 Nova Measuring Instruments Ltd. Method and apparatus for measurements of patterned structures
IL123727A (en) * 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6753972B1 (en) * 1998-04-21 2004-06-22 Hitachi, Ltd. Thin film thickness measuring method and apparatus, and method and apparatus for manufacturing a thin film device using the same
US6025918A (en) 1998-07-07 2000-02-15 Brown University Research Foundation Apparatus and method for measurement of the mechanical properties and electromigration of thin films
US6038026A (en) * 1998-07-07 2000-03-14 Brown University Research Foundation Apparatus and method for the determination of grain size in thin films
EP0973069A3 (de) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Kontrollgerät und photolithographisches Verfahren zur Behandlung von Substraten
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
JP2000311334A (ja) * 1999-04-27 2000-11-07 Victor Co Of Japan Ltd 磁気記録媒体の特性評価方法及び磁気記録媒体
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US7049633B2 (en) * 1999-12-10 2006-05-23 Tokyo Electron Limited Method of measuring meso-scale structures on wafers
US6317216B1 (en) 1999-12-13 2001-11-13 Brown University Research Foundation Optical method for the determination of grain orientation in films
WO2001055671A1 (en) * 2000-01-31 2001-08-02 Therma-Wave, Inc. Fiber-filtered laser system for use in measuring thin film thicknesses
US6535285B1 (en) * 2000-02-08 2003-03-18 Therma-Wave, Inc. Combination thermal wave and optical spectroscopy measurement system
US6408048B2 (en) 2000-03-14 2002-06-18 Therma-Wave, Inc. Apparatus for analyzing samples using combined thermal wave and X-ray reflectance measurements
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6532076B1 (en) * 2000-04-04 2003-03-11 Therma-Wave, Inc. Method and apparatus for multidomain data analysis
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US20060141376A1 (en) * 2004-12-22 2006-06-29 Ady Levy Methods and systems for controlling variation in dimensions of patterned features across a wafer
US6462817B1 (en) 2000-05-12 2002-10-08 Carlos Strocchia-Rivera Method of monitoring ion implants by examination of an overlying masking material
US6532070B1 (en) * 2000-07-17 2003-03-11 Therma-Wave, Inc. Method for determining ion concentration and energy of shallow junction implants
US6510395B2 (en) 2000-08-11 2003-01-21 Sensys Instruments Corporation Method of detecting residue on a polished wafer
US6307628B1 (en) * 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6781692B1 (en) 2000-08-28 2004-08-24 Therma-Wave, Inc. Method of monitoring the fabrication of thin film layers forming a DWDM filter
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7106425B1 (en) 2000-09-20 2006-09-12 Kla-Tencor Technologies Corp. Methods and systems for determining a presence of defects and a thin film characteristic of a specimen
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20020190207A1 (en) * 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US7130029B2 (en) 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6919957B2 (en) 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6678062B2 (en) * 2000-12-08 2004-01-13 Cyberoptics Corporation Automated system with improved height sensing
US6731386B2 (en) 2001-01-04 2004-05-04 Agere Systems Inc. Measurement technique for ultra-thin oxides
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6677170B1 (en) * 2001-05-23 2004-01-13 Advanced Micro Devices, Inc. Method for determining process layer thickness using scatterometry measurements
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6678046B2 (en) * 2001-08-28 2004-01-13 Therma-Wave, Inc. Detector configurations for optical metrology
CN1556914A (zh) * 2001-09-21 2004-12-22 Kmac株式会社 利用二维反射计测量多层薄膜的厚度轮廓和折射率分布的装置及其测量方法
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7079249B2 (en) * 2002-06-21 2006-07-18 Therma-Wave, Inc. Modulated reflectance measurement system with fiber laser technology
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
JP4563811B2 (ja) * 2002-09-09 2010-10-13 ザイゴ コーポレーション 薄膜構造の特性評価を含む、偏光解析、反射光測定および散乱光測定のための干渉計法および走査式干渉計
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
AU2003302049A1 (en) * 2002-11-20 2004-06-15 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
JP4746987B2 (ja) 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US6859119B2 (en) * 2002-12-26 2005-02-22 Motorola, Inc. Meso-microelectromechanical system package
US7116429B1 (en) * 2003-01-18 2006-10-03 Walecki Wojciech J Determining thickness of slabs of materials by inventors
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7054006B2 (en) * 2003-05-20 2006-05-30 Therma-Wave, Inc. Self-calibrating beam profile ellipsometer
US7349107B2 (en) * 2003-07-07 2008-03-25 Lockheed Martin Corporation System and method for correction for angular spread in determining optical properties of materials
WO2005029193A2 (en) * 2003-09-15 2005-03-31 Zygo Corporation Interferometric analysis of surfaces.
FR2859781B1 (fr) * 2003-09-17 2007-07-06 Commissariat Energie Atomique Utilisation de la transformee de fourier optique pour le controle dimensionnel en microelectronique
US7280215B2 (en) * 2003-09-24 2007-10-09 Therma-Wave, Inc. Photothermal system with spectroscopic pump and probe
FR2860298B1 (fr) * 2003-09-25 2007-06-15 Robert Andre Marcel Stehle Ellipsometre spectroscopique a polarisation incidente et analyseur fixes
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7821655B2 (en) 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
US7215431B2 (en) * 2004-03-04 2007-05-08 Therma-Wave, Inc. Systems and methods for immersion metrology
US7492463B2 (en) 2004-04-15 2009-02-17 Davidson Instruments Inc. Method and apparatus for continuous readout of Fabry-Perot fiber optic sensor
JP2007532931A (ja) * 2004-04-19 2007-11-15 アリスト インストルメンツ インコーポレイテッド 薄膜及び限界寸法測定のためのビーム・プロファイル式複合反射率システム及び方法
US7359052B2 (en) * 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7067819B2 (en) * 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US7349079B2 (en) * 2004-05-14 2008-03-25 Kla-Tencor Technologies Corp. Methods for measurement or analysis of a nitrogen concentration of a specimen
US7564552B2 (en) * 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7489399B1 (en) 2004-08-20 2009-02-10 Kla-Tencor Corporation Spectroscopic multi angle ellipsometry
US7345761B1 (en) * 2004-09-20 2008-03-18 Kla-Tencor Technologies Corporation Film measurement
US7190453B1 (en) * 2004-09-20 2007-03-13 Kla-Tencor Technologies Corporation Film measurement
US7206070B2 (en) * 2004-11-15 2007-04-17 Therma-Wave, Inc. Beam profile ellipsometer with rotating compensator
EP1681540A1 (de) 2004-12-21 2006-07-19 Davidson Instruments, Inc. Mehrkanalarrayprozessor
EP1674833A3 (de) 2004-12-21 2007-05-30 Davidson Instruments, Inc. Faseroptisches Sensorsystem
US7515253B2 (en) 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI409451B (zh) * 2005-01-20 2013-09-21 Zygo Corp 用於檢測物體表面之特性的干涉系統、干涉裝置以及干涉方法
US7408641B1 (en) 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
WO2006091913A1 (en) * 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
EP1869737B1 (de) 2005-03-16 2021-05-12 Davidson Instruments, Inc. Hochintensitäts-fabry-perot-sensor
US7321431B2 (en) * 2005-05-19 2008-01-22 Zygo Corporation Method and system for analyzing low-coherence interferometry signals for information about thin film structures
US7742705B2 (en) 2005-05-23 2010-06-22 Futurewei Technologies, Inc. System and method for chirped light source
JP4084817B2 (ja) * 2005-09-16 2008-04-30 テクノス株式会社 膜厚測定方法及び膜厚測定装置
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7567351B2 (en) * 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
WO2007144777A2 (en) * 2006-03-30 2007-12-21 Orbotech, Ltd. Inspection system employing illumination that is selectable over a continuous range angles
US7684051B2 (en) 2006-04-18 2010-03-23 Halliburton Energy Services, Inc. Fiber optic seismic sensor based on MEMS cantilever
US7743661B2 (en) 2006-04-26 2010-06-29 Halliburton Energy Services, Inc. Fiber optic MEMS seismic sensor with mass supported by hinged beams
KR100742982B1 (ko) * 2006-06-22 2007-07-26 케이맥(주) 초점 타원계측기
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
US7502104B2 (en) * 2006-08-10 2009-03-10 Kla-Tencor Corporation Probe beam profile modulated optical reflectance system and methods
US8115937B2 (en) 2006-08-16 2012-02-14 Davidson Instruments Methods and apparatus for measuring multiple Fabry-Perot gaps
DE102007043937B4 (de) * 2006-09-13 2010-10-07 Innovent E.V. Verfahren zur Bestimmung der Dicke und des Brechungsindex von optisch transparenten Schichten auf optisch transparenten planparallelen Substraten
US7924435B2 (en) * 2006-12-22 2011-04-12 Zygo Corporation Apparatus and method for measuring characteristics of surface features
US7787128B2 (en) 2007-01-24 2010-08-31 Halliburton Energy Services, Inc. Transducer for measuring environmental parameters
US7889355B2 (en) * 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
GB0706288D0 (en) 2007-03-30 2007-05-09 Nightingale Eos Ltd Method for measuring the thickness or curvature of thin films
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7978337B2 (en) * 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
WO2009079334A2 (en) * 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
JP2009182046A (ja) * 2008-01-29 2009-08-13 Tokyo Electron Ltd 基板周縁部処理装置の検査方法
KR101652133B1 (ko) 2008-09-29 2016-08-29 케이엘에이-텐코어 코오포레이션 계측 시스템의 조명 서브시스템들, 계측 시스템들 및 계측 측정들을 위한 표본을 조명하기 위한 방법들
US9080991B2 (en) * 2008-09-29 2015-07-14 Kla-Tencor Corp. Illuminating a specimen for metrology or inspection
US8120781B2 (en) * 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8125641B2 (en) * 2009-03-27 2012-02-28 N&K Technology, Inc. Method and apparatus for phase-compensated sensitivity-enhanced spectroscopy (PCSES)
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
ES2452519T3 (es) 2009-05-13 2014-04-01 Sio2 Medical Products, Inc. Soporte de recipientes
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
GB201009040D0 (en) 2010-05-28 2010-07-14 Nightingale Eos Ltd Apparatus and method for locating the centre of a beam profile
GB201009039D0 (en) 2010-05-28 2010-07-14 Nightingale Eos Ltd Apparatus and method for compensating for sample misalignment
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9793673B2 (en) 2011-06-13 2017-10-17 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
TWI414385B (zh) * 2011-08-05 2013-11-11 Ind Tech Res Inst 應用於雷射加工之深度即時監控系統及其方法
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US8675188B2 (en) 2012-01-09 2014-03-18 Kla-Tencor Corporation Method and system for determining one or more optical characteristics of structure of a semiconductor wafer
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
DE102012102756A1 (de) 2012-03-30 2013-10-02 Hseb Dresden Gmbh Verfahren zur Detektion vergrabener Schichten
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
KR101383652B1 (ko) * 2012-10-15 2014-04-09 한국표준과학연구원 분자접합특성 및 완충용액 굴절률 동시 측정장치 및 측정방법
CN104854257B (zh) 2012-11-01 2018-04-13 Sio2医药产品公司 涂层检查方法
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
WO2014085348A2 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9151940B2 (en) 2012-12-05 2015-10-06 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
KR102336796B1 (ko) 2013-03-11 2021-12-10 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR20140112230A (ko) * 2013-03-13 2014-09-23 삼성전자주식회사 막의 불균일도 검출 방법 및 이를 수행하기 위한 장치
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US9804101B2 (en) 2014-03-20 2017-10-31 Kla-Tencor Corporation System and method for reducing the bandwidth of a laser and an inspection system and method using a laser
EP3693493A1 (de) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatische beschichtungen für kunststoffbehälter
US9525265B2 (en) 2014-06-20 2016-12-20 Kla-Tencor Corporation Laser repetition rate multiplier and flat-top beam profile generators using mirrors and/or prisms
CN107003118B (zh) 2014-12-02 2019-09-24 三菱电机株式会社 位移传感器、位移检测装置及位移检测方法
US9860466B2 (en) 2015-05-14 2018-01-02 Kla-Tencor Corporation Sensor with electrically controllable aperture for inspection and metrology systems
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US9865447B2 (en) 2016-03-28 2018-01-09 Kla-Tencor Corporation High brightness laser-sustained plasma broadband source
US10313622B2 (en) 2016-04-06 2019-06-04 Kla-Tencor Corporation Dual-column-parallel CCD sensor and inspection systems using a sensor
US10778925B2 (en) 2016-04-06 2020-09-15 Kla-Tencor Corporation Multiple column per channel CCD sensor architecture for inspection and metrology
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
RU2641639C2 (ru) * 2016-05-16 2018-01-18 Федеральное государственное бюджетное образовательное учреждение высшего образования "Саратовский государственный технический университет имени Гагарина Ю.А." (СГТУ имени Гагарина Ю.А.) Способ измерения толщины тонкой пленки и картирования топографии ее поверхности с помощью интерферометра белого света
US10495287B1 (en) 2017-01-03 2019-12-03 Kla-Tencor Corporation Nanocrystal-based light source for sample characterization
US11662646B2 (en) 2017-02-05 2023-05-30 Kla Corporation Inspection and metrology using broadband infrared radiation
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
CN111912785B (zh) * 2020-07-22 2023-06-23 深圳信息职业技术学院 一种光学常数测量方法与光学常数测量设备

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2587282A (en) * 1951-02-27 1952-02-26 Gen Electric Step gauge for measuring thickness of thin films
US2897371A (en) * 1956-08-01 1959-07-28 Applied Res Lab Inc Spectroscopy
US2973686A (en) * 1957-10-11 1961-03-07 Gen Precision Inc Apparatus for spectrophotometric monitoring of thin film coatings
US3017512A (en) * 1959-06-29 1962-01-16 American Can Co Coating thickness gauge
US3601492A (en) * 1967-11-20 1971-08-24 Monsanto Co Apparatus for measuring film thickness
FR2076237A5 (de) * 1970-01-07 1971-10-15 Thomson Csf
US3737237A (en) * 1971-11-18 1973-06-05 Nasa Monitoring deposition of films
US3824017A (en) * 1973-03-26 1974-07-16 Ibm Method of determining the thickness of contiguous thin films on a substrate
JPS533363A (en) * 1976-06-30 1978-01-13 Canon Inc Measurement method and measurement device
US4293224A (en) * 1978-12-04 1981-10-06 International Business Machines Corporation Optical system and technique for unambiguous film thickness monitoring
JPS567006A (en) * 1979-06-22 1981-01-24 Ibm Method of extending measurement range of interference
US4355903A (en) * 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
US4308586A (en) * 1980-05-02 1981-12-29 Nanometrics, Incorporated Method for the precise determination of photoresist exposure time
US4367044A (en) * 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4453828A (en) * 1981-12-02 1984-06-12 Advanced Semiconductor Products, Inc. Apparatus and methods for measuring the optical thickness and index of refraction of thin, optical membranes
US4555767A (en) * 1982-05-27 1985-11-26 International Business Machines Corporation Method and apparatus for measuring thickness of epitaxial layer by infrared reflectance
US4582431A (en) * 1983-10-11 1986-04-15 Honeywell Inc. Optical monitor for direct thickness control of transparent films
JPS60127403A (ja) * 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
US4611919A (en) * 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4660979A (en) * 1984-08-17 1987-04-28 At&T Technologies, Inc. Method and apparatus for automatically measuring semiconductor etching process parameters
JPS6176904A (ja) * 1984-09-21 1986-04-19 Oak Seisakusho:Kk 膜厚測定方法
JPH0654221B2 (ja) * 1985-04-12 1994-07-20 株式会社日立製作所 段差測定装置およびその方法
US4815856A (en) * 1986-06-05 1989-03-28 Storage Technology Partners Ii Method and apparatus for measuring the absolute thickness of dust defocus layers
JPS63186130A (ja) * 1987-01-29 1988-08-01 Yokogawa Electric Corp エリプソメ−タ
US4873430A (en) * 1988-10-25 1989-10-10 International Business Machines Corporation Method and apparatus for optically measuring characteristics of a thin film by directing a P-polarized beam through an integrating sphere at the brewster's angle of the film

Also Published As

Publication number Publication date
JPH0317505A (ja) 1991-01-25
EP0397388A3 (de) 1992-01-02
DE69017947T2 (de) 1995-11-16
JP2514099B2 (ja) 1996-07-10
US4999014A (en) 1991-03-12
EP0397388B1 (de) 1995-03-22
EP0397388A2 (de) 1990-11-14

Similar Documents

Publication Publication Date Title
DE69017947T2 (de) Verfahren und Vorrichtung zum Messen der Dicke dünner Filme.
DE69222742T2 (de) Verfahren und Vorrichtung zur Messung der Dicke dünner Schichten
DE68915627D1 (de) Verfahren und Vorrichtung zur Messung der Filmdicke.
DE69225117D1 (de) Apparat zur Messung der Dicke von dünnen Filmen
DE69317736D1 (de) Verfahren und Vorrichtung zur Dünnschichtdickenmessung
DE69103783T2 (de) Verfahren und Vorrichtung zum Messen der Dicke einer Schicht.
DE69033269T2 (de) Verfahren und Vorrichtung zum Messen der Position und Stellung eines Gegenstandes
DE69415641T2 (de) Vorrichtung zur Dünnschichtdickenmessung
DE68908879T2 (de) Verfahren und vorrichtung für optische messungen.
DE3785927T2 (de) Vorrichtung und Verfahren zum Messen der Eisdicke.
DE3580819D1 (de) Verfahren und vorrichtung zum gleichzeitgen nachweis der dicke und des orientierunsgrades von polymerfilmen.
DE59104625D1 (de) Verfahren zum kontinuierlichen berührungsfreien messen von profilen und einrichtung zur durchführung des messverfahrens.
DE3861973D1 (de) Vorrichtung und verfahren zum messen des schwerpunktes eines flugzeuges.
DE3684594D1 (de) Verfahren und vorrichtung zum messen der blickrichtung.
DE3784383D1 (de) Verfahren zum messen von filmdicken und vorrichtung dafuer.
DE69511402T2 (de) Vorrichtung zum on-line messen der dicke einer kontinuierlich erzeugten folie
DE69023167D1 (de) Verfahren zum Eichen eines Röntgensystems und zur Messung der äquivalenten Dicke eines Gegenstandes.
DE69123267D1 (de) Verfahren und Vorrichtung zur Messung des Spaltes zwischen zwei einander gegenüberliegenden Objekten
DE3887880D1 (de) Verfahren und Vorrichtung zur gleichzeitigen Messung der Dicke und Zusammensetzung einer dünnen Schicht.
DE69020909T2 (de) Verfahren und vorrichtung zur messung der temperatur eines elektrokonduktiven materials.
DE69015735D1 (de) Verfahren und Vorrichtung zum Messen von schmalen Spalten.
DE59209124D1 (de) Verfahren und Vorrichtung zum Messen von Entfernungen
DE69223544D1 (de) Verfahren und Vorrichtung zum Messen des Profils eines Objekts
DE69117103T2 (de) Vorrichtung und Verfahren zum Messen der Ätzgeschwindigkeit
DE68907119T2 (de) Verfahren zum Messen der Dicke eines Films.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition