DE68928402D1 - Verfahren zur Entfernung einer Oxidschicht auf einem Substrat - Google Patents

Verfahren zur Entfernung einer Oxidschicht auf einem Substrat

Info

Publication number
DE68928402D1
DE68928402D1 DE68928402T DE68928402T DE68928402D1 DE 68928402 D1 DE68928402 D1 DE 68928402D1 DE 68928402 T DE68928402 T DE 68928402T DE 68928402 T DE68928402 T DE 68928402T DE 68928402 D1 DE68928402 D1 DE 68928402D1
Authority
DE
Germany
Prior art keywords
substrate
oxide layer
oxide
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE68928402T
Other languages
English (en)
Other versions
DE68928402T2 (de
Inventor
Hirotaka Nishino
Nobuo Hayasaka
Haruo Okano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Application granted granted Critical
Publication of DE68928402D1 publication Critical patent/DE68928402D1/de
Publication of DE68928402T2 publication Critical patent/DE68928402T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
DE68928402T 1988-12-27 1989-12-27 Verfahren zur Entfernung einer Oxidschicht auf einem Substrat Expired - Fee Related DE68928402T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32759488 1988-12-27

Publications (2)

Publication Number Publication Date
DE68928402D1 true DE68928402D1 (de) 1997-11-27
DE68928402T2 DE68928402T2 (de) 1998-03-12

Family

ID=18200802

Family Applications (1)

Application Number Title Priority Date Filing Date
DE68928402T Expired - Fee Related DE68928402T2 (de) 1988-12-27 1989-12-27 Verfahren zur Entfernung einer Oxidschicht auf einem Substrat

Country Status (3)

Country Link
US (1) US5030319A (de)
EP (1) EP0376252B1 (de)
DE (1) DE68928402T2 (de)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2947818B2 (ja) * 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US5868854A (en) 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
WO1991017284A1 (en) * 1990-04-30 1991-11-14 International Business Machines Corporation Apparatus for low temperature cvd of metals
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
US5306672A (en) * 1991-10-17 1994-04-26 Nec Corporation Method of manufacturing a semiconductor device wherein natural oxide film is removed from the surface of silicon substrate with HF gas
US5268069A (en) * 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5286331A (en) * 1991-11-01 1994-02-15 International Business Machines Corporation Supersonic molecular beam etching of surfaces
JPH07109825B2 (ja) * 1992-01-13 1995-11-22 富士通株式会社 半導体基板表面もしくは薄膜表面のドライ洗浄法
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JPH05196559A (ja) * 1992-01-21 1993-08-06 Tadahiro Omi 測定される変位の量を較正する標準試料の製法及び標準試料並びに測定装置及び較正方法
WO1993017453A2 (en) * 1992-02-26 1993-09-02 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
JPH06177088A (ja) * 1992-08-31 1994-06-24 Sony Corp アッシング方法及びアッシング装置
US5348619A (en) * 1992-09-03 1994-09-20 Texas Instruments Incorporated Metal selective polymer removal
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JP2804700B2 (ja) * 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
JP3330218B2 (ja) * 1994-03-25 2002-09-30 三菱電機株式会社 半導体装置の製造方法,及び半導体装置
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
JP3169114B2 (ja) * 1995-05-29 2001-05-21 信越半導体株式会社 単結晶薄膜の製造方法
US5792275A (en) * 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
JP2907095B2 (ja) * 1996-02-28 1999-06-21 日本電気株式会社 半導体装置の製造方法
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US6187151B1 (en) 1997-01-02 2001-02-13 Micron Technology, Inc. Method of in-situ cleaning and deposition of device structures in a high density plasma environment
US5849643A (en) * 1997-05-23 1998-12-15 Advanced Micro Devices, Inc. Gate oxidation technique for deep sub quarter micron transistors
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
JPH11204478A (ja) * 1998-01-19 1999-07-30 Mitsubishi Electric Corp 半導体基板の洗浄方法およびその洗浄装置
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US6149829A (en) 1998-03-17 2000-11-21 James W. Mitzel Plasma surface treatment method and resulting device
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6144037A (en) * 1998-06-18 2000-11-07 International Business Machines Corporation Capacitor charging sensor
KR100605884B1 (ko) * 1998-11-11 2006-08-01 동경 엘렉트론 주식회사 표면 처리 방법 및 장치
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US6939809B2 (en) 2002-12-30 2005-09-06 Robert Bosch Gmbh Method for release of surface micromachined structures in an epitaxial reactor
JP2004235274A (ja) * 2003-01-28 2004-08-19 Kyocera Corp 多結晶シリコン基板およびその粗面化法
KR101046523B1 (ko) * 2003-04-22 2011-07-04 도쿄엘렉트론가부시키가이샤 케미컬 산화막의 제거 방법
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7553684B2 (en) 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7630114B2 (en) 2005-10-28 2009-12-08 Idc, Llc Diffusion barrier layer for MEMS devices
US20070243713A1 (en) * 2006-04-12 2007-10-18 Lam Research Corporation Apparatus and method for generating activated hydrogen for plasma stripping
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7566664B2 (en) 2006-08-02 2009-07-28 Qualcomm Mems Technologies, Inc. Selective etching of MEMS using gaseous halides and reactive co-etchants
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US8642477B2 (en) * 2008-05-30 2014-02-04 United Microelectronics Corp. Method for clearing native oxide
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8242029B2 (en) * 2009-11-23 2012-08-14 Asm International N.V. Method for forming a silicon dioxide/metal oxide-nanolaminate with a desired wet etch rate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5254308B2 (ja) * 2010-12-27 2013-08-07 東京エレクトロン株式会社 液処理装置、液処理方法及びその液処理方法を実行させるためのプログラムを記録した記録媒体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9123506B2 (en) 2013-06-10 2015-09-01 Fei Company Electron beam-induced etching
CN103344716B (zh) * 2013-06-24 2014-11-19 中国科学院长春光学精密机械与物理研究所 确定单晶硅湿法刻蚀制作中阶梯光栅中刻蚀截止点的方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9368369B2 (en) * 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
NL2017198B1 (en) * 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11107699B2 (en) * 2016-10-08 2021-08-31 Beijing Naura Microelectronics Equipment Co., Ltd. Semiconductor manufacturing process
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
EP3821457A4 (de) 2018-07-09 2022-04-13 Lam Research Corporation Ätzen von atomschichtätzen mittels elektronenanregung
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3485687A (en) * 1966-07-15 1969-12-23 Corning Glass Works Porous high silica glass
US3520684A (en) * 1967-05-29 1970-07-14 Gen Electric Photolytic etching of silicon dioxide by acidified organic fluorides
US3494768A (en) * 1967-05-29 1970-02-10 Gen Electric Condensed vapor phase photoetching of surfaces
US3615956A (en) * 1969-03-27 1971-10-26 Signetics Corp Gas plasma vapor etching process
GB1539700A (en) * 1976-05-14 1979-01-31 Int Plasma Corp Process for etching sio2
JPS5454578A (en) * 1977-10-11 1979-04-28 Fujitsu Ltd Gas plasma etching method
JPS5629672A (en) * 1979-08-20 1981-03-25 Ibiden Co Ltd Surface treatment of metal
US4518456A (en) * 1983-03-11 1985-05-21 At&T Bell Laboratories Light induced etching of InP by aqueous solutions of H3 PO4
US4473435A (en) * 1983-03-23 1984-09-25 Drytek Plasma etchant mixture
KR920004171B1 (ko) * 1984-07-11 1992-05-30 가부시기가이샤 히다찌세이사꾸쇼 드라이에칭장치
US4643799A (en) * 1984-12-26 1987-02-17 Hitachi, Ltd. Method of dry etching
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4740267A (en) * 1987-02-20 1988-04-26 Hughes Aircraft Company Energy intensive surface reactions using a cluster beam
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
WO1989007335A1 (en) * 1988-01-29 1989-08-10 The Government Of The United States As Represented Improved etching method for photoresists or polymers

Also Published As

Publication number Publication date
EP0376252A2 (de) 1990-07-04
DE68928402T2 (de) 1998-03-12
EP0376252A3 (de) 1991-03-13
EP0376252B1 (de) 1997-10-22
US5030319A (en) 1991-07-09

Similar Documents

Publication Publication Date Title
DE68928402D1 (de) Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
DE3788678D1 (de) Vorrichtung und Verfahren zur Herstellung einer Schicht auf einem Substrat.
DE68918982T2 (de) Verfahren zur Trennung integrierter Schaltkreise auf einem Substrat.
DE69610252T2 (de) Verfahren zum Zurückätzen einer Schicht auf einem Substrat
AT399421B (de) Verfahren zur ausbildung einer dünnen halbleiterschicht
DE3770683D1 (de) Verfahren zur befestigung von elektronischen bauelementen auf einem substrat.
DE68917477D1 (de) Verfahren zur schaffung einer grenzschicht zwischen substrat und atmosphäre.
DE3868178D1 (de) Verfahren zur herstellung einer leitenden struktur auf einem substrat.
DE69001338D1 (de) Verfahren zur bildung von leitenden spuren auf einem substrat.
DE69114595T2 (de) Verfahren zur Bestimmung der vollständigen Abtragung einer Dünnschicht auf einem nichtplanaren Substrat.
DE69403748T2 (de) Verfahren zum Verbinden einer Tintenaufnahmeschicht auf einem vorgegebenen Substrat
DE69123807D1 (de) Verfahren zum Verbessern der Eigenschaften einer Dünnschicht auf einem Substrat
DE69802250T2 (de) Verfahren zur herstellung einer leitschicht auf einem substrat
DE68912638D1 (de) Verfahren zur Herstellung einer Kristallschicht auf einem Substrat.
DE69510906T2 (de) Verfahren zur Herstellung einer unlöslichen Beschichtung auf einem Substrat
DE68908325D1 (de) Verfahren zur herstellung einer indiumphosphid-epitaxialschicht auf einer substratoberflaeche.
DE3886863D1 (de) Verfahren zur Herstellung einer supraleitenden Oxydschicht auf einem Substrat.
DE69303853D1 (de) Verfahren zur Bildung einer Dünnschicht auf einem Substrat mittels reaktiven Gleichstrom-Sputtern
DE3889123D1 (de) Verfahren zur abscheidung von schichten aus einem oxidkeramischen supraleitermaterial auf einem substrat.
DE68921253D1 (de) Verfahren zur Abscheidung einer dünnen Supraleiterschicht.
DE69005961D1 (de) Verfahren zur Herstellung einer defektfreien Oberfläche auf einem porösen keramischen Substrat.
DE68920726T2 (de) Verfahren zur bildung einer keramischen schicht auf einem metallkörper.
DE3886033D1 (de) Verfahren zur herstellung einer t-gate metallstruktur auf einem substrat.
DE59508721D1 (de) Verfahren zum herstellen einer schichtstruktur auf einem substrat
DE69429690T2 (de) Verfahren zur Bildung eines leitfähigen Musters auf einem Substrat

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8320 Willingness to grant licences declared (paragraph 23)
8339 Ceased/non-payment of the annual fee