DE60214506T2 - Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern - Google Patents

Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern Download PDF

Info

Publication number
DE60214506T2
DE60214506T2 DE60214506T DE60214506T DE60214506T2 DE 60214506 T2 DE60214506 T2 DE 60214506T2 DE 60214506 T DE60214506 T DE 60214506T DE 60214506 T DE60214506 T DE 60214506T DE 60214506 T2 DE60214506 T2 DE 60214506T2
Authority
DE
Germany
Prior art keywords
patterns
calibration
pattern
contour
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60214506T
Other languages
English (en)
Other versions
DE60214506D1 (de
Inventor
Thomas Point Richmond Laidig
Jang Fung Cupertino Chen
Xuelong Santa Clara Shi
Uwe Franklin Hollerbach
Kurt E. Sunnyvale Wampler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of DE60214506D1 publication Critical patent/DE60214506D1/de
Application granted granted Critical
Publication of DE60214506T2 publication Critical patent/DE60214506T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Description

  • Die vorliegende Erfindung betrifft allgemein die Fotolithographie, und insbesondere ein Verfahren zum Kalibrieren eines Modells, das die Leistungsfähigkeit eines Abbildungssystems festlegt, die im Anschluss dazu eingesetzt wird, optische Naheffekte („optical proximity effects") zu korrigieren und das Drucken von Maskenmustern auf Substrate zu verbessern.
  • Die vorliegende Erfindung betrifft ebenso die Verwendung eines solchen Kalibrierungsverfahrens in einer lithographischen Projektionsvorrichtung, die allgemein aufweist:
    • – ein Strahlungssystem zum Bereitstellen eines Projektionsstrahls aus Strahlung;
    • – einen Halteraufbau zum Halten von Musteraufbringungseinrichtungen (beispielsweise einer Maske), wobei die Musteraufbringungseinrichtungen dazu dienen, den Projektionsstrahl entsprechend einem erwünschten Muster zu mustern;
    • – einen Substrattisch zum Halten eines Substrats; und
    • – ein Projektionssystem zum Projizieren des gemusterten Strahls auf einen Zielabschnitt des Substrats.
  • Lithographische Vorrichtungen können, zum Beispiel, bei der Herstellung integrierter Schaltungen (ICs) verwendet werden. In einem solchen Fall kann die Maske ein Schaltungsmuster enthalten, das einer einzelnen Schicht des ICs entspricht, und dieses Muster kann auf einen Zielabschnitt (der zum Beispiel ein oder mehrere Plättchen („dies") aufweist) eines Substrats (eines Siliziumwafers) abgebildet werden, der mit einer Schicht aus strahlungsempfindlichen Material (Fotolack) überzogen worden ist. Allgemein enthält ein einziger Wafer ein ganzes Netzwerk benachbarter Zielabschnitte, die nacheinander jeweils einzeln durch das Projektionssystem der Strahlung ausgesetzt werden. Bei einer Art lithographischer Projektionsvorrichtung wird jeder Zielabschnitt dadurch bestrahlt, dass das gesamte Maskenmuster auf einmal auf den Zielabschnitt belichtet wird; eine solche Vorrichtung wird herkömmlich als ein Wafer-Stepper bezeichnet. Bei einer alternativen Vorrichtung – die hier wie herkömmlich als Step-Scan-Vorrichtung bezeichnet wird – wird jeder Zielabschnitt dadurch bestrahlt, dass das Maskenmuster unterhalb des Projektionsstrahls entlang einer vorgegebenen Referenzrichtung (der „Scan"-Richtung) progressiv gescannt wird, während der Substrattisch parallel oder antiparallel zu dieser Richtung synchron dazu gescannt wird; da im Allgemeinen das Projektionssystem einen Vergrößerungsfaktor M (allgemein < 1) besitzt, beträgt die Geschwindigkeit V, mit der der Substrattisch gescannt wird, was M-fache derjenigen, mit der der Maskentisch gescannt wird. Mehr Informationen im Hinblick auf lithographische Vorrichtungen, wie sie hier beschrieben sind, können zum Beispiel der US 6,046,792 entnommen werden.
  • Bei einem Herstellungsprozess unter Verwendung einer lithographischen Projektionsvorrichtung wird ein Maskenmuster auf ein Substrat abgebildet, das zumindest teilweise von einer Schicht aus strahlungsempfindlichen Material (Fotolack) überzogen ist. Vor diesem Abbildungsschritt kann das Substrat verschiedenen Prozeduren unterzogen werden, beispielsweise einer Vorbehandlung, einer Fotolackbeschichtung sowie einer weichen Erwärmung („soft bake"). Nach der Belichtung kann das Substrat anderen Prozeduren unterzogen werden, beispielsweise einer nach der Belichtung stattfindenden Erwärmung (PEB), einer Entwicklung, einer harten Erwärmung („hard bake") sowie einer Messung/Überprüfung der abgebildeten Merkmale. Diese Prozeduren werden als Grundlage zum Bemustern einer einzelnen Schicht einer Vorrichtung, beispielsweise eines ICs, verwendet. Solch eine bemusterte Schicht kann im Anschluss verschiedenen Prozessen unterzogen werden, beispielsweise dem Ätzen, der Ionenimplantation (Dotierung), einer Metallisierung, einer Oxidation, einer chemisch-mechanischen Politur, etc., die allesamt der Fertigstellung einer einzelnen Schicht dienen. Falls mehrere Schichten erforderlich sind, muss die gesamte Prozedur, oder eine Abwandlung derselben, für jede neue Schicht wiederholt werden. Schließlich wird eine ganze Reihe von Vorrichtungen auf dem Substrat (Wafer) erhalten. Diese Vorrichtungen werden danach voneinander gelöst, beispielsweise durch Trennen („dicing") oder Sägen, wodurch die einzelnen Vorrichtungen auf einem Träger befestigt, mit Stiften verbunden, etc. werden können. Weitere Informationen im Hinblick auf solche Prozesse können, zum Beispiel, dem Buch „Microchip Fabrication: A Practical Guide to Semiconductor Processing", 3. Auflage von Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4 entnommen werden.
  • Der Einfachheit halber kann das Projektionssystem im Anschluss als die „Linse" bezeichnet werden; dieser Begriff sollte jedoch weitestgehend breit ausgelegt werden, so dass er verschiedene Arten von Projektionssystemen beinhaltet, wie zum Beispiel brechende, reflektierender sowie katadioptrische optische Systeme. Das Strahlungssystem kann ebenso Bauteile umfassen, die entsprechend einem dieser Designarten operieren, um den Projektionsstrahl aus Strahlung zu richten, zu formen oder zu steuern, und solche Bauteile können im Anschluss ebenso, in ihrer Gesamtheit oder einzeln, als „Linse" bezeichnet werden. Ferner kann die lithographische Vorrichtung derart sein, dass sie zwei oder mehr Substrattische (und/oder zwei oder mehr Mas kentische) aufweist. Bei solchen „mehrstufigen" Vorrichtungen können die zusätzlichen Tische parallel zueinander verwendet werden, oder es können vorbereitende Schritte auf einem oder mehreren Tischen durchgeführt werden, während ein anderer oder mehrere andere Tische für die Belichtung verwendet werden. Doppelstufige lithographische Vorrichtungen sind zum Beispiel in der US 5,969,441 sowie der WO 98/40791 beschrieben.
  • Die fotolithographischen Masken, auf die voranstehend Bezug genommen wurde, weisen geometrische Muster auf, die den Schaltungsbauteilen entsprechen, welche auf einem Silizumwafer zu integrieren sind. Die zur Erzeugung solcher Masken verwendeten Muster werden unter Einsatz von CAD (computergestützte Konstruktion) Programmen erstellt, wobei dieser Prozess oftmals als EDA (elektronische Designautomatisierung) bezeichnet wird. Die meisten CAD-Programme befolgen eine Reihe vorbestimmter Designregeln, um funktionale Masken zu erstellen. Diese Regeln sind durch Bearbeitungs- und Designgrenzen festgelegt. So definieren zum Beispiel Designregeln die Toleranz der Räume zwischen den Schaltungsvorrichtungen (z.B. der Gatter, der Kondensatoren etc.) oder der Zwischenverbindungsleitungen, um so sicher zu stellen, dass die Schaltungsvorrichtungen oder Leitungen nicht auf unerwünschte Art und Weise miteinander interagieren. Die Designregelgrenzen werden normalerweise als „kritische Abmessungen" (CD) bezeichnet. Eine kritische Abmessung einer Schaltung kann als die geringste Leitungsbreite oder als der geringste Raum zwischen zwei Leitungen definiert werden. Auf diese Weise bestimmt die CD die gesamte Größe sowie Dichte der entworfenen Schaltung.
  • Natürlich besteht eines der Ziele bei der Fabrikation integrierter Schaltungen darin, auf zuverlässige Weise das ursprüngliche Schaltungsdesign auf dem Wafer (mit Hilfe der Maske) zu reproduzieren. Ein weiteres Ziel besteht darin, eine größtmögliche Fläche des Halbleiterwafers zu nutzen. Mit Verringerung der Größe integrierter Schaltungen sowie Erhöhung ihrer Dichte nähert sich jedoch die CD des entsprechenden Maskenmusters der Auflösungsgrenze des optischen Belichtungswerkzeugs. Die Auflösung eines Belichtungswerkzeugs ist als das kleinste Merkmal bestimmt, welches das Belichtungswerkzeug repetierend auf den Wafer belichten kann. Der Wert der Auflösung gegenwärtiger Belichtungsgeräte übersteigt oftmals die CD zahlreicher hochentwickelter IC-Schaltungsdesigns.
  • Während die kritischen Abmessungen des Layouts einer integrierten Schaltung immer kleiner werden und sich dem Auflösungsvermögen des Belichtungswerkzeuges nähern, nimmt die Übereinstimmung des Maskenmusters mit dem tatsächlichen Schaltungsmuster, das auf der Fotolackschicht entwickelt wird, deutlich ab. Der Grad und die Größe der Unterschiede zwischen der Maske und den tatsächlichen Schaltungsmustern hängt von dem gegenseitigen Abstand der Schaltungsmerkmale zueinander ab. Entsprechend werden Musterübertragungsprobleme als „Naheffekte" bezeichnet.
  • Um das signifikante Problem von Naheffekten zu lösen, werden zahlreiche Verfahren eingesetzt, bei denen sub-lithographische Merkmale in die Maskenmuster hinzugefügt werden. Sub-lithographische Merkmale besitzen Abmessungen, die kleiner sind als das Auflösungsvermögen des Belichtungswerkzeuges und werden deshalb nicht auf die Fotolackschicht übertragen. Stattdessen interagieren sub-lithographische Merkmale mit den ursprünglichen Maskenmuster und kompensieren so die Naheffekte, wodurch das letztendlich übertragene Schaltungsmuster verbessert wird.
  • Beispiele solcher sub-lithographischer Merkmale sind Streubalken sowie Anti-Streubalken, wie sie in dem US-Patent 5,821,014 offenbart sind, und die in die Maskenmuster eingefügt werden, um die Unterschiede zwischen den Merkmalen innerhalb eines Maskenmusters, die durch Naheffekte bewirkt werden, zu verringern. Insbesondere sind nicht auflösbare Hilfsmerkmale oder Streubalken als Mittel verwendet worden, um optische Naheffekte zu korrigieren, wobei sie sich dahingehend als wirkungsvoll erwiesen haben, als dass sie das gesamte Prozessfenster vergrößern (d.h. die Fähigkeit, Merkmale mit einer spezifizierten CD konsistent zu drucken, unabhängig davon, ob die Merkmale von benachbarten Merkmalen isoliert oder in geringem Abstand zu diesen angeordnet sind oder nicht). Wie in dem 014 Patent beschrieben tritt gewöhnlich eine Korrektur optischer Naheffekte dann auf, wenn die Tiefenschärfe für die weniger dichten Merkmale verbessert wird, um Merkmale durch Anordnen von Streubalken in der Nähe dieser Merkmale zu isolieren. Die Streubalken dienen dazu, die effektive Musterdichte (der isolierten oder weniger dichten Merkmale) zu erhöhen, um dabei die unerwünschten Naheffekte, die im Zusammenhang mit dem Drucken von isolierten oder weniger dichten Merkmalen stehen, zu unterbinden. Es ist jedoch von Bedeutung, dass die Streubalken selbst nicht auf den Wafer gedruckt werden.
  • Im Hinblick auf die zwischenliegenden Zwischenraummerkmale, wo es keinen Raum zum Einführen der SB gibt, besteht ein typisches Verfahren zur optischen Nahkorrektur (OPC) darin, die Merkmalskanten derart einzustellen (oder eine Vorspannung anzulegen), so dass die gedruckte Merkmalsbreite eher der beabsichtigten Breite entspricht. Um zu erzielen, dass die Verwendung der Sub-Auflösungsmerkmale und/oder der Merkmalsvorspannung Wirkung zeigt, um optische Naheffekte zu minimieren, ist eine Bedienperson erforderlich, die über gute Kenntnisse hinsichtlich des Maskendesigns und des Druckprozesses sowie über einen großen Erfahrungsschatz hinsichtlich der Modifikation des Maskendesigns verfügt, um so die Sub-Auflösungsmerkmale aufzunehmen und/oder die Justierung der Merkmalskanten (Vorspannung) vorzunehmen, und um so das erwünschte Ziel zu erreichen. Selbst wenn eine erfahrene Bedienperson diese Aufgabe ausführt, ist es in der Tat oftmals notwendig, einen „Versuchs"-Prozess durchzuführen, bei dem die Sub-Auflösungsmerkmale ordnungsgemäß positioniert werden, um so die erwünschten Korrekturen zu erzielen.
  • Dieser Versuchsprozess, der ein wiederholtes Ändern der Maske gefolgt von zu wiederholenden Simulationen beinhalten kann, kann sowohl ein zeitaufwändiger als auch kostspieliger Prozess sein.
  • Ein weiteres bekanntes Verfahren zum Korrigieren optischer Naheffekte (OPE) beinhaltet den Versuch, den Druckprozess zur Kompensation der OPEs zu „kalibrieren". Gegenwärtige bekannte Verfahren umfassen das „Korrelieren" sogenannter Kalibrierungsparameter mit dem OPC-Modell, was die Ausführung einer Reihe detaillierter SEM CD Messungen an verschiedenen Merkmalsstellen erfordert. Unabhängig von der tatsächlichen Merkmalsform bestehen diese aus eindimensionalen Messungen der Breite. Je mehr Messdaten gesammelt werden, desto besser ist die Genauigkeit der Kalibrierungsparameter. Im Hinblick auf eine zuverlässige Modellparameterkalibrierung ist es jedoch nicht ungewöhnlich, dass mehr als einige hundert CD-Messungen an verschiedenen kritischen Merkmalsstellen unter unterschiedlichen Nachbarbedingungen erforderlich sind. Diese sind sehr arbeitsintensiv sowie zeitaufwändig. Die Art und Weise, mit der die CD-Messungen durchgeführt werden, hängt oftmals von der Bedienperson und dessen Erfahrungsschatz ab, was sich offensichtlich nachteilig auf die Parameterkalibrierung auswirken kann, wodurch die gesamte Wirkung des Verfahrens eingeschränkt wird.
  • Entsprechend besteht ein Bedarf für ein Verfahren zum Erzeugen einer Reihe von Parameter (oder Kalibrierungsfaktoren), die das Druckleistungsvermögen eines vorgegebenen Abbildungssystems festlegen, so dass die Parameter dazu eingesetzt werden können, optische Naheffekte, die dem vorgegebenen Abbildungssystem zugeordnet werden, automatisch zu korrigieren und/oder zu minimieren, ohne dass die Bedienperson einen „Versuchs"-Prozess, wie voranstehend erwähnt, im Hinblick auf das Modifizieren der Masken durchführen muss. Überdies ist es notwendig, einen automatisierten Kalibrierungs- und Optimierungsprozess zur Verfügung zu haben, um eine Reihe von genauen Modellparametern zu erzeugen, die auf tatsächlichen 2D-Wafermustern beruhen, und zwar weitestgehend unabhängig von der Bedienperson hinsichtlich der Erzielung konsistenter Ergebnisse.
  • US 6,081,659 offenbart ein Verfahren zum Simulieren eines Maskenprozesses, bei dem ein Prozesssimulator verwendet wird, um ein Luftbild („aerial image") zu erzeugen. Eine Simulation wird mit der Realität verglichen und der Simulator wird modifiziert, um auf diese Weise den Fehler zu minimieren.
  • Der Artikel „Exploiting Structure in Fast Aerial Image Computation for Integrated Circuit Patterns" von Pati et al, IEEE „Transactions on Semiconductor Manufacturing", V10 Nr. 1, Februar 1997, Seiten 62 bis 74 beschreibt ein Verfahren zum Modellieren von Luftbildern unter Verwendung kohärenter Dekompositionen.
  • In dem Artikel „Metrology and Analysis of Two Dimensional SEM Patterns" von C.A. Mach et al, Proceedings of SPIE, Vol 4344 (2001), Seiten 377 bis 384 ist die Erstellung von Polygon-Daten anhand von SEM-Bildern beschrieben.
  • Im Rahmen eines Versuchs, die voranstehend erwähnten Probleme zu lösen, ist es eine Aufgabe der vorliegenden Erfindung, ein automatisiertes Verfahren zum Erzeugen eines Satzes optimierter Parameter (oder Kalibrierungsfaktoren) unter Verwendung der gedruckten 2D-Wafermuster bereitzustellen, die das Druckleistungsvermögen eines vorgegebenen Abbildungssystems derart definieren, dass die Parameter dazu eingesetzt werden können, optische Naheffekte, die dem vorgegebenen Abbildungssystem zugeordnet sind, automatisch zu korrigieren und/oder zu minimieren, ohne dass die Bedienperson den „Versuchs" – Prozess zur Modifizierung der Masken durchführen oder größere Mengen an eindimensionalen SEM CD Daten sammeln muss, was, wie bereits erwähnt, ein sehr arbeitsintensiver Prozess ist.
  • Insbesondere betrifft das Verfahren der vorliegenden Erfindung die Erstellung einer fotolithographischen Maske zum optischen Übertragen eines in der Maske gebildeten Musters auf ein Substrat unter Einsatz eines Abbildungssystems, wie es in den beigefügten Ansprüchen bestimmt ist. Die Erfindung umfasst die Schritte: (a) Definieren eines Satzes von Kalibrierungsmustern, die in einem Datenformat dargestellt sind; (b) Drucken von Bildern der Kalibrierungsmuster auf ein Substrat unter Einsatz des vorgegebenen Abbildungssystems; (c) Bestimmen eines ersten Satzes von Kontur mustern entsprechend den Bildern der Kalibrierungsmuster, die auf dem Substrat abgebildet sind; (d) Erzeugen einer Simulationsfunktion, die in guter Näherung die Abbildungsleistung des Abbildungssystems darstellt; (e) Bestimmen eines zweiten Satzes von Konturmustern unter Einsatz der Simulationsfunktion, um zu bestimmen, wie die Kalibrierungsmuster (im Hinblick auf Designdaten) in dem Substrat abgebildet werden; (f) Vergleichen des ersten Satzes von Konturmustern und des zweiten Satzes von Konturmustern, um die Differenz der beiden zu bestimmen; (g) Einstellen der Simulationsfunktion, bis die Differenz zwischen dem ersten Satz von Konturmustern und dem zweiten Satz von Konturmustern unterhalb eines vordefinierten Kriteriums liegt; und Bestimmen eines Positionsversatzes des ersten Satzes von Konturmustern relativ zu den Kalibrierungsmustern und Kompensieren des Positionsversatzes.
  • Wie im Anschluss im Detail beschrieben wird, sieht die vorliegende Erfindung deutliche Vorteile gegenüber dem Stand der Technik vor. Indem automatisch extrahierte 2D-Konturen gedruckter Wafermuster für die Modellkalibrierung und Optimierung einer System-Pseudointensitätsfunktion (SPIF) verwendet werden, ist es beispielsweise möglich, auf realistischere Art und Weise die betreffenden tatsächlichen Wafermerkmale darzustellen. Durch genaues Modellieren der Abbildungsleistung des vorgegebenen Abbildungssystems, bei dem die System-Pseudointensitätsfunktion eingesetzt wird, ist es zusätzlich möglich, automatische Einstellungen im Hinblick auf das Maskendesign vorzunehmen, die optische Naheffekte als auch andere Faktoren kompensieren, welche die Abbildungsleistung verschlechtern. Von Bedeutung ist, dass das Verfahren der vorliegenden Erfindung ohne eine Person auskommt, die im Hinblick auf die Bildbearbeitung hochqualifiziert ist, um den „Versuchs"-Ansatz zum Korrigieren der optischen Naheffekte durchzuführen, oder einer Person, die auf arbeitsintensive Weise 1D SEM CD Messdaten sammelt, die gewöhnlich verwendet werden. Als solches führt die vorliegenden Erfindung ferner zu einer deutlichen zeitlichen sowie finanziellen Einsparung, die zum Erstellen eines brauchbaren Maskendesigns notwendig sind. Durch Verwenden tatsächlicher 2D-Musterkonturen als Eingabe für die Kalibrierung und Optimierung werden bei der vorliegenden Erfindung ferner sehr viel „aussagekräftigere" Dateninformationen eingesetzt, um die tatsächlichen Wafermuster zu beschreiben, und es werden so sehr viel genauere Modellparameterergebnisse erhalten.
  • Zusätzliche Vorteile der vorliegenden Erfindung sind für den Fachmann anhand der folgenden detaillierten Beschreibung beispielhafter Ausführungsformen der vorliegenden Erfindung ersichtlich.
  • Obwohl speziell in dieser Beschreibung auf den Einsatz der Erfindung bei der Herstellung von ICs Bezug genommen wird, so sollte explizit klar sein, dass die Erfindung sehr viel andere mögliche Applikationen besitzt. Zum Beispiel kann sie bei der Kalibrierung von Fotomaskenmustern sowie bei der Fotolackmodellierung, der Modellierung von wafer-geätzten Mustern sowie allgemein bei 2D Musterkalibrierungs-Applikationen, beispielsweise von integrierten optischen Systemen, Führungs- und Erkennungsmustern für magnetische Domainspeicher, Flüssigkristallanzeigefelder, magnetische Dünnfilmköpfe, etc. eingesetzt werden. Der Fachmann erkennt, dass im Zusammenhang mit solchen alternativen Applikationen die in dieser Beschreibung verwendeten Begriffe „Retikel", „Wafer" oder „Plättchen" als durch die allgemeineren Begriffe „Maske" „Substrat" bzw. „Zielabschnitt" ersetzt zu betrachten sind.
  • In dem vorliegenden Dokument werden die Begriffe „Strahlung" sowie „Strahl" so verwendet, als dass sie sämtliche Arten von elektromagnetischer Strahlung, einschließlich ultravioletter Strahlung (z.B. mit einer Wellenlänge von 365, 248, 193, 157 oder 126 nm) sowie EUV (extremer Ultraviolett-Strahlung, z.B. mit einer Wellenlänge im Bereich von 5 bis 20 nm) umfassen.
  • Der Begriff Maske, wie er hier verwendet wird, kann weitestgehend breit ausgelegt werden, als dass er generische Musteraufbringungseinrichtungen betrifft, die dazu verwendet werden, den Querschnitt eines einfallenden Lichtstrahls mit einem Muster entsprechenden einem Muster zu versehen, das in einem Zielabschnitt des Substrats zu erzeugen ist; der Begriff „Lichtventil" kann ebenso in diesem Zusammenhang verwendet werden. Neben der klassischen Maske (Transmission oder Reflektion; binär, Phasenverschiebung, hybrid, etc.) umfassen andere Beispiele für die Kalibrierung von Musteraufbringungseinrichtungen:
    • • eine programmierbare Spiegelanordnung. Ein Beispiel einer solchen Vorrichtung besteht aus einer matrix-adressierbaren Oberfläche mit einer viskoelastischen Steuerschicht und einer reflektierenden Oberfläche. Das grundlegende Prinzip einer solchen Vorrichtung besteht darin, dass (z.B.) adressierte Bereiche der reflektierenden Oberfläche einfallendes Licht als gebeugtes Licht reflektieren, während Bereiche, die nicht adressiert werden, das einfallende Licht als nicht gebeugtes Licht reflektieren. Unter Verwendung eines geeigneten Filters kann das nicht gebeugte Licht aus dem reflektierten Strahl herausgefiltert werden, wodurch lediglich das gebeugte Licht übrig bleibt; auf diese Weise wird der Strahl entsprechend dem adressierenden Muster der matrixadressierbaren Oberfläche gemustert. Die erforderliche Matrixadressierung kann unter Verwendung geeigneter elektronischer Mittel durchgeführt werden. Mehr Informationen hinsichtlich solcher Spiegelanordnungen können, zum Beispiel, den US-Patenten US 5,296,891 sowie US 5,523,193 entnommen werden.
    • • eine programmierbare LCD-Anordnung. Ein Beispiel einer solchen Konstruktion ist in dem US-Patent US 5,229,872 angegeben.
  • Die Erfindung selbst, zusammen mit weiteren Aufgaben und Vorteilen, werden anhand der folgenden detaillierten Beschreibung sowie der beigefügten schematischen Zeichnungen besser verständlich.
  • 1 ist ein Flussdiagramm, das das Kalibrierungsverfahren der vorliegenden Erfindung darstellt.
  • 2A stellt ein beispielhaftes dichtes Merkmalsmuster dar, das noch keinem Kalibrierungsprozess unterzogen worden ist.
  • 2B stellt das dichte Merkmalsmuster der 2A dar, das unter Einsatz eines ersten Satzes von Kalibrierungsfaktoren, die durch Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 2C stellt das dichte Merkmalsmuster der 2A dar, das unter Einsatz eines zweiten Satzes von Kalibrierungsfaktoren, die unter Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 3A stellt ein beispielhaftes halbisoliertes Merkmalsmuster dar, dass noch keinem Kalibrierungsprozess unterzogen worden ist.
  • 3B stellt das halbisolierte Merkmalsmuster der 3A, das unter Einsatz eines ersten Satzes von Kalibrierungsfaktoren, die unter Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 3C stellt das halbisolierte Merkmalsmuster der 3A dar, das unter Einsatz eines zweiten Satzes von Kalibrierungsfaktoren, die unter Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 4A stellt ein beispielhaftes isoliertes Merkmalsmuster dar, dass noch keinem Kalibrierungsprozess unterzogen worden ist.
  • 4B stellt das isolierte Merkmalsmuster der 4A dar, das unter Einsatz eines ersten Satzes von Kalibrierungsfaktoren, die unter Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 4C stellt das isolierte Merkmalsmuster der 4A dar, dass unter Einsatz eines zweiten Satzes von Kalibrierungsfaktoren, die unter Verwendung des erfindungsgemäßen Verfahrens bestimmt wurden, gedruckt ist.
  • 5 stellt eine beispielhafte lithographische Projektionsvorrichtung dar.
  • Gemäß der vorliegenden Erfindung wird ein Verfahren zum Kalibrieren eines Abbildungssystems beschrieben, bei dem ein Satz von Leistungsparameter (d.h. Kalibrierungsmodell) bestimmt wird, die die Druckleistung des vorgegebenen Abbildungssystems definieren. Die Leistungsparameter werden für mehrere Arten von Merkmalen bestimmt, die in einem typischen Maskendesign (z.B. dicht zueinander beabstandete Merkmale, halb-isolierte Merkmale, isolierte Merkmale, Leitungsenden, Ellbogen etc.) gedruckt werden. Wie im Anschluss im Detail erklärt wird, werden die Leistungsparameter dazu eingesetzt, um zu bestimmen, wie eine Maske modifiziert werden sollte, so dass das erwünschte Merkmal genau auf den Wafer gedruckt wird (d.h. dass das durch die Maske gebildete resultierende Fotolackmuster genau dem erwünschten Merkmal entspricht).
  • Sind die Leistungsparameter (oder kalibriertes Modell) für eine hinreichende Anzahl von Merkmalen bestimmt worden, werden die Leistungsparameter eingesetzt, um optische Nahfehler zu kompensieren, die beim Fotolackdrucken (oder nach dem Ätzen) unter den gleichen Prozessbedingungen bei den anderen Merkmalsarten, die bei dem vorgegebenen Maskendesign verwendet werden, auftreten. Ein derartiges Abbildungsmodell kann als System-Pseudointensitätsfunktion („system pseudointensity-function"), oder SPIF, wie folgt ausgedrückt werden:
    Figure 00100001
    wobei
  • αi
    ein zu kalibrierender und zu optimierender Gewichtungskoeffizient ist;
    M (x, y)
    die Transmissionsfunktion der Maske ist;
    Ψ, (x, y)
    der Satz von Basisfunktionen ist, die zur Darstellung des optischen Abbildungssystems ausgewählt worden sind, beispielsweise die Eigenfunktionen eines theoretischen optischen Systems;
    x, y
    der Ort des Wafermusters ist; und
    *
    der Faltungsoperator ist.
  • Durch Verwendung der SPIF im Hinblick auf eine Vorhersage, wie ein gegebenes Designmuster gedruckt würde, ist eine Optimierung des Designs möglich, um das erwünschte („Ziel"-) Muster zu drucken. Für diese Art Optimierungsprozess kann man ein beliebiges bewährtes Optimierungsverfahren verwenden, zum Beispiel das Levenberg-Marquardt-Verfahren. Mit anderen Worten, die Leistungsparameter gestatten eine vorherige Korrektur der Maskendaten hinsichtlich der zu druckenden Merkmale, so dass das resultierende, auf den Wafer gedruckte Maskenmuster sehr viel mehr Ähnlichkeit mit dem erwünschten Muster aufweist. Als solches gestattet das Verfahren der vorliegenden Erfindung, das das Erstellen eines kalibrierten Modells (d.h. der Leistungsparameter) beinhaltet, eine automatische modellbasierte optische Nahkorrektur (OPC) der Maskenmuster. Es wird darauf hingewiesen, dass das Verfahren der vorliegenden Erfindung ebenso angewendet werden kann, um die beabsichtigte Leistung der OPC-korrigierten Maskenmuster zu verifizieren.
  • Im Anschluss wird der normale Prozessablauf der Optimierungsschleife der vorliegenden Erfindung zusammengefasst:
    • 1. „SPIF-Vorhersage" Prozess: a. Berechnen einer kontinuierlichen Schwellenwert-SPIF, und b. Verfolgen einer Polygonkontur um die obigen Schwellenwert- (oder > 1, als typische Schwellenwerteinstellung)-Bereiche.
    • 2. „OPC" Prozess: a. Ausführen der „SPIF Vorhersage", b. Vergleichen der Ergebnisse mit dem erwünschten Ergebnis, c. falls akzeptabel, Beenden der Optimierung, und d. falls nicht akzeptabel, Einstellen bzw. Justieren der Designkanten in entgegengesetzter Richtung zu dem Fehler.
  • 1 ist ein Flussdiagramm, das das Kalibrierungsverfahren der vorliegenden Erfindung darstellt. Das beispielhafte Verfahren der vorliegenden Erfindung wird im Anschluss beschrieben.
  • Der erste Schritt bei dem Prozess (Schritt 1) beinhaltet das Entwerten (oder Bestimmen) eines Satzes von Mustern, die als Kalibrierungsmuster bezeichnet werden und die repräsentativ für die auf den Wafer zu druckenden tatsächlichen Merkmale sind, einschließlich beispielsweise, aber nicht beschränkt auf, dichte Linien/Raummuster, halb-isolierte Linien/Raummuster sowie isolierte Linien/Raummuster. Vorzugsweise enthalten die Muster Kurven, Winkel, etc. Zusätzlich wird darauf hingewiesen, dass, allgemein gesprochen, je mehr Kalibrierungsmuster vorhanden sind, desto genauer kann das Verfahren der vorliegenden Erfindung die OPEs auf gedruckten Wafern korrigieren. Zum Beispiel können bei einer Ausführungsform zahlreiche Linien/Raummuster, die allesamt als dicht angesehen werden können, zusammen mit zahlreichen halbdichten Linien/Raummustern sowie isolierten Linien/Raummuster bestimmt werden. Wie im Anschluss im Detail erklärt wird, je mehr Kalibrierungsmuster gesammelt oder bestimmt werden, desto weniger muss bei dem Verfahren zwischen bekannten Kalibrierungsmustern interpoliert werden, um die in der Maske enthaltenen tatsächlichen Merkmale zu korrigieren. Mit anderen Worten, je mehr Kalibrierungsmuster erhalten werden, desto eher entspricht (d.h. stimmt überein) ein zu druckendes tatsächliches Merkmal einem vorbestimmten Kalibrierungsmuster. Es wird darauf hingewiesen, dass bei einer Ausführungsform der vorliegenden Erfindung die Kalibrierungsmuster durch Polygonfiguren dargestellt werden. Ein Polygon ist eine zweidimensionale Figur mit mehreren Seiten, die gewöhnlich durch einen Satz von (X, Y) Scheitel beschrieben wird. Ein herkömmlich verwendetes Datenformat in der Halbleiterindustrie ist das GDSII StreamTM Format, das 2D Polygonfiguren für IC Designdaten darstellt. Jedoch kann ein beliebig anderes geeignetes Datenformat verwendet werden, das Maskendesigns darzustellen vermag.
  • Schritt 2 bei dem Prozess beinhaltet das Bilden einer Maske in Übereinstimmung mit den im Schritt 1 definierten Kalibrierungsmustern sowie das Drucken der in der Maske gebildeten Kalibrierungsmuster auf einen Wafer. Es wird darauf hingewiesen, dass die Kalibrierungsmuster unter Einsatz des exakt gleichen Prozesses sowie derjenigen Schritte, die bei der Produktion der kommerziellen Halbleitervorrichtung verwendet werden, für die der Kalibrierungsprozess durchgeführt wird, gedruckt werden sollten.
  • Sind die Kalibrierungsmuster auf den Wafer gedruckt worden, beinhaltet der nächste Schritt (Schritt 3) das Abbilden und Messen der auf dem bearbeiteten Siliziumwafer gebildeten Kalibrierungsmuster mit Hilfe einer Fotolack-Musteraufbringung. Das Abbilden kann durch Einsatz, z.B. einer SEM (Rasterelektronenmikroskop-) Vorrichtung erzielt werden. Es wird darauf hingewiesen, dass die Messung eine, zum Beispiel, nach unten gekehrte SEM oder eine querschnittförmige CD (kritische Abmessung) SEM sein kann. Bei der gegenwärtigen Ausführungsform ist es bevorzugt, eine nach unten gekehrte SEM CD zu verwenden, und zwar mit einem entsprechenden SEM-Bild für jedes der zu messenden Kalibrierungsmuster. Es wird ferner darauf hingewiesen, dass im Hinblick auf die beste Kalibrierungsleistung es bevorzugt ist, SEM-Bilder mit hinreichender Vergrößerung zur Verfügung zu haben. Zum Beispiel liegt die bevorzugte SEM-Bildvergrößerung oberhalb 70 KX bei 130 nm sowie unterhalb der Designregel. Bei einer solch hohen Vergrößerung kann das betreffende Hauptmuster leicht das gesamte Sichtfeld belegen, und es ist normalerweise unwahrscheinlich, dass ein zusätzlicher Raum für Ausrichtungsmarkierungen als ein Teil des SEM-Bildes zum Zwecke der Ausrichtung und Skalierung zur Verfügung steht. Wie weiter unten erklärt wird, ist es als solches bevorzugt, sowohl die Bildrotation als auch die Skalierung als einen Teil dieses neuartigen Optimierungsschematas zur Verfügung zu haben.
  • Die 2A, 3A und 4A stellen SEM-Bilder von resultierenden, jeweils dichten, halbisolierten sowie isolierten Linien/Raummustern dar, die auf den Wafer abgebildet wurden. Es wird darauf hingewiesen, dass die resultierenden SEM-Konturen 12 über die ursprünglichen Polygondesigndaten (die einen idealen Abbildungsprozess darstellen) gelegt werden, um so einen Vergleich des erwünschten Musters (definiert durch die Polygondaten) mit dem durch den Abbildungsprozess gedruckten tatsächlichen Muster (definiert durch das SEM-Bild) zu gestatten. Bezug nehmend auf die 2A ist zum Beispiel zu sehen, dass das resultierende SEM-Bild des resultierenden Musters aufgrund optischer Naheffekte, zum Beispiel, nicht ganz perfekt ist. Ein Problem besteht in der Verkürzung der Linien, die dargestellt ist durch die Differenz des Endes einer Linie in dem SEM-Bild und der idealen Position des Linienendes, die durch die Polygondaten festgelegt ist (Bezugszeichen 14 weist auf den durch die Linienverkürzung verursachten Spalt hin). Die 3A und 4A stellen ähnliche Mängel in den jeweiligen Mustern dar.
  • Bezug nehmend wiederum auf die 1 werden im Schritt 4 die resultierenden SEM-Bilder einer digitalen Bildbearbeitung sowie einer Schwellenwertbearbeitung unterzogen, um zweidimensionale Fotolackkonturen zu extrahieren. Die weichen Konturen werden durch vielseitige Polygone angenähert. Mit anderen Worten, der Umriss des verbleibenden Fotolackmusters auf dem Wafer, wobei der Umriss die von dem System abgebildeten Muster bestimmt, wird identifiziert und digital bearbeitet.
  • Im nächsten Schritt (Schritt 5) werden die im Schritt 4 erhaltenen 2D-Fotolackkonturen in Polygone oder in ein anderes geeignetes Format, beispielsweise das GDSII StreamTM Format, umgewandelt (oder durch diese angenähert). Es wird darauf hingewiesen, dass das verwendete Datenformat das gleiche sein sollte wie dasjenige, das im Schritt 1 zur Darstellung der Kalibrierungsmuster verwendet worden ist. Es sollte ersichtlich sein, dass die SEM-Bilder das Ergebnis der auf den Wafer tatsächlich gedruckten Kalibrierungsmuster darstellen. Auf diese Weise stellen die Unterschiede zwischen den SEM-Bildern und den Kalibrierungsmustern diejenigen „Fehler" dar, die dem Abbildungssystem sowie dem Druckprozess inhärent sind.
  • Wie weiter unten erklärt wird, gestattet die Umwandlung der 2D-Fotolackkonturen in das Polygondatenformat einen direkten Vergleich der Fotolackdruckleistung (oder nach dem Ätzen der Muster) mit einer Modellfunktion, die die Leistung des Abbildungssystems darstellt. Die im Schritt 4 erhaltenen tatsächlichen Bilder werden dazu verwendet, die Modellfunktion so „einzustellen", so dass die Funktion genauestens die Druckleistung des Abbildungssystems darstellt. Dies gestattet den Einsatz der Funktion zur automatischen Modifikation des Maskendesigns, um so die Mängel der Druckleistung der ursprünglich polygonalen Designdaten des Abbildungssystems zu kompensieren. Mit anderen Worten, die Funktion kann zur optischen Nahkorrektur eingesetzt werden.
  • Im Anschluss an die Kontur-Extraktion werden die SEM-Bilder zunächst ungefähr mit dem ursprünglichen Maskendatenmuster überlagert. Es ist denkbar, dass ein Positionsversatz sowohl in X-Richtung als auch Y-Richtung besteht. Durch Vergleich eines vorbestimmten Referenzpunktes (Referenzpunkte) (beispielsweise die Mitte eines erwünschten quadratischen Merkmals) des ursprünglichen Designs mit den SEM-Bildern ist es möglich zu bestimmen, ob der Druckprozess eine Verschiebung, Drehung und/oder Skalierung des zu druckenden Merkmales verursacht hat. Es wird darauf hingewiesen, dass jeder beliebige geeignete Referenzpunkt(e) (beispielsweise die Mitte eines Merkmals, eine gegebene Kante oder Ecke des Merkmals, etc.) als Referenzpunkt für den Vergleich verwendet werden kann. Der Prozess der vorliegenden Erfindung gestattete eine simultane Optimierung sowohl der αi als auch des Positionsversatzes (beispielsweise die Verschiebung und die Drehung). Auf ähnliche Weise kann durch die Optimierung der SEM-Skalierungsfaktor während der Optimie rungsschleife eingestellt werden. Dies kann durch die folgende Matrixgleichung ausgedrückt werden:
    Figure 00150001
    wobei
  • A
    ein X-Skalierungsfaktor und D ein Y-Skalierungsfaktor ist;
    C
    eine Drehung und D der Versatz der SEM-Bilder ist; und
    X und Y
    die anfängliche Position und X' und Y' die neue Position darstellen.
  • Es ist von Bedeutung, dass A, B, C und D separat in einer Optimierungsschleife, allerdings für den gleichen Satz SEM-Bilder optimiert werden, allerdings unter der Annahme, dass A, B, C und D konstant bleiben. Dies ist eine praktische Annahme, da das SEM hinreichend stabil bleibt und die SEM-Bilder in einem Betriebsablauf konsistent produziert werden können.
  • Als nächstes wird im Schritt 6 ein Satz von System-Pseudointensitätsfunktionen (SPIFs) erzeugt. Die SPIFs sind Funktionen, die die kombinierte Antwort des Abbildungs- und des Fotolacksystems als zweidimensionale Skalarfunktion darstellen sollen, so dass eine Annäherung der gedruckten Fotolackkontur durch Sampling der SPIF bei einem vordefinierten Schwellenwert erhalten werden kann. Dies betrifft das Umwandeln der kontinuierlich variierenden SPIF in eine diskrete Funktion, die gleich „1" ist, wenn SPIF größer als der Schwellenwert ist, und sonst gleich „0" ist. Der gewählte Schwellenwert ist vielmehr beliebig. Die optimierte SPIF wird für einen vorgegebenen Schwellenwert optimiert. Sind die SPIFs für ein vorgegebenes Abbildungssystem sowie einen vorgegebenen Prozess definiert worden, so ist auf diese Weise eine Abschätzung möglich, wie ein gegebenes Muster auf einen Wafer gedruckt wird. Es wird darauf hingewiesen, dass die SPIFs von Abbildungssystem zu Abbildungssystem und von Prozess zu Prozess variieren. Die entsprechenden Gewichtungskoeffizienten (αi) für den SPIF-Satz müssen optimiert werden. Es wird auf die 2 und 3 im Hinblick auf die Schwellenwert-SPIF-Muster verwiesen (die mit der Wafermusterkontur überlagert sind).
  • Im Schritt 7 werden die SPIFs und die entsprechenden Gewichtungskoeffizienten, die im Schritt 6 erzeugt wurden, zusammen mit den POLYGON-Designdaten, die im Schritt 1 erhalten wurden, verwendet, um eine Abschätzung der Fotolackmusterkonturen zu erzeugen, die aus dem Drucken der Kalibrierungsmuster, welche im Schritt 1 definiert wurden, resultieren würden. Es wird wiederum darauf hingewiesen, dass die SPIFs eine Abschätzung des Verhaltens des Abbildungssystems darstellen. In dem die SPIFs auf die Kalibrierungsmuster des Schrittes 1 angewendet werden, ist es auf diese Weise möglich, die Funktionsweise des Abbildungssystems sowie der resultierenden Fotolackkonturmuster abzuschätzen. Es ist bevorzugt, dass die Abschätzung der im Schritt 7 erzeugten Fotolackkonturmuster in dem gleichen Datenformat erzeugt werden, das zur Erzeugung der Kalibrierungsmuster im Schritt 1 und der Darstellung der tatsächlichen Konturmuster im Schritt 5 verwendet wurde, das im gegenwärtigen Beispiel ein Polygonformat ist (es wird auf den „SPIF-Vorhersage-Prozess" verwiesen). Es wird darauf hingewiesen, dass die Schritte 6 und 7 des in 1 dargestellten Verfahrens getrennt von den Schritten 2 bis 5 sind und unabhängig von den Schritten 2 bis 5 durchgeführt werden. Es ist an sich möglich, die Schritte 6 und 7 vor, gleichzeitig oder nach den Schritten 2 bis 5 durchzuführen.
  • Sind die Fotolackkonturmuster unter Einsatz der SPIFs abgeschätzt worden (Schritt 7), so beinhaltet der nächste Schritt (Schritt 8) das Vergleichen der abgeschätzten Konturmuster mit denjenigen Konturmustern, die durch das tatsächliche Drucken der Kalibrierungsmuster unter Einsatz des vorgegebenen Abbildungssystems erzeugt werden. Insbesondere werden die vorhergesagten Musterkonturen (die unter Verwendung der SPIFs erzeugt wurden) mit den Konturmustern verglichen, die von dem entsprechenden SEM-Bild extrahiert wurden. Im Anschluss werden der SPIF-Satz und die entsprechenden Gewichtungskoeffizienten solange verändert, bis eine hinreichende Annäherung zwischen dem SPIF-Konturmuster und dem SEM-Konturmuster erhalten wird. Wird zum Beispiel, wie im Anschluss erklärt wird, angenommen, dass das in Betracht gezogene Merkmal eine quadratische Form aufweist und das resultierende SEM-Konturmuster eine kreisförmige Konfiguration (aufgrund einer Abrundung der Ecken) zeigt, so werden die Koeffizienten der SPIF-Funktion solange verändert, bis das von der SPIF-Funktion erzeugte, resultierende Konturmuster eine im wesentlichen kreisförmige Konfiguration zeigt.
  • Nach Beendigung des Vergleichs im Schritt 8 schreitet der Prozess zum Schritt 9 fort, bei dem bestimmt wird, ob die SPIF-erzeugten Konturmuster hinreichend ähnlich den SEM-Konturmustern sind (d.h. mit diesen übereinstimmen). Kriterien zur Bestimmung, ob die Konturmuster hirneichend einander übereinstimmen, umfassen beispielsweise, sind aber nicht darauf beschränkt, (1) den Fehler in den Überlappbereichen zwischen dem Modell (erzeugt durch SPIF) und den experimentellen Konturen (SEM), (2) der Abstand zwischen den Konturen (absolut oder mit Vorzeichen), entweder an ausgewählten Punkten oder sämtlichen Konturpunkten, und (3) die Summe der Quadrate der Abstände zwischen den beiden Konturen. Diese Kriterien, bezeichnet als Kost-Funktionen, werden im Verlauf der Anpassungsprozedur minimiert. Ist das Kriterium ausgewählt worden, wird ein zugeordneter Wert bestimmt, welcher im Anschluss als der Entscheidungsfaktor im Schritt 9 verwendet wird. Es wird darauf hingewiesen, dass der Prozess im Schritt 9 Kriterien umfasst, um zu verifizieren, ob der Positionsversatz und die Skalierung der gedruckten Merkmale unterhalb eines akzeptablen vordefinierten Kriteriums liegen.
  • Falls der Fehler zwischen den SPIF-erzeugten Konturmustern und den SEM-Konturmustern zu groß ist (d.h. falls das Kriterium im Schritt 9 nicht erfüllt ist), so schreitet der Prozess zum Schritt 10, bei dem die SPIF-Koeffizienten, die Positionsversatze, die Skalierungs- und/oder Versatzfaktoren modifiziert werden, um eine bessere Übereinstimmung der resultierenden SPIF-Konturmuster mit den SEM-Konturmustern sowohl im Hinblick auf Form und Position zu erhalten (es wird auf den „OPC-Prozess" verwiesen). Der Prozess kehrt dann zum Schritt 7 zurück, um so die Konturmuster basierend auf den aktualisierten vorherigen Variablen erneut zu erzeugen. Im Anschluss werden die Schritte 8 und 9 erneut wiederholt.
  • Falls der Fehler zwischen den SPIF erzeugten Konturmustern und den SEM-Konturmustern nach einige Iterationen der Schleife, die durch die Schritte 7 bis 10 gebildet wird, weiterhin zu groß ist, wird der Prozess mit dem Schritt 10A weitergeführt. Im Schritt 10A läuft der Prozess dadurch weiter, dass eine neue SPIF-Funktion ausgewählt/erzeugt wird und/oder die Anzahl „n" der Basisfunktionen, die zur Formulierung der SPIF-Funktion verwendet werden, erhöht wird. Der Prozess kehrt im Anschluss zum Schritt 6 zurück und wird auf die oben beschriebene Weise fortgeführt, in dem die neue/modifizierte SPIF-Funktion verwendet wird.
  • Wie anhand der voranstehenden Beschreibung deutlich wird, wird der Prozess im Schritt 10A in dem Fall fortgesetzt, dass eine Einstellung der SPIF Gewichtungskoeffizienten sowie der Positionsversatze keinen Fehler unterhalb des vordefinierten minimalen Werts erzeugen kann. Es wird darauf hingewiesen, dass eine Bestimmung, wann mit dem Schritt 10A weitergemacht wird, dadurch getroffen werden kann, dass, zum Beispiel, eine bestimmte Anzahl von Iterationen der auszuführenden Schleifenschritte 7 bis 10 festgelegt werden, und falls kein akzeptables Ergebnis erhalten wird, bevor die Anzahl der Iterationen abgeschlossen ist, so geht der Prozess in den Schritt 10A über. Alternativ kann die Bedienperson eingreifen, um zu bestimmen, wann mit dem Schritt 10A weitergemacht werden soll.
  • Falls die SPIF-erzeugten Konturmuster und die SEM-Konturmuster hinreichend ähnlich sind (d.h. das Kriterium des Schritts 9 erfüllt ist), werden die SPIF und die Gewichtungskoeffizienten aufgezeichnet (Schritt 11). Es wird darauf hingewiesen, dass diese SPIF und die entsprechenden Gewichtungskoeffizienten die tatsächliche Druckleistung des vorgegebenen Abbildungssystems in einem funktionalen Datenformat darstellen. Mit anderen Worten, die SPIF und die Gewichtungskoeffizienten stellen ein Modell der Abbildungsleistung dar. Als solches kann es dazu verwendet werden, verschiedene optische Naheffekte vorherzusagen und zu kompensieren, die andererseits die gesamte Druckleistung verschlechtern würden.
  • Unter Bezugnahme auf den Schritt 11 wird darauf hingewiesen, dass der voranstehende Prozess solange wiederholt wird, bis eine hinreichende Anzahl von SEM-Bildern auf die gleichen Modellparameter angepasst (d.h. angeglichen) worden ist. Dies ist von Bedeutung, da in der Praxis sämtliche Designmuster den gleichen OPC-Prozess durchlaufen müssen, um so eine optimale CD-Steuerung unter den gleichen Belichtungseinstellungen erzielen zu können. Vorzugsweise ist die Anzahl der SEM-Bilder im Vergleich zu den SPIF-erzeugten Konturen hinreichend, um sämtliche mögliche Muster abzudecken, welche bei einem vorgegebenen Halbleiterchip-Maskendesign zu erwarten sind. Für sämtliche Bereiche der Merkmalsabstände und für jeden Merkmalstyp, die die Optimierungsschleife durchlaufen haben, wird das gleiche αi erwartet. Falls das αi nicht zufriedenstellend ist, das bedeutet, die Modellleistung führt zu einem Fehler, der größer ist als eine vordefinierte Toleranz (als Beispiel, der vorhergesagte CD-Fehler ist größer als 4 % der Soll-CD), so sollte die Optimierungsschleife eine Erhöhung von „n" oder einen Wechsel von einer Form von Ψ zu einer anderen Form gestatten, um so mit dem Schritt 1 erneut beginnen zu können.
  • Der voranstehend beschriebene Prozess wird auch als Modelltraining bezeichnet. Die gleiche SPIF-Funktion zusammen mit den entsprechenden Gewichtungskoeffizienten kann hinreichend sein, um zahlreiche Maskengeometrien anzupassen, wie z.B. solche mit unterschiedlichen Zwischenräumen, Merkmalsarten, Merkmalsgrößen, Ausrichtungen etc. Sobald dieses empirische Modell zur Vorhersagen von Fotolackkonturen auf geeignete Weise trainiert worden ist (Schritt 11), wird es dazu eingesetzt, Vorhersagen über ähnliche Maskenmuster zu treffen (es wird auf den „OPC-Prozess" verwiesen). Zum Beispiel kann es in einem Algorithmus verwendet werden, der Maskendaten mit dem Ziel bearbeitet, einen modellbasierte optische Nahkorrektur auszuführen. Wird z.B. eine Zielform vorgegeben, z.B. der ursprüngliche Maskenumriss, bewegt der Algorithmus die Kanten der Maskendaten, um den Fehler zwischen der Fotolackkontur, die von dem trainierten Modell vorhergesagt wurde, und dem Ziel zu verringern. Die modellbasierte OPC wird zu einem besonders wichtigen Verfahren, wenn die Größe der gedruckten Merkmale vergleichbar oder kleiner als die Belichtungswellenlänge ist.
  • Ein Beispiel der Ergebnisse, die durch das voranstehende Verfahren erhalten werden können, sind in den 2B, 2C, 3B, 3C, 4B und 4C gezeigt. Unter Verwendung des voranstehenden Verfahrens wurde insbesondere ein Satz von SPIFs mit entsprechenden Gewichtungskoeffizienten verwendet, um die drei in den 2A, 3A und 4A gezeigten SEM-Bilder anzupassen, wobei 2A ein nicht korrigiertes dichtes Linien Raum – Muster (d.h. mit einer 130 Nanometerlinie bei ≈ 1:1 Linie : Raum) darstellt; 3A ein nicht korrigiertes halb-isoliertes Linien : Raum – Muster darstellt (d.h. mit einer 130 Nanometerlinie bei ≈ 1 : 3,3 Linie : Raum); und 4A ein nicht korrigiertes isoliertes Linien : Raum – Muster darstellt (d.h. mit einer 130 Nanometerlinie bei 1 : 8,8 Linie : Raum). Die 2B, 3B und 4B stellen „korrigierte" Muster jeweils entsprechend den 2A, 3A und 4A dar, die aus der Verwendung eines ersten Satzes von SPIFs und entsprechenden Gewichtungskoeffizienten resultieren, und die 2B, 3B und 4B stellen „korrigierte" Muster jeweils entsprechend den 2A, 3A und 4A dar, die aus der Verwendung eines zweiten Satzes von SPIFs und entsprechenden Gewichtungskoeffizienten resultieren. Allgemein sieht der erste Satz von SPIFs, der bei der in den 2B, 3B und 4B dargestellten Korrektur verwendet wird, eine bessere Korrektur (d.h. eine bessere Übereinstimmung) verglichen mit der Korrektur vor, die unter Verwendung des zweiten Satzes von SPIFs, wie in den 2C, 3C und 4C dargestellt ist, erhalten wird. Beide Korrekturen sehen jedoch eine Verbesserung gegenüber der nicht korrigierten Abbildung vor, da die daraus resultierende Abbildung in sämtlichen „korrigierten" Versionen sehr viel besser dem erwünschten Muster entspricht. Es wird darauf hingewiesen, dass die Musterabbildungen in den 2A2C größer als in den 3A4C erscheinen, da sie einen größeren graphischen Darstellungsbereich besitzen verglichen mit dem graphischen Darstellungsbereich, der in den 3A4C verwendet wird.
  • Sobald die SPIF bestimmt ist, wobei die SPIF das tatsächliche Leistungsvermögen des vorgegebenen Abbildungssystems darstellt, kann die SPIF auf diese Weise entsprechend der vorliegenden Erfindung dazu verwendet werden, Maskendesigns automatisch derart modifizieren (d.h. kalibrieren), so dass die resultierende Abbildung, die auf dem Waver gedruckt wird, genauer der erwünschten Abbildung entspricht. Mit anderen Worten, das Verfahren der vorliegenden Erfindung kann dazu verwendet werden, OPC-Maßnahmen beim Maskendesign automatisch dadurch vorzusehen, dass die verschiedenen zu druckenden Maskenmuster in Übereinstimmung mit der bestimmten SPIF-Funktion modifiziert werden.
  • Es wird ferner darauf hingewiesen, das ein beispielhaftes Kriterium zum Spezifizieren einer Fehlertoleranz (z.B. in Schritt 9) darin besteht, tatsächlich die nicht überlappenden Pixel zwischen den SEM-Konturen (hell) und den vorhergesagten SPIF-Konturen (grau) zu zählen. Anhand der SEM-Bilder ist es möglich, die physikalischen Abmessungen für jedes Pixel abzuleiten. Bei einem, z.B., 2,0 μm × 2,0 μm großen Bereich, der 480 × 480 Abbildungspixel besitzt, entspricht jedes Pixel (4,16 nm)2. Es wird darauf hingewiesen, dass im Hinblich auf die Entwicklung der 130 nm-Technologie es notwendig sein kann, dass der Fehler ein Pixel oder weniger beträgt. Mit anderen Worten, der nicht überlappende Bereich darf nicht mehr als ein Pixel breit sein. Hinsichtlich einer detaillierteren Fehlerspezifikation ist es möglich, einen kleineren Kalibrierungsbereich mit der gleichen Anzahl von Abbildungspixel zu verwenden, z.B. können 480 × 480 Pixel bei einem Bereich von 0,9 μm mal 0,9 μm verwendet werden. Im letzteren Fall stellt jedes Pixel (2 nm)2 dar.
  • Es wird darauf hingewiesen, dass das Verfahren der vorliegenden Erfindung bedeutende Vorteile gegenüber dem Stand der Technik vorsieht. Indem beispielsweise eine autoextrahierte 2D-Kontur gedruckter Wavermuster für die SPIF-Modellkalibrierung und Optimierung verwendet wird, ist es möglich, die tatsächlichen betreffenden Wavermerkmale realistischer darzustellen. Durch genaues Modellieren der Abbildungsleistung des vorgegebenen Abbildungssystems unter Verwendung der System-Pseudointensitätsfunktion ist es zusätzlich möglich, Einstellungen des Maskendesigns automatisch vorzunehmen, wodurch die optischen Naheffekte als auch andere Faktoren, die die Abbildungsleistung verschlechtern, kompensiert werden können. Von großer Bedeutung ist, dass das Verfahren der vorliegenden Erfindung ohne Personen auskommt, die im Hinblick auf die Bildbearbeitung hochqualifiziert sind, um den „Versuchs" – Ansatz zum Korrigieren optischer Naheffekte auszuführen, sowie solche Personen, die auf arbeitsintensive Weise 1D und SEM CD-Messdaten sammeln, die typischerweise verwendet werden. Als solches führt die vorliegende Erfindung zu einer erheblichen Zeit- und Kostenersparnis, die zur Erzeugung eines Maskendesigns notwendig sind. Des Weiteren, indem die tatsächliche 2D-Musterkontur als Eingabe für die Kalibrierung und Optimierung verwendet wird, macht die vorliegende Erfindung Gebrauch von sehr viel „aussagekräftigeren° Dateninformation, um die tatsächliche Wavermuster zu beschreiben, und es werden auch deshalb genauere Modellparameterergebnisse erhalten.
  • 5 stellt schematisch eine lithographische Korrekturvorrichtung dar, die zur Verwendung mit dem Kalibrierungsverfahren der vorliegenden Erfindung geeignet ist. Die Vorrichtung umfasst:
    • – ein Strahlungssystem Ex, IL zum Bereitstellen eines Projektionsstrahls PB aus Strahlung. In diesem besonderen Fall weist das Strahlungssystem ebenso eine Strahlungsquelle LA auf;
    • – einen ersten Gegenstandstisch (Maskentisch) MT, der mit einem Maskenhalter versehen ist zum Halten einer Maske MA (beispielsweise ein Retikel), und der mit einer Positioniereinrichtung verbunden ist zum genauen Positionieren der Maske in Bezug auf den Gegenstand TL;
    • – einen zweiten Gegenstandstisch (substratisch) WT, der mit einem Substrathalter versehen ist zum Halten eines Substrats W (z.B. ein mit Fotolack beschichteter Siliziumwaver), der mit einer zweiten Positioniereinrichtung verbunden ist zum genauen Positionieren des Substrats in Bezug auf den Gegenstand TL;
    • – ein Projektionssystem („Linse") TL (z.B. ein brechendes, catoptrisches oder catadioptrisches optisches System) zum Abbilden eines bestrahlten Abschnittes der Maske MA auf einen Zielabschnitt C (der beispielsweise ein oder mehrere Plättchen aufweist) des Substrats W.
  • Wie hierin dargestellt ist die Vorrichtung vom Transmissionstyp (das bedeutet sie hat eine lichtdurchlässige Maske). Allgemein kann sie jedoch ebenso vom Reflexionstyp sein, z.B. mit einer reflektierenden Maske. Alternativ kann die Vorrichtung eine andere Art Musteraufbringungseinrichtung als Alternative zur Verwendung einer Maske verwenden; Beispiele umfassen eine programmierbare Spiegelanordnung oder LCD-Matrix.
  • Die Quelle LA (beispielsweise eine Quecksilberlampe oder ein Excimer-Laser) zeigt einen Strahl aus Strahlung bzw. Lichtstrahl. Dieser Strahl wird einem Beleuchtungssystem (Illuminator) IL zugeführt, entweder direkt oder nachdem er eine Konditioniereinrichtung, beispielsweise einen Strahlaufweiter Ex, durchlaufen hat. Der Illuminator IL kann eine Einstelleinrichtung AM aufweisen, die die äußere und/oder innere radiale Größe (die herkömmlich als σ-außen bzw. σ-innen bezeichnet wird) der Intensitätsverteilung in dem Strahl einstellt. Zusätzlich weist er allgemein verschiedene andere Bauteile auf, beispielsweise einen Integrator IN und einen Kondensor CO. Auf diese Weise besitzt der auf die Maske MA auftreffende Strahl TB in seinem Querschnitt eine erwünschte Gleichmäßigkeit sowie Intensitätsverteilung.
  • Es sollte in Hinblick auf die 5 beachtet werden, dass die Quelle LA innerhalb des Gehäuses der lithographischen Projektionsvorrichtung vorgesehen sein kann (so wie es oft der Fall ist, wenn die Quelle LA, z.B., eine Quecksilberlampe ist), dass sie allerdings aber auch ausserhalb von der lithographischen Projektionsvorrichtung angeordnet sein kann, wobei der Lichtstrahl, der von dieser erzeugt wird, in die Vorrichtung geleitet wird (z.B. mit Hilfe geeigneter Richtspiegel); dies ist oftmals der Fall, wenn die Quelle LA ein Excimer-Laser ist (beispielsweise basierend auf KrF, ArF oder F2-Laserstrahlung). Die gegenwärtige Erfindung umfasst beides.
  • Der Strahl TB wird im Anschluss von der Maske MA unterbrochen, die auf einem Maskentisch MT gehalten wird. Nachdem er die Maske MA durchlaufen hat, verläuft der Strahl TB durch die Linse PL, die den Strahl TB auf einen Zielabschnitt C des Substrats W fokussiert. Mit Hilfe der zweiten Positioniereinrichtung (und einer interferometrischen Messeinrichtung IF) kann der Substrattisch WT genau bewegt werden, beispielsweise so, um die unterschiedlichen Zielabschnitte C in den Strahlengang TB zu positionieren. Auf ähnliche Weise kann das Positioniermittel dazu verwendet werden, die Position der Maske MA in Bezug auf den Strahlengang PB zu positionieren, z.B. nach mechanischem Zurückholen der Maske MA aus einem Maskenarchiv, oder während einer Scanfolge. Allgemein wird die Bewegung der Gegenstandstische MT, WT mit Hilfe eines Moduls mit langem Hub (grobe Positionierung) und eines Moduls mit kurzem Hub (feine Positionierung) realisiert, die nicht explizit in 23 dargestellt sind. Im Falle eines Waversteppers (im Gegensatz zu einem Schritt-Scan-Werkzeug) kann der Maskentisch MT jedoch lediglich mit einem Aktuator mit kurzem Hub verbunden sein, oder er kann fixiert sein.
  • Das dargestellte Werkzeug kann auf unterschiedliche Arten und Weisen verwendet werden:
    • – in einem Schritt-Modus wird der Maskentisch MT im Wesentlichen stationär gehalten, und die gesamte Maskenabbildung wird auf einmal (bei einer einzelnen „Belichtung") auf einen Zielabschnitt C projiziert. Der Substrattisch WT wird anschließend in X- und/oder Y-Richtung verschoben, so dass ein anderer Zielabschnitt C von dem Strahl TB bestrahlt werden kann;
    • – im Scan-Modus kommt im Wesentlichen die gleiche Abfolge zur Anwendung, außer das ein vorgegebener Zielabschnitt C nicht durch eine einzelne „Belichtung" belichtet wird. Stattdessen wird der Maskentisch MT entlang einer vorgegebenen Richtung (der sog. „Scanrichtung", beispielsweise die Y-Richtung) mit einer Geschwindigkeit v bewegt, so dass der Positionsstrahl TB über eine Maskenabbildung gescant wird; gleichzeitig wird der Substrattisch WT in die gleiche oder die entgegengesetzte Richtung mit einer Geschwindigkeit V = Mv bewegt, wobei M gleich der Vergrößerung der Linse TL ist (normalerweise ist M = ¼ oder 1/5). Auf diese Weise kann ein relativ großer Zielabschnitt C belichtet werden, ohne dass die Auflösung verkleinert werden muss.
    • – eine weitere Variante dieser Optimierung besteht darin, dass sie zur Kalibrierung von 2D-Fotolack-Simulationsvorhersagen sowie 2D-Ätzmuster-Vorhersagen verwendet werden kann.
  • Obwohl bestimmte spezifische Ausführungsformen der vorliegenden Erfindung beschrieben worden sind, so wird darauf hingewiesen, dass die vorliegende Erfindung auf andere Art und Weise realisiert werden kann. Die vorliegenden Ausführungsformen sollten deshalb in jeglicher Hinsicht als beispielhaft und nicht einschränkend verstanden werden, wobei der Bereich der Erfindung durch die beigefügten Ansprüche bestimmt ist.

Claims (16)

  1. Ein Verfahren zur Erzeugung eines Kalibrierungsmodells zur Verwendung in einem Abbildungssystem, wobei das Verfahren die Schritte aufweist von: Definieren eines Satzes von Kalibrierungsmustern, wobei die Kalibrierungsmuster in Datenformat dargestellt sind; Drucken von Bildern der Kalibrierungsmuster auf ein Substrat unter Verwendung des Abbildungssystems; Bestimmen eines ersten Satzes von Konturmustern entsprechend den Bildern der Kalibrierungsmuster, die auf das Substrat gedruckt sind; Erzeugen einer Simulationsfunktion, wobei die Simulationsfunktion die Abbildungsleistung des Abbildungssystems annähert; Bestimmen eines zweiten Satzes von Konturmustern unter Verwendung der Simulationsfunktion, um zu definieren, wie die Kalibrierungsmuster in dem Substrat abgebildet werden; Vergleichen des ersten Satzes von Konturmustern und zweiten Satzes von Konturmustern zur Bestimmung der Differenz zwischen dem ersten Satz von Konturmustern und dem zweiten Satz von Konturmustern; und Einstellen der Simulationsfunktion, bis die Differenz zwischen dem ersten Satz von Konturmustern und dem zweiten Satz von Konturmustern unterhalb eines vordefinierten Kriteriums ist; gekennzeichnet durch Bestimmen eines Positionsversatzes des ersten Satzes von Konturmustern relativ zu den Kalibrierungsmustern und Kompensieren des Positionsversatzes.
  2. Das Verfahren nach Anspruch 1, wobei das Datenformat, welches zur Darstellung der Kalibrierungsmuster verwendet wird, ein Polygon-Datenformat ist.
  3. Das Verfahren nach Anspruch 1 oder 2, wobei der Schritt des Bestimmens des ersten Satzes von Konturmustern entsprechend den Kalibrierungsmustern die Schritte aufweist von: Aufzeichnen der Kalibrierungsmuster, die auf dem Substrat ausgebildet sind, unter Verwendung eines Abtastelektronenmikroskops; und Messen der Kalibrierungsmuster, um so eine zweidimensionale Kontur der Kalibrierungsmuster zu bestimmen, wobei die zweidimensionale Kontur der Kalibrierungsmuster dem ersten Satz von Konturmustern entspricht.
  4. Das Verfahren nach Anspruch 3, wobei das Aufzeichnen der Kalibrierungsmuster das Abbilden von Resistmustern entsprechend der Kalibrierungsmuster aufweist.
  5. Das Verfahren nach Anspruch 3, weiterhin aufweisend den Schritt des Wandelns des ersten Satzes von Konturmustern in ein POLYGON-Datenformat.
  6. Das Verfahren nach Anspruch 1, wobei die Kalibrierungsmuster repräsentativ für Merkmale sind, welche in einer Halbleitervorrichtung zu verwenden sind.
  7. Das Verfahren nach Anspruch 5, wobei der zweite Satz von Konturmustern in dem Polygon-Datenformat ist.
  8. Das Verfahren nach einem der Ansprüche 1 bis 7, wobei der Schritt des Einstellens der Simulationsfunktion das Einstellen eines Gewichtungskoeffizienten aufweist, der der Simulationsfunktion zugehörig ist.
  9. Das Verfahren nach einem der Ansprüche 1 bis 8, wobei der Schritt des Einstellens der Simulationsfunktion das Erzeugen einer neuen Simulationsfunktion aufweist.
  10. Das Verfahren nach einem der Ansprüche 1 bis 9, wobei der Schritt des Bestimmens des ersten Satzes von Konturen das Vergrößern der Kalibrierungsmuster aufweist, die auf dem Substrat abgebildet werden.
  11. Das Verfahren nach einem der Ansprüche 1 bis 10, wobei der Positionsversatz wenigstens einen Rotationsversatz oder einen Versatz relativ zu einer x-y-Koordinatenachse oder einen Skalierungsversatz aufgrund eines Vergrößerungsfehlers enthält.
  12. Das Verfahren nach einem der vorhergehenden Ansprüche, wobei der Schritt des Einstellens der Simulationsfunktion die Einstellung von Koeffizienten der Simulationsfunktion und des Positionsversatzes aufweist.
  13. Ein Verfahren zur Erzeugung einer photolithographischen Maske zur optischen Übertragung eines Musters, das auf einer Maske ausgebildet ist, auf ein Substrat unter Verwendung eines Abbildungssystems, wobei das Verfahren die Schritte aufweist von: Erzeugen eines Kalibrierungsmodells unter Verwendung des Verfahrens nach einem der vorhergehenden Ansprüche; und Verwenden der eingestellten Simulationsfunktion zur Modifizierung der Maske derart, dass eine optimale Näherungskorrektur geschaffen ist.
  14. Ein Computerprogrammprodukt, aufweisend Codierungsmittel, welche, wenn sie von einem Computer durchgeführt werden, den Computer derart steuern, dass ein Kalibrierungsmodell gemäß dem Verfahren nach einem der Ansprüche 1 bis 12 erzeugt wird.
  15. Ein Computerprogrammprodukt, aufweisend Codierungsmittel, welche, wenn sie von einem Computer durchgeführt werden, den Computer steuern, ein Maskenmuster zur optischen Übertragung eines Musters auf ein Substrat nach dem Verfahren gemäß Anspruch 13 zu erzeugen.
  16. Ein Herstellungsverfahren für eine Vorrichtung, aufweisend die Schritte von: Erzeugen einer Maske durch ein Verfahren nach Anspruch 13; Bereitstellen eines Substrats (W), welches zumindest teilweise mit einer Schicht aus strahlungsempfindlichen Material bedeckt ist; Bereitstellen eines Projektionsstrahls (P8) einer Strahlung unter Verwendung eines Abbildungssystems (IL); Verwenden eines Musters auf der Maske (MA), um den Projektionsstrahl in seinem Querschnitt mit einem Muster zu versehen; Projizieren des gemusterten Strahls der Strahlung auf einen Zielabschnitt der Schicht aus strahlungsempfindlichen Material.
DE60214506T 2001-10-09 2002-10-09 Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern Expired - Fee Related DE60214506T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32730201P 2001-10-09 2001-10-09
US327302P 2001-10-09

Publications (2)

Publication Number Publication Date
DE60214506D1 DE60214506D1 (de) 2006-10-19
DE60214506T2 true DE60214506T2 (de) 2007-05-16

Family

ID=23275995

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60214506T Expired - Fee Related DE60214506T2 (de) 2001-10-09 2002-10-09 Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern

Country Status (6)

Country Link
US (2) US7175940B2 (de)
EP (1) EP1329771B1 (de)
JP (1) JP3706364B2 (de)
KR (1) KR100576752B1 (de)
DE (1) DE60214506T2 (de)
TW (1) TWI228642B (de)

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030192015A1 (en) * 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
SG120106A1 (en) * 2002-07-26 2006-03-28 Asml Masktools Bv Automatic optical proximity correction (OPC) rule generation
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7149999B2 (en) * 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
DE10316821A1 (de) * 2003-04-03 2004-10-21 Infineon Technologies Ag Verfahren und Vorrichtung zur Korrektur von Abbildungsfehlern eines optischen Systems sowie eine Verwendung der Vorrichtung
US20050125763A1 (en) * 2003-06-30 2005-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for the online design of a reticle field layout
SG109607A1 (en) * 2003-09-05 2005-03-30 Asml Masktools Bv Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
EP1528429A3 (de) * 2003-10-31 2006-04-12 ASML MaskTools B.V. Optimierung von Strukturen auf Photomasken mittels Simulation des projezierten Musters
EP1530083A3 (de) * 2003-11-05 2006-03-01 ASML MaskTools B.V. Korrektur optischer Naheffekte basiert auf Zerlegung in Eigenfunktionen
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
US7095789B2 (en) * 2004-01-28 2006-08-22 Rambus, Inc. Communication channel calibration for drift conditions
US7400670B2 (en) 2004-01-28 2008-07-15 Rambus, Inc. Periodic calibration for communication channels by drift tracking
US8422568B2 (en) 2004-01-28 2013-04-16 Rambus Inc. Communication channel calibration for drift conditions
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
KR100824031B1 (ko) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
US6961862B2 (en) 2004-03-17 2005-11-01 Rambus, Inc. Drift tracking feedback for communication channels
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US7148496B2 (en) * 2004-04-13 2006-12-12 Massachusetts Institute Of Technology System and method for proximity effect correction in imaging systems
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7653892B1 (en) * 2004-08-18 2010-01-26 Cadence Design Systems, Inc. System and method for implementing image-based design rules
US7434195B2 (en) 2004-09-14 2008-10-07 Asml Masktools B.V. Method for performing full-chip manufacturing reliability checking and correction
JP4593236B2 (ja) * 2004-10-29 2010-12-08 株式会社日立ハイテクノロジーズ 寸法計測走査型電子顕微鏡システム並びに回路パターン形状の評価システム及びその方法
US7713667B2 (en) 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US9070623B2 (en) * 2004-12-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling gate formation for high density cell layout
JP4916116B2 (ja) * 2005-02-01 2012-04-11 株式会社ホロン パターン特定方法およびパターン特定装置
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
KR101275682B1 (ko) * 2005-04-26 2013-06-17 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법 및 반도체 제조용 마스크, 광 근접 처리 방법
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
CN101258498B (zh) * 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070143234A1 (en) * 2005-12-16 2007-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for intelligent model-based optical proximity correction (OPC)
KR100741879B1 (ko) * 2005-12-29 2007-07-23 동부일렉트로닉스 주식회사 반도체 소자의 설계 방법
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7405402B1 (en) * 2006-02-21 2008-07-29 Kla-Tencor Technologies Corporation Method and apparatus for aberration-insensitive electron beam imaging
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8247846B2 (en) * 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8225239B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8225261B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US20070226674A1 (en) * 2006-03-27 2007-09-27 Henning Haffner System and method for semiconductor device fabrication using modeling
EP2267530A1 (de) * 2006-04-06 2010-12-29 ASML MaskTools B.V. Verfahren und Vorrichtung zur Durchführung von Dunkelfeld-Doppeldipollithografie
KR100801738B1 (ko) * 2006-06-28 2008-02-11 주식회사 하이닉스반도체 포토마스크 및 그 형성방법
US8792147B2 (en) * 2006-08-14 2014-07-29 Asml Netherlands B.V. Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
US8370773B2 (en) 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
US8521481B2 (en) * 2006-08-30 2013-08-27 Asml Masktools B.V. Method, program product and apparatus for modeling resist development of a lithography process
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US8305432B2 (en) * 2007-01-10 2012-11-06 University Of Washington Scanning beam device calibration
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US20100098340A1 (en) * 2007-01-15 2010-04-22 Assaf Zomet Method And A System For Lenticular Printing
US8286107B2 (en) * 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
JP5202546B2 (ja) * 2007-02-25 2013-06-05 ヒューマンアイズ テクノロジーズ リミテッド マルチ画像表示を較正および/または視覚化しかつゴーストアーチファクトを低減するためのするための方法およびシステム
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US9035968B2 (en) * 2007-07-23 2015-05-19 Humaneyes Technologies Ltd. Multi view displays and methods for producing the same
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
CN101399244B (zh) * 2007-09-26 2011-05-18 力晶半导体股份有限公司 电路结构及用以定义此电路结构的光掩模
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US20100082313A1 (en) * 2008-03-31 2010-04-01 Edita Tejnil Optical Lithographic Process Model Calibration
US20090288867A1 (en) * 2008-04-15 2009-11-26 Powerchip Semiconductor Corp. Circuit structure and photomask for defining the same
JP2009271261A (ja) * 2008-05-02 2009-11-19 Powerchip Semiconductor Corp 回路構造とそれを定義するためのフォトマスク
US20090276192A1 (en) * 2008-05-05 2009-11-05 Uri Avraham Method and Computer Program Product for Visualizing Feature Model Information
FR2931292A1 (fr) 2008-05-15 2009-11-20 St Microelectronics Rousset Procede de controle en temps reel de la fabrication de circuits integres a l'aide de structures de controle localisees dans l'espace modele opc
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8103983B2 (en) * 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
JP4862096B2 (ja) * 2008-12-26 2012-01-25 株式会社アドバンテスト パターン測定装置及びパターン測定方法
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8620643B1 (en) * 2009-07-31 2013-12-31 Lester F. Ludwig Auditory eigenfunction systems and methods
JP2011082243A (ja) * 2009-10-05 2011-04-21 Panasonic Corp 部品実装装置および部品実装装置における実装状態検査方法
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US20120128228A1 (en) * 2010-11-18 2012-05-24 D2S, Inc. Method for Matching of Patterns
KR101703745B1 (ko) * 2010-12-17 2017-02-08 삼성전자 주식회사 캘리브레이션 패턴을 이용한 포토마스크 형성 방법 및 캘리브레이션 패턴을 포함하는 포토마스크
JP5306391B2 (ja) * 2011-03-02 2013-10-02 株式会社東芝 フォトマスク
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
EP2570854B1 (de) 2011-09-16 2016-11-30 Imec Bestimmung der Form der Beleuchtungsquelle in optischer Lithographie
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
US20130080981A1 (en) * 2011-09-22 2013-03-28 Teng-Yen Huang Method for improving optical proximity simulation from exposure result
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (zh) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 校正光学邻近校正模型的方法
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
JP2014143254A (ja) * 2013-01-22 2014-08-07 Canon Inc 描画データの生成方法、処理装置、プログラム、描画装置及び物品製造方法
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9449196B1 (en) 2013-04-22 2016-09-20 Jasper Design Automation, Inc. Security data path verification
CN103676464B (zh) * 2014-01-07 2016-10-26 上海华虹宏力半导体制造有限公司 建模用光刻图形及其量测方法
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
CN103777460A (zh) * 2014-03-04 2014-05-07 上海集成电路研发中心有限公司 一种提高光学邻近效应修正模型精度的方法
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN111340762B (zh) 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US9984920B2 (en) * 2016-07-11 2018-05-29 International Business Machines Corporation Design-aware pattern density control in directed self-assembly graphoepitaxy process
US10622267B2 (en) 2016-10-04 2020-04-14 Tokyo Electron Limited Facilitation of spin-coat planarization over feature topography during substrate fabrication
WO2018125220A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing opc modeling via machine learning on simulated 2d optical images for sed and post sed processes
US10120973B2 (en) * 2017-03-15 2018-11-06 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
KR102415583B1 (ko) 2017-06-30 2022-07-04 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법
US10293601B2 (en) * 2017-07-11 2019-05-21 The Boeing Company Automated controls for contoured surface inkjet printing
KR20200044524A (ko) * 2018-10-19 2020-04-29 삼성전자주식회사 라인 엔드 보이드 방지를 광 근접 보정 방법 및 이를 이용한 리소그래피 마스크 제조 방법
US10831977B1 (en) * 2019-06-03 2020-11-10 Globalfoundries Inc. Curvilinear mask models
US20210073976A1 (en) * 2019-09-09 2021-03-11 Carl Zeiss Smt Gmbh Wafer inspection methods and systems
CN114521234A (zh) * 2019-09-20 2022-05-20 Asml荷兰有限公司 使用机器学习来生成用于晶片检查的预测图像的系统和方法
US11455436B2 (en) 2020-03-25 2022-09-27 Tokyo Electron Limited Predicting across wafer spin-on planarization over a patterned topography
TW202144978A (zh) 2020-04-01 2021-12-01 瑞典商指紋卡公司 具有校準電路的基於薄膜電晶體(tft)的指紋感測系統

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0236738A3 (de) 1986-02-05 1988-12-21 OMRON Corporation Verfahren zur Dateneingabe einer bestückten gedruckten Referenzleiterplatte für die Bildverarbeitung eines automatischen Prüfgerätes für bestückte gedruckte Leiterplatten
US4878179A (en) * 1987-11-12 1989-10-31 Rockwell International Corporation Interactive diagnostic methodology and apparatus for microelectronic devices
JPH03174716A (ja) 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JPH07253311A (ja) * 1994-03-15 1995-10-03 Fujitsu Ltd パターン検査装置の較正方法、パターン検査方法、パターン位置決定方法、および半導体装置の製造方法
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
JP3631852B2 (ja) * 1995-07-28 2005-03-23 株式会社東芝 二次元回路パターンの発生方法及び発生装置
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JPH10256124A (ja) * 1997-03-12 1998-09-25 Sony Corp 描画パターンデータ作成方法、電子ビーム描画方法、基体加工方法、並びに電子線描画装置
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
JP3047007B2 (ja) * 1997-09-26 2000-05-29 株式会社島精機製作所 画像処理装置
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography

Also Published As

Publication number Publication date
KR100576752B1 (ko) 2006-05-03
JP2003215780A (ja) 2003-07-30
US7820341B2 (en) 2010-10-26
EP1329771A2 (de) 2003-07-23
EP1329771A3 (de) 2003-12-10
US7175940B2 (en) 2007-02-13
US20070117030A1 (en) 2007-05-24
EP1329771B1 (de) 2006-09-06
DE60214506D1 (de) 2006-10-19
KR20030052958A (ko) 2003-06-27
TWI228642B (en) 2005-03-01
JP3706364B2 (ja) 2005-10-12
US20030082463A1 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
DE60214506T2 (de) Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
DE60202230T2 (de) Naheffektkorrektur mittels nicht aufgelöster Hilfsstrukturen in Form von Leiterstäben
DE602004002598T2 (de) Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
DE60208639T2 (de) Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung
DE60306438T2 (de) Verfahren und Vorrichtung zur Zerlegung von Halbleiter-Bauelementmustern in Phasen- und Chromregionen für chromfreie Phasenlithographie
DE602004011860T2 (de) Methode und Vorrichtung für modellgestützte Plazierung phasenbalancierter Hilfsstrukturen für optische Lithographie mit Auflösungsgrenzen unterhalb der Belichtungswellenlänge
DE60112355T2 (de) Verfahren zum Entwurf und Verfahren zur Verwendung einer Phasenverschiebungsmaske
DE60101481T2 (de) Veränderung von layout-daten einer maske zur verbesserung des erzeugten musters
DE102013001962B4 (de) Lithographieprozess
DE60130160T2 (de) Verfahren zur Aberrationsmessung in einem optischen Abbildungssystem
DE60212777T2 (de) OPC-Verfahren mit nicht auflösenden Phasensprung-Hilfsstrukturen
DE102011079382A1 (de) Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
DE19628874A1 (de) Verfahren zur Korrektur eines Maskenmusters und einer Maske, Verfahren zur Belichtung, Vorrichtung dazu und eine Photomasken- und Halbleitervorrichtung, die eine solche verwendet
DE112013004657T5 (de) Metrologie der modellbasierten Positionsbestimmung und der kritischen Dimension
DE102006017938A1 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
DE602004011458T2 (de) Substratverarbeitungsverfahren
DE102008019341A1 (de) Verfahren zur Analyse von Masken für die Photolithographie
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE60219544T2 (de) Methode zur Naheffekt-Korrektur mit teilweise strahlungsdurchlässigen, nicht aufgelösten Hilfsstrukturen
DE102009004392B9 (de) Datenerzeugungsverfahren für Halbleitervorrichtung und Elektronenstrahlbelichtungssystem
DE102018207880A1 (de) Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
DE10230532B4 (de) Verfahren zum Bestimmen des Aufbaus einer Maske zum Mikrostrukturieren von Halbleitersubstraten mittels Fotolithographie
DE10333248B4 (de) Verwendung einer zweiten Belichtung zum Unterstützen einer PSM-Belichtung beim Drucken eines engen Bereichs angrenzend an eine grosse Struktur
DE112005000963B4 (de) Verfahren zum photolithographischen Herstellen einer integrierten Schaltung
DE60305377T2 (de) Methode und Vorrichtung für die Definition von Mustern einer Fotomaske mittels einer Booleschen Kombination der Designdaten mit skalierten Designdaten

Legal Events

Date Code Title Description
8381 Inventor (new situation)

Inventor name: LAIDIG, THOMAS, POINT RICHMOND, CA 94801, US

Inventor name: CHEN, JANG FUNG, CUPERTINO, CA 95014, US

Inventor name: SHI, XUELONG, SANTA CLARA, CA 95054, US

Inventor name: HOLLERBACH, UWE, FRANKLIN, MA 02038, US

Inventor name: WAMPLER, KURT E., SUNNYVALE, CA 94087, US

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee