DE60211940T2 - Integration von stickstoff in einen dielektrischen film mit hohem k - Google Patents

Integration von stickstoff in einen dielektrischen film mit hohem k Download PDF

Info

Publication number
DE60211940T2
DE60211940T2 DE2002611940 DE60211940T DE60211940T2 DE 60211940 T2 DE60211940 T2 DE 60211940T2 DE 2002611940 DE2002611940 DE 2002611940 DE 60211940 T DE60211940 T DE 60211940T DE 60211940 T2 DE60211940 T2 DE 60211940T2
Authority
DE
Germany
Prior art keywords
nitrogen
source
gas
dielectric
pulse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE2002611940
Other languages
English (en)
Other versions
DE60211940D1 (de
Inventor
J. Eric Phoenix SHERO
F. Christophe Phoenix POMAREDE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Application granted granted Critical
Publication of DE60211940D1 publication Critical patent/DE60211940D1/de
Publication of DE60211940T2 publication Critical patent/DE60211940T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12021All metal or with adjacent metals having metal particles having composition or density gradient or differential porosity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12458All metal or with adjacent metals having composition, density, or hardness gradient
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft allgemein dünne dielektrische Filme in integrierten Schaltkreisen und insbesondere die Einbringung von Stickstoff in dielektrische Gate-Schichten mit hohem K-Wert.
  • Hintergrund der Erfindung
  • Der Aufbau integrierter Schaltkreise wird andauernd verkleinert, um einen schnelleren Schaltkreisbetrieb und einen geringeren Energieverbrauch zu erreichen. Verkleinerte Dimensionen bei der Gestaltung von integrierten Schaltkreisen benötigen im Allgemeinen Änderungen in den Herstellungsprozessen.
  • Ein Grundbaustein von integrierten Schaltkreisen ist der Dünnfilmtransistor. Wie aus dem Stand der Technik bekannt ist, enthält der Transistor typischerweise eine Gate-Elektrode, die von einer Halbleiterschicht oder -substrat durch ein dünnes dielektrisches Gate-Material getrennt ist. Obwohl ein allgemeines Acronym für State-of-the-art-Transistoren MOS für Metalloxidsilicium ist, ist das Material der Wahl für die Gate-Elektrode seit langem Silicium anstatt Metall. Unter anderen Vorteilen sind Silicium-Gate-Elektroden in der Lage, Hochtemperaturprozessen zu widerstehen und ermöglichen sich selbst ausrichtende Dotierungsprozesse, die zur Vervollständigung des Transistors verwendet werden, und ersparen somit teure Maskierungsschritte.
  • Dementsprechend werden konventionelle Gate-Elektroden aus Polysilicum, das mit die Leitfähigkeit erhöhenden Verunreinigungen, z. B. Arsen, Phosphor oder Bor dotiert ist, gebildet. Silicium kann durch CVD mit in situ-Dotierung durch Fluss eines Dotierungsmittelquellgases (wie z. B. Arsin, Phosphin, Diboran etc.), im Gleichstrom mit einem Siliciumquellgas (z. B. Silan) abgeschieden werden. Kürzlich hat man sich für die Möglichkeit interessiert, Siliciumelektroden mit Germani um zu dotieren, um dadurch die elektrische Arbeitsfunktion der Transistor-Gate-Elektrode zu verringern. Dementsprechend wird eine verringerte Spannung benötigt, um den Schaltkreis zu betreiben, was zur Erzeugung von weniger Hitze führt. Weiterhin bleibt eine Silicium/Germanium-Gate-Elektrode kompatibel mit den umliegenden Materialien und gegenwärtigen Herstellungsprozessen für integrierte Schaltkreise. Vorschläge zur Bildung von Silicium/Germanium-Schichten beinhalten in situ-Dotierung einer Siliciumschicht durch Bildung von German (GeH4) zusammen mit Silan (SiH4) in einem chemischen Dampfphasenabscheidungsprozess (CVD).
  • Obwohl in situ-CVD-Dotierungsprozesse als wirksam gefunden worden sind, um Silicium/Germanium zu produzieren, wurde festgestellt, dass die Zugabe von German zu dem Silanfluss signifikant die Inkubations- oder Nukleationszeiten über dielektrischen Materialien, insbesondere Oxiden, wie z. B. Siliciumdioxid, und einigen anderen Materialien mit hohem K-Wert, die weiter unten diskutiert werden, erhöhen. Eine ähnlich langsame Nukleation über dielektrischen Materialien tritt auf, wenn andere Gate-Elektroden-Materialien, wie z. B. Polysilicium, und insbesondere Silicium, das in situ durch fließende Dotierungsmittelquellgase (z. B. Diboran, Arsin oder Phosphin) zusammen mit einer Siliciumquelle chemisch in der Dampfphase abgeschieden werden.
  • Langsame Nukleation führt zu längeren Gesamtabscheidungszeiten, geringerem Durchsatz und demzufolge höheren Herstellungskosten. Die Halbleiterindustrie ist sehr empfindlich gegenüber Herstellungskosten. Demzufolge führt jeder Anstieg von Wafer-Durchsatz in jeder Stufe der Herstellung zu verringerten Produktionskosten und höheren Margen.
  • Eine Möglichkeit, mit der SiGe oder andere in situ-dotierte Siliciumabscheidungen erreicht worden sind, ist durch zuerst Bilden einer Nukleierungsschicht, typischerweise Silicium, über dem dielektrischen Gate-Material, gefolgt von Poly-SiGe-Abscheidung. Der zusätzliche Schritt verkompliziert unglücklicherweise den Prozessablauf, benötigt die Einstellung der Dotierungskonzentration an der dielektrischen K-Elektrogrenzfläche, um die gewünschte Arbeitsfunktion für den Transistor sicherzustellen und garantiert nicht notwendigerweise schnelle und einheitliche Nukleierung. Andere kürzliche Arbeiten zur Optimierung von Silicium- und Poly-SiGe-Abscheidungsprozessen haben sich darauf konzentriert, die Abscheidungsgeschwindigkeiten bei gleichzeitiger Beibehaltung der Schichtgleichförmigkeit zu erhöhen. Zum Beispiel beschreiben die US-Patente Nr. 5,607,724, 5,614,257, 5,700,520, 5,874,121 und 5,876,797 Verfahren zur Abscheidung von Polysilicium mit hohen Geschwindigkeiten durch CVD unter Hochdruckbedingungen.
  • Ein anderer Bereich, in dem Prozesssteuerung besonders kritisch ist, ist die Herstellung von Transistor-Gate-Dielektrika. Auf der Suche nach immer schnelleren und effizienteren Schaltkreisen werden Halbleiteranordnungen mit jeder Produktgeneration kontinuierlich verkleinert. Transistorschaltzeiten spielen eine große Rolle auf der Suche nach einem schnelleren Schaltkreisbetrieb. Umgekehrt können Schaltzeiten durch Verringerungen der Kanallänge des Transistors verringert werden. Um maximale Verbesserung in der Transistorleistung zu realisieren, sollten vertikale Dimensionen mit horizontalen Dimensionen skaliert werden. Dementsprechend wird die effektive Dicke von Gate-Dielektrika, Verbindungstiefe etc. bei integrierten Schaltkreisen zukünftiger Generationen kleiner werden. Bis heute hat diese Verkleinerung Gate-Elektrodenweiten auf weniger als 0,25 μm verringert. Gegenwärtig sind kommerzielle Produkte erhältlich, die Gate-Weiten oder kritische Dimensionen von 0,18 μm oder weniger einsetzen.
  • Konventionelle Gate-Dielektrika werden aus Siliciumdioxid hoher Qualität gebildet und werden typischerweise als "Gate-Oxid"-Schichten bezeichnet. Ultradünne Gate-Oxide (weniger als 7 nm) wurden aber gefunden, hohe Defektdichten, einschließlich Pinholes, Charge-Trapping-Zustände zu zeigen, und sind empfindlich für Heißträgerinjektionseffekte. Solche hohen Defektdichten führen zu Leckströmen durch die Gate-Dielektrika und schnellen Vorrichtungszusammenbruch, was für Schaltkreisgestaltungen mit weniger als 0,25 μm Gate-Abstand, d. h. Unter-Viertel-Mikrotechnologie, nicht akzeptabel ist.
  • Während Sorgfalt unter Laborbedingungen verwendet werden kann, um die Defektdichten zu kontrollieren, ist es schwierig, eine solche Kontrolle unter Herstellungskonditionen mit kommerziellem Volumen zu erreichen. Selbst wenn Integrität des Oxids perfekt aufrecht erhalten wird, haben quantenmechanische Effekte fundamentale Grenzen der Verkleinerung von Gate-Oxiden gesetzt. Bei hohen Feldstärken dominiert direktes Tunneln gegenüber Fowler-Nordheim-Tunneln und bestimmt weitgehend die Oxid-Verkleinerungsgrenzen. Diese Verkleinerungsgrenzen sind mit etwa 2 nm für logische Schaltkreise mit etwa 3 nm für mehr lecksensitive Speicherfelder in dynamischen Direktzugriffspeicher-Schaltkreisen (DRAM) abgeschätzt worden. Siehe z. B. Hu et al., "Thin Gate Oxides Promise High Reliability", SEMICONDUCTOR INTERNATIONAL (Juli 1998), Seiten 215 bis 222.
  • Ein anderes Problem mit dünnen Gate-Oxiden ist ihre Empfindlichkeit gegenüber Dotierungsmitteldiffusion aus der darüberliegenden Gate-Elektrode. Eine Polysilicium-Gate-Elektrodenschicht wird typischerweise mit Bor zur Erhöhung der Leitfähigkeit dotiert. Wenn die Gate-Oxid-Schichtdicke verkleinert wird, kann Bor einfach durch das Gate-Oxid penetrieren, was zu Instabilitäten in den Bauteileigenschaften führt. Die Borpenetration in Gate-Dielektrika hat solche unerwünschte Konsequenzen, wie z. B. positive Erhöhungen in der Schwellwertspannung, Erhöhung der Unterschwellwertschwingung, Erhöhungen im Ladungs-Trapping, Verringerungen der Lochmobilität bei niedriger Feldstärke, Verschlechterung der Stromsteuerung aufgrund von Polysiliciumverarmung in p-MOSFETs.
  • Einige Bemühungen, die Nachteile von Siliciumdioxid anzusprechen, beinhalten die Einbringung von Stickstoff in die Gate-Dielektrika. Siliciumnitrid (Si3N4) hat eine höhere Dielektrizitätskonstante als SiO2, was theoretisch dünnere Äquivalent-Oxiddicken für Gate-Elektrika, die nicht tunnelbegrenzt sind, ermöglicht, und weiterhin als effektive Barriere gegen Verunreinigungsdiffusionen dient. Die Grenzflächen zwischen Siliciumnitridfilmen und den darunter liegenden Halbleitersubstraten sind aber im Allgemeinen von schlechter Qualität, was zu hoher Dichte von Ladungs-Trapping-Stellen und Pinholes und entsprechendem Leckstrom führt. Als Konsequenz sind Versuche unternommen worden, SiO2 und Si3N4-Hybride, wie z. B. Siliciumoxynitridfilme zur Verwendung als Gate-Dielektrika zu erzeugen. Konventionelle Verfahren zur Einbringung von Stickstoff in Siliciumoxid-Dielektrika sind aber insbesondere für ultradünne Gate-Dielektrika für Bauteile zukünftiger Generationen schwierig zu kontrollieren.
  • Andere Lösungen für die Verkleinerungsprobleme beinhalten die Verwendung von Materialien mit hoher Dielektrizitätskonstante (hohem K-Wert). Theoretisch eröffnet das Einbringen von Materialien mit hohem K-Wert in die Gate-Dielektrika die Tür zu weiterer Bauteilverkleinerung. Aufgrund der höheren Dielektrizitätskonstante können viele Materialien dieselbe Kapazität wie eine dünnere Siliciumdioxidschicht zeigen, so dass eine niedrigere Äquivalentoxiddicke oder durch Tunneleffekte beschränktes Verhalten erreicht werden können. Einige Materialien mit hohem K-Wert, die gesucht werden, beinhalten Aluminiumoxid (Al2O3), Zirconoxid (ZrO2), Hafniumoxid (HfO2), Bariumstrontiumtitanat (BST), Strontiumbismuthtantalat (SBT), Tantaloxid (Ta2O5) etc. Solche Dielektrika haben eine Dielektrizitätskonstante (oder K-Wert) von mehr als etwa 7. Während diese Materialien eine deutlich erhöhte dielektrische Festigkeit zeigen, sind sie schwierig in existierende Herstellungstechnologien zu integrieren.
  • Ähnliche dünne dielektrische Filme hoher Qualität sind in anderen Zusammenhängen der Herstellung von integrierten Schaltkreisen wünschenswert. Integrierte Kondensatoren in Speicherfeldern müssen eine bestimmte Minimumkapazität für korrekte Datenspeicherung und Wiedergewinnung zeigen. Einige Anstrengungen, um die Kapazität für eine gegebene Speicherzellgröße zu erhöhen, haben sich auf die Verwendung von Materialien, die durch hohe Dielektrizitätskonstanten charakterisiert sind (Materialien mit hohem K-Wert), wie solche, die oben aufgeführt sind, konzentriert.
  • Dementsprechend existiert eine Notwendigkeit für Verbesserungen bei der Integration von dielektrischen Schichten und Leitern in der Halbleiterherstellung, insbesondere bei Grenzflächen in Transistor-Gate-Stapeln.
  • Zusammenfassung der Erfindung
  • Entsprechend eines Aspekts der Erfindung wird ein Verfahren zur Ausbildung einer dielektrischen Schicht mit kontrollierter Variation in der Stickstoffkonzentration durch ihre Dicke bereitgestellt. Dieses Verfahren beinhaltet Abscheidung des dielektrischen Materials auf einem Substrat bei einer Substrattemperatur von weni ger als etwa 500°C. Eine Zuführung von Stickstoff zu dem Substrat wird während der Abscheidung des dielektrischen Materials variiert.
  • In den veranschaulichenden Ausführungsformen bildet die Stickstoffkonzentration zwei voneinander getrennte Stickstoff-Peaks an den Grenzflächen mit einer niedrigeren Stickstoffkonzentration in der dazwischen liegenden Masse des Materials. Die Zufuhr an Stickstoff wird von einem ersten Niveau an Stickstoffzufuhr zu einem zweiten dazwischen liegenden Niveau an Stickstoffzufuhr zu einem dritten Niveau an Stickstoffzufuhr variiert, wobei das zweite Niveau niedriger als sowohl das erste Niveau als auch das dritte Niveau ist.
  • In einer Ausführungsform beinhaltet die Abscheidungsmethode das Bereitstellen einer variablen Zufuhr von angeregten Stickstoffspezies durch einen entfernten Plasmagenerator. In einer anderen Ausführungsform beinhaltet das Abscheidungsverfahren das Variieren der Menge an Stickstoff, die einem Atomlagenabscheidungsprozess (ALD) zugeführt wird. Zum Beispiel wird eine Anordnung bereitgestellt, bei der Stickstoff und Oxidationsmittelquellgase simultan mit variierenden Verhältnissen in unterschiedlichen Zyklen einem ALD-Prozess zugeführt werden.
  • Eine andere Anordnung ist bereitgestellt, bei der ein separater Stickstoffpuls mit unterschiedlicher Frequenzabhängigkeit der Stufe der Abscheidung bereitgestellt wird. Entsprechend eines anderen Aspekts der Erfindung wird eine dielektrische Schicht in einem integrierten Schaltkreis bereitgestellt. Die dielektrische Schicht enthält ein Metalloxid entlang einer Dicke der dielektrischen Schicht, wobei das Metalloxid eine Dielektrizitätskonstante von größer als etwa 7 aufweist. Die dielektrische Schicht beinhaltet eine untere Grenzfläche mit einer ersten Stickstoffkonzentration, ein Massenbereich mit einer zweiten Stickstoffkonzentration, die niedriger ist als die erste Stickstoffkonzentration, und eine obere Grenzfläche mit einer dritten Stickstoffkonzentration, die größer ist als die zweite Stickstoffkonzentration.
  • Kurze Beschreibung der Zeichnungen
  • Die Erfindung wird durch die detaillierte Beschreibung der bevorzugten Ausführungsformen und die anhängenden Zeichnungen besser verstanden, die dazu gedacht sind, die Erfindung zu verdeutlichen, aber nicht zu beschränken und worin:
  • 1 eine schematische Schnittansicht einer exemplarischen Einzelsubstrat-Dampfphasenabscheidungskammer ist.
  • 2A-2C schematische Schnittansichten von Einzelsubstrat-Dampfabscheidungsreaktionskammern sind, die besonders konfiguriert sind, um Atomschichtabscheidungen mit entfernter Plasmaerzeugung entsprechend bestimmter bevorzugter Ausführungsformen zu führen,
  • 3 ein Fließbild ist, das die allgemeine Reihenfolge für die Ausbildung konventioneller Transistor-Gate-Stapel veranschaulicht,
  • 4 ein schematischer Querschnitt eines Teils eines Halbleitersubstrats ist, das die obere Fläche eines Werkstücks entsprechend der bevorzugten Ausführungsformen ist,
  • 5 das Substrat in 4 nach Ausbildung einer dielektrischen Gate-Schicht mit hohem K-Wert über der Substratoberfläche veranschaulicht,
  • 6 eine siliciumhaltige Saatschicht, die direkt über die dielektrische Gate-Schicht aus 5 abgeschieden ist, veranschaulicht,
  • 7 eine siliciumhaltige Masseschicht, die direkt auf der Saatschicht von 6 abgeschieden ist, veranschaulicht,
  • 8 ein schematischer Schnitt eines Transistor-Gate-Stapels ist, der entsprechend bevorzugten Ausführungsformen der Erfindung konstruiert ist,
  • 9 ein theoretisches Auger-Profil der bevorzugten dielektrischen Schicht ist, das die relativen Stickstoffgehaltniveaus entlang der Dicke zeigt,
  • 10 ein exemplarisches Gasflussdiagramm entsprechend einer bevorzugten ALD-Methode für die Abscheidung ultradünner dielektrischer Schichten mit einem kontrollierten Stickstoffgehalt ist, und
  • 11 ein exemplarisches Gasflussdiagramm entsprechend einer anderen bevorzugten ALD-Methode zur Abscheidung ultradünner dielektrischer Schichten mit kontrolliertem Stickstoffgehalt ist.
  • Detaillierte Beschreibung der bevorzugten Ausführungform
  • Während die bevorzugten Ausführungsformen im Zusammenhang mit Transistor-Gate-Stapeln beschrieben sind, wird der Fachmann einfach erkennen, dass die hierin offenbarten Prinzipien in unterschiedlichen Zusammenhängen Anwendungen haben, bei denen Materialien mit hohen K-Werten, vorzugsweise eine graduell veränderliche Zusammensetzung enthalten, insbesondere solche mit speziellen Grenzflächennotwendigkeiten. Ein Beispiel für einen solchen Zusammenhang ist die Bildung von Kondensatoren, die Dielektrika mit hohem K-Wert enthalten, die für Speicherzellen hoher Dichte in Direktzugriffsspeicherfeldern (RAM) vorgeschlagen wurden. Die hierin beschriebenen Verfahren sind insbesondere zur Aufrechterhaltung der Stabilität und Form und der Verhinderung von Diffusion zu oder von Materialien mit hohem K-Wert vorteilhaft.
  • Es wurde festgestellt, dass konventionelle Gate-Elektrodenabscheidung auf Gate-Dielektrika mit hohem K-Wert zu schlechten elektrischen Eigenschaften des resultierenden Bauteils führt. Um die Zuverlässigkeit und Ausbeute integrierter Schaltkreise mit Dielektrika mit hohem K-Wert zu verbessern, stellt die vorliegende Erfindung eine variierende Zusammensetzung für ein Dielektrikum mit hohem K- Wert zur Verfügung, wobei die Stickstoffeinbringung in der Umgebung sowohl der oberen als auch der unteren Grenzflächen am größten ist. Dementsprechend werden zwei Stickstoff-Peaks entlang der Dicke des Dielektrikums erzeugt. Weiterhin stellen die hierin zur Verfügung gestellten Verfahren exzellente Kontrolle durch Variation der Stickstoffzufuhr während der Tieftemperatur-Dielektriumbildung bereit, anstatt von Nitridierungsschritten nach der Bildung und verhindern Diffusionsprobleme, die unausweichlich während Hochtemperaturabscheidung auftreten.
  • Vor der Beschreibung der Verfahren in größerem Detail werden weiter unten zuerst beispielhafte Reaktoren zur Abscheidung von Schichten durch chemische Dampfphasenabscheidung (CVD) oder Atomschichtabscheidung (ALD) beschrieben. Zum Beispiel, obwohl nicht separat veranschaulicht, kann der weiter unten beschriebene ALD-Prozess in einem PulsarTM 2000 ALCVDTM Reaktor durchgeführt werden, der von ASM Microchemistry Oy, Espoo, Finnland, im Handel erhältlich ist.
  • CVD-Reaktor
  • 1 zeigt einen Dampfphasenabscheidungsreaktor 10, einschließlich einer Quarz-Prozess- oder -Reaktionskammer 12, die entsprechend einer bevorzugten Ausführungsform konstruiert ist, und für die die hierin beschriebenen Methoden eine besondere Eignung haben. Obwohl ursprünglich dazu gedacht, epitaxiale chemische Dampfphasenabscheidung (CVD) von Silicium auf einem Einzelsubstrat zu optimieren, haben die Erfinder festgestellt, dass die überlegene Prozesskontrolle auch Eignung für eine Zahl von unterschiedlichen Arten von Dampfphasenabscheidung hat. Zum Beispiel können die hierin weiter unten beschriebenen ALD-Prozesse in dem in 1 veranschaulichten CVD-Reaktor vorzugsweise mit Einstellung des Einlassflansches, um die Vermischung separater Reaktantenpulse vor dem Eintritt in die Reaktionskammer zu verhindern, durchgeführt werden. Weiterhin kann der dargestellte Reaktor 10 sicher und sauber mehrfache Behandlungsstufen nacheinander in derselben Kammer 12 erreichen. Die Basiskonfiguration des Reaktors 10 ist unter dem Handelsnamen EpsilonTM von ASM America Inc., Phoenix, AZ, erhältlich. Es ist aber verständlich, dass die hierin be schriebenen Verfahren auch in einer Anzahl von unterschiedlichen Reaktorkonfigurationen verwendbar sind und Anwendung haben. Es ist am meisten bevorzugt, wenn der EpsilonTM Reaktor aus 1 für plasmaverstärkte oder -unterstützte CVD von dielektrischen Materialien in Übereinstimmung mit den hierin beschriebenen Prozessen verwendet wird. Die Kammer von 1 kann auch für CVD von Elektrodenmaterialien in einem Cluster-Tool zusammen mit einem ALD-Modul (siehe 2A bis 2C) für die ALD von dielektrischen Materialien entsprechend mit hierin weiter unten beschriebenen Prozessen eingesetzt werden.
  • In dem veranschaulichenden Reaktor 10 sind eine Vielzahl von Strahlungsheizquellen außerhalb der Kammer 12 angeordnet, um Wärmeenergie der Kammer 12 ohne merkliche Absorption durch die Quarzwände der Kammer 12 zur Verfügung zu stellen. Während die bevorzugten Ausführungsformen im Zusammenhang mit einem "Kaltwand"-Reaktor zur Verarbeitung von Halbleiter-Wafern beschrieben wird, wird verständlich, dass die hierin beschriebenen Herstellungsverfahren auch im Zusammenhang mit anderen Heiz/Kühlsystemen, wie z. B. solchen, die induktive oder Widerstandsheizung einsetzen, Verwendung finden.
  • Die veranschaulichten Strahlungsheizungsquellen beinhalten eine obere Heizanordnung von langgestreckten Strahlungsheizungselementen 13 des Röhrentyps. Die oberen Heizelemente 13 sind vorzugsweise in beabstandeter paralleler Beziehung zueinander angeordnet und sind im Wesentlichen parallel zu dem Reaktantengasflussweg durch die darunter liegende Reaktionskammer 12. Die untere Heizanordnung enthält ähnliche langgestreckte Strahlungsheizungselemente 14 des Röhrentyps unterhalb der Reaktionskammer 12, die vorzugsweise quer zu den oberen Heizungselementen 13 angeordnet sind. Wünschenswerterweise wird ein Teil der Strahlungswärme diffus in die Kammer 12 durch rauhe spekulare Reflektorplatten (nicht gezeigt) oberhalb bzw. unterhalb der oberen und unteren Lampen 13, 14 reflektiert. Zusätzlich versorgen eine Vielzahl von Spot-Strahlern 15 die Unterseiten der Substratträgerstruktur (weiter unten beschrieben) mit konzentrierter Wärme, um einen Wärmeabsenkeffekt, der durch kalte Trägerstrukturen, die sich entlang dem Boden der Reaktionskammer 12 erstrecken, entgegen zu wirken.
  • Jedes der langgestreckten Heizelemente 13, 14 des Röhrentyps sind vorzugsweise Wolframdrahtlampen mit hoher Intensität mit transparenter Quarzeinhausung, die ein Halogengas, wie z. B. Iod, enthält. Solche Lampen erzeugen Strahlungsheizungsenergie des vollen Spektrums, die durch die Wände der Reaktionskammer 12 ohne erkennbare Absorption durchtritt. Es ist im Bereich der Halbleiterherstellungsausrüstung bekannt, dass die Leistung der verschiedenen Lampen 13, 14 und 15 unabhängig voneinander oder in Zonengruppen als Antwort auf die Temperatursensoren geregelt werden kann.
  • Ein Substrat, das vorzugsweise ein Siliciumwafer 16 umfasst, ist innerhalb der Reaktionskammer getragen auf einer Substratträgerstruktur 18 gezeigt. Es wird darauf hingewiesen, dass während das Substrat der veranschaulichten Ausführungsform ein Einkristall-Siliciumwafer ist, dass der Ausdruck "Substrat" breit zu verstehen ist, so dass er sich auf jede Oberfläche, auf der eine Schicht abgeschieden werden soll, bezieht. Weiterhin sind die hierin beschriebenen Prinzipien und Vorteile ebenso auf die Abscheidung von Schichten über zahlreiche andere Substrattypen, einschließlich ohne Beschränkung auf Glassubstrate, wie z. B. solche, die in Flachbildschirmanzeigen verwendet werden, anwendbar.
  • Die gezeigte Trägerstruktur 18 beinhaltet einen Substrathalter oder Suszeptor 20, auf dem der Wafer 16 liegt, und eine Trägerspinne 22. Die Spinne 22 ist auf einer rotierenden Welle 24 montiert, die sich nach unten durch eine Röhre 26 durch die untere Wand der Kammer erstreckt. Vorzugsweise kommuniziert die Röhre 26 mit einer Quelle für Reinigungs- oder Spülgas, das während des Verfahrens fließen kann, um zu verhindern, dass Prozessgase durch den unteren Bereich der Kammer 12 entfliehen.
  • Eine Vielzahl von Temperatursensoren sind in der Umgebung des Wafers 16 angeordnet. Die Temperatursensoren können eine Reihe von Formen annehmen, wie z. B. optische Pyrometer oder Thermoelemente. Die Zahl und Anordnung der Temperatursensoren wird ausgewählt, um Temperatureinheitlichkeit zu gewährleisten, wie im Lichte der Beschreibung weiter unten für die bevorzugten Temperaturkontrollen zu verstehen ist. Vorzugsweise messen die Temperatursensoren direkt oder indirekt die Temperatur in Positionen in der Nähe des Wafers.
  • In der illustrierten Ausführungsform beinhalten die Temperatursensoren Thermoelemente einschließlich eines ersten oder zentralen Thermoelements 28, das unterhalb des Waferhalters 20 in jeder geeigneten Art und Weise angeordnet ist. Das veranschaulichte zentrale Thermoelement 28 reicht durch die Spinne 22 in die Nähe des Waferhalters 20. Der Reaktor 10 enthält weiterhin eine Vielzahl von sekundären oder peripheren Thermoelementen, die sich ebenfalls in der Nähe des Wafers 16 befinden, einschließlich eines Hauptkanten- oder vorderen Thermoelements 29, eines hinteren Thermoelements 30 und eines seitlichen Thermoelements (nicht gezeigt). Jedes der peripheren Thermoelemente ist innerhalb eines verschiebbaren Rings 32 angeordnet, der den Substrathalter 20 und den Wafer 16 umgibt. Jedes der zentralen und peripheren Thermoelemente ist mit einem Temperaturregler verbunden, der die Leistung der verschiedenen Heizelemente 13, 14, 15 als Antwort der Messungen der Thermoelemente festsetzt. Der verschiebbare Ring 32 kann durch alle geeigneten Mittel befestigt sein. Zum Beispiel liegt der veranschaulichte verschiebbare Ring 32 auf ellbogenförmigen Vorsprüngen 34 auf, die an einem vorderen Kammertrennelement 36 und an einem hinteren Kammertrennelement 38 befestigt sind. Die Trennelemente 36, 38 sind wünschenswerterweise aus Quarz gebildet. In einigen Anordnungen kann das hintere Trennelement 38 weggelassen werden.
  • Die veranschaulichte Reaktionskammer 12 beinhaltet einen Einlass 40 für die Injektion von Reaktanten und Trägergasen und der Wafer 16 kann ebenfalls dadurch eingebracht werden. Ein Auslass 42 befindet sich an der gegenüberliegenden Seite der Kammer 12, wobei die Waferträgerstruktur 18 zwischen dem Einlass 40 und dem Auslass 42 angeordnet ist. Eine Einlasskomponente 50 ist an die Reaktionskammer 12 in einer Art und Weise angepasst, um die Einlassöffnung 40 zu umgeben, und beinhaltet einen horizontalen langgestreckten Schlitz 42, durch den der Wafer 16 eingebracht werden kann. Ein im Allgemeinen vertikaler Einlass 54 erhält Gase von den entfernten Quellen, wie ausführlich weiter unten beschrieben wird, und verbindet diese Gase mit dem Schlitz 52 und der Einlassöffnung 40. Der Einlass 54 kann von dem original EpsilonTM Design für CVD modifiziert werden und kann stattdessen so konfiguriert werden, um den Gasfluss von unterschiedlichen ALD-Vorläuferquellen vor dem Eintreten in die Kammer 12 ge trennt zu halten, um CVD-artige Reaktionen zu vermeiden. Eine Auslasskomponente 56 ist ebenfalls an der Prozesskammer 12 angebracht, so dass die Abgasöffnung 58 mit der Auslassöffnung 42 übereinstimmt und zu der Abgasleitung 59 führt. Die Leitungen 59 wiederum können mit geeigneten Vakuumeinrichtungen (nicht gezeigt) kommunizieren, um Prozessgase durch die Kammer 12 zu ziehen. Eine Pumpe oder ein Ventilator wird vorzugsweise mit eingebracht, um das Ziehen der Prozessgase durch die Kammer 12 zu unterstützen und um die Kammer für Niederdruckprozesse zu evakuieren.
  • Der veranschaulichte Reaktor 10 beinhaltet ebenfalls eine Quelle 60 für angeregte Spezies, die vorzugsweise stromaufwärts von der Kammer 10 angeordnet ist. Die Quelle für angeregte Spezies 60 der veranschaulichten Ausführungsform enthält einen entfernten Plasmagenerator, einschließlich eines Magnetron-Generators und eines Applikators entlang der Gasleitung 62. Ein beispielhafter entfernter Plasmareaktor ist im Handel unter dem Handelsnamen TRW-850 von Rapid Reactive Radicals Technologiy (R3T) GmbH, München, Deutschland, erhältlich. In der veranschaulichten Ausführungsform wird Mikrowellenenergie von einem Magnetron mit einem fließenden Gas in einem Applikator entlang der Gasleitung 62 gekoppelt. Eine Quelle für Vorläufergase 63 ist mit der Gasleitung 62 zur Einbringung in den Generator 60 für angeregte Spezies verbunden. Eine Quelle für Trägergas 64 ist ebenfalls mit der Gasleitung 62 verbunden. Eine oder mehrere weitere Verzweigungsleitungen 52 können ebenfalls für zusätzliche Reaktanten zur Verfügung gestellt werden. Wie aus dem Stand der Technik bekannt ist, können Gasquellen 63, 64 Gastanks, Blubbler etc. in Abhängigkeit von der Form und Flüchtigkeit der Reaktantenspezies umfassen. Jede Gasleitung kann mit einem separaten Massenfluss-Kontrollgerät (MFC) und Ventilen wie gezeigt versehen sein, um die Auswahl von relativen Mengen von Träger und Reaktantenspezies, die in dem Generator für die angeregten Spezies 60 und dann in die Reaktionskammer 12 eingebracht werden, zu ermöglichen. Es wird verstanden, dass in anderen Anordnungen die angeregten Spezies innerhalb oder unmittelbar benachbart zu der Prozesskammer (siehe 2A bis 2C und den entsprechenden Text) erzeugt werden können.
  • Wafer werden vorzugsweise aus der Handhabungskammer (nicht gezeigt), die von der Umgebung getrennt ist, durch den Schlitz 52 mit einer Ladevorrichtung durchgeführt. Die Handhabungskammer und die Prozesskammer 12 sind vorzugsweise durch ein Schleusenventil (nicht gezeigt) von der Art und Weise, wie in US-Patent Nr. 4,828,224 offenbart, voneinander getrennt.
  • Die gesamte Volumenkapazität für eine Einzelwafer-Prozesskammer 12, die zur Verarbeitung von 200 mm Wafern konzipiert ist, beträgt z. B. vorzugsweise weniger als etwa 30 Liter, besonders bevorzugt weniger als etwa 20 Liter und am meisten bevorzugt weniger als etwa 10 Liter. Die veranschaulichte Kammer 12 hat eine Kapazität von etwa 7,5 Litern. Da die veranschaulichte Kammer 12 durch die Trennelemente 36, 38, Waferhalter 20, Ring 32 und das Spülgas, das durch die Röhre 26 fließt, getrennt ist, beträgt aber das effektive Volumen, durch das die Prozessgase fließen, etwa die Hälfte des Gesamtvolumens (etwa 3,77 Liter in der veranschaulichten Ausführungsform). Selbstverständlich kann das Volumen der Einzelwafer-Prozesskammer 12 in Abhängigkeit der Größe der Wafer, für die die Kammer konzipiert ist, diese aufzunehmen, unterschiedlich sein. Zum Beispiel hat eine Einzelwafer-Prozesskammer 12 des veranschaulichten Typs, aber für 300 mm-Wafer, vorzugsweise eine Kapazität von weniger als etwa 100 Liter, besonders bevorzugt weniger als etwa 60 Liter und am meisten bevorzugt von weniger als etwa 30 Liter. Eine 300 mm-Wafer-Prozesskammer hat ein Gesamtvolumen von etwa 24 Litern mit einer effektiven Prozessgaskapazität von etwa 11,83 Litern.
  • Wie erwähnt ist eine Vielzahl von Dampfphasenvorläuferquellen (nicht gezeigt) mit den Einlass 54 über Gasleitungen mit entsprechenden Sicherheits- und Kontrollventilen wie auch mit Massenflussreglern ("MFCs") verbunden, die als Gaspanel koordiniert sind. Die Gasquellen können z. B. eine Quelle für Trägergas (z. B. H2 oder N2), für Metallvorläufer (z. B. ZrCl4, HfCl4, WF6, TiCl4, CuCl etc.), ein Oxidationsmittelquellgas (z. B. O2, O3, O-Radikale, H2O, NO, N2O, N2O etc.), eine Stickstoffgasquelle (z. B. NH3, N2, NO, N2O, Hydrazin etc.), eine Siliciumquelle (z. B. SiH4, Si2H6, Si3H8, Si4H10, DCS, TCS), eine Germaniumquelle (z. B. GeH4), Dotierungsquellen (z. B. Phosphin, Arsin und Diboran) und Ätzmittel zur Reinigung der Reaktorwände und anderer internen Komponenten (z. B. HCl oder NF3/Cl2) sein. Die Dampfphasenquellen können flüssige Reaktantenquellen in einem Blubbler und einer Gasleitung zum Durchblubbern und Tragen von Dampfphasenreaktanten von dem Blubbler in die Reaktionskammer 12 beinhalten.
  • Prozessgase kommunizieren mit dem Einlass 54 entsprechend der in einer zentralen Kontrolleinheit (einschließlich einem Speicher und einem Mikroprozessor) programmierten Anweisungen und werden in die Prozesskammer 12 durch Injektoren verteilt. Nach Durchlauf durch die Prozesskammer 12 werden nicht umgesetzte Prozessgase und gasförmige Reaktionsnebenprodukte über einen Gaswäscher ausgetragen, um für die Umwelt gefährliche Dämpfe vor dem Austritt in die Atmosphäre zu kondensieren.
  • ALD-Reaktoren mit entfernten Plasmakammern
  • 2A veranschaulicht eine Ausführungsform eines ALD- oder ALE-Reaktors 130 mit bestimmten Merkmalen und Vorteilen entsprechend der vorliegenden Erfindung. Die veranschaulichte Ausführungsform beinhaltet eine Reaktionskammer 132, die eine Reaktionszone definiert. Ein Wafer oder Substrat 136 ist innerhalb der Reaktionskammer 132 angeordnet und ist auf einem Aufleger 138 gelagert, das vorzugsweise so konfiguriert ist, um die Kammer 132 zu öffnen und das Substrat 136 in und aus der Reaktionskammer 132 zu bewegen. In einer modifizierten Anordnung kann der Reaktor 130 eine Wafer-Einlass/Auslassöffnung und einen externen Roboter mit einem Roboterarm beinhalten.
  • In der veranschaulichten Ausführungsform werden zwei Vorläufer der Reaktionskammer 132 zugeführt. Der erste Vorläufer wird der Reaktionskammer 132 durch eine erste Vorläuferleitung 140 zugeführt. In ähnlicher Art und Weise wird der zweite Vorläufer der Reaktionskammer 132 durch eine zweite Vorläuferversorgungsleitung 142 zugeführt. Jede Versorgungsleitung ist mit einer Vorläuferversorgungsquelle (nicht gezeigt) und vorzugsweise einer Spülgasquelle (nicht gezeigt) verbunden. Das Spülgas ist ein inertes Gas und kann z. B. Stickstoff oder Argon sein. Das Spülgas kann ebenfalls als Trägergas, um den ersten oder zweiten Vorläufer zu transportieren, verwendet werden. Der Reaktor 130 beinhaltet ebenfalls einen Auslass 144 zur Entfernung von Materialien aus der Reaktionskammer 132.
  • Eine Duschkopfplatte 146 ist innerhalb der Reaktionskammer 132 angeordnet. Vorzugsweise ist die Duschkopfplatte 146 ein einzelnes integrales Element. Die Duschkopfplatte 146 erstreckt sich vorzugsweise über die gesamte Reaktionszone und trennt die Reaktionskammer 132 in eine obere Kammer 148, die eine Plasmakavität 149 definiert und in eine untere Kammer 150. Die Duschkopfplatte 146 definiert zumindest teilweise eine Vielzahl von Durchtritten 152, die die obere Kammer 146 mit der unteren Kammer 150 verbinden. In der veranschaulichten Ausführungsform werden die Durchtritte 152 durch Bereitstellung kleiner Löcher in der Duschkopfplatte 146 bereitgestellt, die im Allgemeinen oberhalb des Substrats 136 angeordnet sind. In dieser Art und Weise verhindert die Duschkopfplatte 146 im Wesentlichen, dass der zweite Vorläufer in die untere Kammer 150 eintritt, bis der Fluss von der zweiten Leitung 142 sich im Allgemeinen oberhalb des Substrats 136 befindet.
  • Wie erwähnt definiert die obere Kammer 148 der veranschaulichten Reaktionskammer 132 die separate Plasmakavität 149 zur Erzeugung von in situ-Radikalen. Solche in situ-Radikale können verwendet werden, um Reaktionen auf der Oberfläche des Substrats zu erleichtern. Um in situ-Radikale zu erzeugen, kann ein Plasma innerhalb der Plasmakavität 149 in verschiedenen Arten und Weisen, wie z. B. durch eine Kondensatorelektrode, die innerhalb oder außerhalb der Plasmakavität angeordnet ist (d. h. ein kapazitiv gekoppeltes Plasma), eine RF-Spule (d. h. ein induktiv gekoppeltes Plasma), Licht, ionisierende Strahlung, Hitze (d. h. erwärmter Wolframdraht kann verwendet werden, um Wasserstoffradikale aus Wasserstoffmolekülen zu bilden) und/oder chemische Reaktionen, um Plasma zu generieren, erzeugt werden.
  • In der Ausführungsform von 2A ist eine Kondensatorelektrode 154 außerhalb der Reaktionskammer 132 und der Plasmakavität 149 angeordnet. Die Duschkopfplatte 146 ist zwischen der Plasmakavität 149 und dem Substrat 146 angeordnet und in der veranschaulichten Ausführungsform wird ebenfalls eine andere Elektrode verwendet. Diese Ausführungsform hat verschiedene Vorteile.
  • Zum Beispiel selbst, wenn die Radikale sehr kurzlebig sind, ist der Weg zu der Wachstumsoberfläche (d. h. das Substrat 136) kurz genug, um deren Verteilung in der Wachstumsreaktion zu garantieren. Ebenfalls kann die obere Kammer 148 groß genug gemacht werden, um notwendigen Raum für die Plasmaerzeugung zur Verfügung zu stellen und ebenfalls das Plasma von der Wachstumsoberfläche zu trennen, um diese vor den zerstörerischen Effekten von hochenergetischen Teilchen und Ladungen in dem Plasma zu schützen.
  • 2B veranschaulicht eine modifizierte Ausführungsform eines Reaktors 160, der ebenfalls eine Plasmakavität 162 einsetzt. In dieser Ausführungsform enthält der Reaktor 160 eine Reaktionskammer 163, die einen Reaktionsraum 164 definiert. Ein Substrat 166 ist innerhalb des Reaktionsraums angeordnet und wird von einem Waferhalter 170 getragen, der erwärmt sein kann. Ein erster Vorläufer wird in die Reaktionskammer über eine erste Versorgungsleitung 172 eingebracht. Vorzugsweise ist die erste Versorgungsleitung 172 und die Reaktionskammer 163 so angeordnet, dass der Fluss des ersten Vorläufers innerhalb der Reaktionskammer im Allgemeinen parallel zu der Reaktionsoberfläche des Substrats 166 ist. Ein Auslass 174 und eine Pumpe (nicht gezeigt) sind vorzugsweise bereitgestellt, um Materialien aus der Reaktionskammer 163 zu entfernen.
  • Der Reaktor 160 kann eine obere Kammer 175 beinhalten, die die Plasmakavität 162 definiert, die in der veranschaulichten Ausführungsform im Allgemeinen oberhalb des Reaktionsraums 164 angeordnet ist. Die obere Kammer 165 definiert die Plasmakavität 162, in der in situ-Radikale erzeugt werden. Um die Radikale zu erzeugen, wird ein zweiter Vorläufer in die Plasmakavität 162 über eine zweite Versorgungsleitung 176 eingeführt. Radikale und andere angeregte Spezies werden aus dem Plasma generiert, das in der oberen Kammer 175 generiert wird. Um das Plasma zu generieren, verwendet die veranschaulichte Ausführungsform eine planare RF TCP-Spule und RF-Abschirmung 177, die von der Plasmakavität 162 über ein Fenster 178, das z. B. aus Quarz hergestellt ist, abgetrennt ist. Ein Beispiel für eine solche planare Induktionsspule ist in Journal of Applied Physics, Band 88, Nr. 7, 3889 (2000) und dem Journal of Vacuum Science Technology, A 19(3), 718 (2001) beschrieben.
  • Die Plasmakavität 162 und der Reaktionsraum 164 sind durch eine Radikal- oder Duschkopfplatte 180 voneinander getrennt. Die Duschkopfplatte 180 definiert vorzugsweise eine Vielzahl von Durchgängen 182, durch die Radikale, die in der Plasmakavität gebildet worden sind, in den Reaktionsraum 164 fließen können. Vorzugsweise ist der Fluss durch die Durchgänge 182 im Allgemeinen zu der Reaktionsoberfläche des Substrats 166 gerichtet. In solchen Ausführungsformen kann der Raum zwischen der Duschkopfplatte 180 und dem Substrat 166 so klein wie einige Millimeter sein. Solch eine Anordnung stellt eine ausreichende Radikalkonzentration an der Waferoberfläche selbst für kurzlebige Radikale bereit.
  • In einigen Ausführungsformen kann Spülgas kontinuierlich der Plasmakavität 162 durch einen Spülgaseinlass 184 zugeführt werden. In solch einer Ausführungsform kann die obere Kammer 175 unter einem im Wesentlichen konstanten Druckregime betrieben werden. In anderen Ausführungsformen können die Duschkopfplatte 180 und die umliegenden Komponenten in Nachbarschaft zu der Reaktionskammer 183 erwärmt werden, entweder als ein Ergebnis des Plasmas auf einer Seite der Duschkopfplatte 180 und/oder eines erwärmten Waferhalters 170 auf der anderen Seite oder durch getrenntes Erwärmen der Duschkopfplatte 180.
  • In einigen Ausführungsformen kann die RF-Leistung verwendet werden, um die Radikalkonzentration im Fluss alternierend zu schalten. In anderen Ausführungsformen kann die Versorgung von Vorläufern zu der Plasmakavität alternierend geschaltet werden. Vorzugsweise existiert ein kontinuierlicher Fluss von der Plasmakavität 162 zu dem Reaktionsraum 164. Kontinuierlicher Fluss von Gasen, d. h. Radikale alternierend mit Inertgas, ist bevorzugt, weil er verhindert, dass der erste Vorläufer im Reaktionsraum 164 darunter die Plasmakavität 162 verunreinigt. Dies ermöglicht die Abscheidung von leitfähigen Verbindungen ohne Funkenbildung. Es existiert ebenfalls vorzugsweise eine positive Druckdifferenz zwischen der Plasmakavität 162 und dem Reaktionsraum 164, wobei der Druck in der Plasmakavität 162 größer ist. Solch eine Ausführungsform unterstützt die Plasmabildung in der oberen Kammer 175.
  • 2C veranschaulicht eine andere modifizierte Ausführungsform eines ALD- oder eines ALE-Reaktors 190, der ebenfalls eine Plasmakavität einsetzt. Gleiche Nummern werden hierin verwendet, um Teile zu bezeichnen, die ähnlich sind zu denen in 2B. In dieser Ausführungsform ist das Plasma in der Plasmakavität 162 kapazitiv gekoppelt. Als solche beinhaltet die veranschaulichte Ausführungsform eine Kondensatorelektrode 192, die in der Plasmakavität 162 oberhalb der Duschkopfplatte 180 angeordnet ist. Diese Anordnung ist ähnlich zu der in 2A gezeigten Anordnung, mit der Ausnahme, dass die Elektrode innerhalb der Reaktionskammer 163 angeordnet ist.
  • Allgemeiner Prozessfluss
  • Bezug nehmend auf 3 wird eine allgemeine Prozesssequenz zur Ausbildung eines Transistor-Gate-Stapels auf einem Halbleitersubstrat gezeigt. Ein Substrat wird zuerst gereinigt 70, und dann wird ein Gate-Dielektrikum 72 abgeschieden. In Übereinstimmung mit den bevorzugten Ausführungsformen, die weiter unten ausführlicher beschrieben werden, enthält das Gate-Dielektrikum wünschenswerterweise ein Material mit hohem K-Wert mit einem hohen Stickstoffgehalt in sowohl der oberen und unteren Grenzfläche und einen niedrigen Stickstoffgehalt in der Masse dazwischen. Nachfolgend der Gate-Dielektrikumabscheidung 72 wird eine Gate-Elektrode 74 abgeschieden, das Gate wird gemustert und geätzt 76 (wie z. B. durch einen konventionellen photolithografischen Prozess). In einigen Anordnungen wird das Substrat einer Source/Drain-Reoxidation nach der Musterbildung und vor der Fortsetzung des Prozesses 78 ausgesetzt, um den integrierten Schaltkreis zu vervollständigen.
  • Unter Bezugnahme auf die 4 bis 8 ist das Ergebnis des obigen Prozesses gezeigt. Unter anfänglicher Bezugnahme auf 4 wird ein Halbleitersubstrat auf oder in einem Werkstück bereitgestellt. Das Halbleitersubstrat 100 enthält typischerweise eine epitaxiale Siliciumschicht auf der oberen Fläche eines intrinsisch dotierten Einkristall-Siliciumwafers, obwohl der Fachmann erkennen wird, dass andere Halbleitermaterialien (z. B. III-V-Materialien) diese ersetzen können.
  • Vor dem veranschaulichten Prozess wird das Substrat gereinigt, um Verunreinigungen, die natürlicherweise auftreten, oder native Oxide auf der Halbleiterstruktur zu entfernen. Üblicherweise wird die Waferreinigung vor dem Gate-Oxidwachstum ex situ vor der Beladung der Prozesskammer mit dem Wafer durchgeführt. Zum Beispiel können Wafer in einem SCl/HF-Feuchtätzbad gereinigt werden. Alternativ kann eine integrierte HF- und Essigsäuredampfreinigung in einem benachbarten Modul innerhalb eines Cluster-Tool durchgeführt werden, wodurch Transportzeiten und die Möglichkeit für die Wiederverunreinigung oder Reoxidation verringert werden. In einigen Anwendungen wird das durch Reinigung gebildete Oxid, das nach dem SCl-Schritt zurück bleibt, nicht entfernt und anstatt als anfängliche Oxidschicht verwendet. Bei anderen Möglichkeiten kann ein Wasserstoffätzungsschritt innerhalb der Kammer durchgeführt werden, um native Oxide zu sublimieren. Geringe Mengen an HCl-Dampf können diesem Schritt zugesetzt werden, um die Reinigung von Metallverunreinigungen und dergleichen während der Erwärmung unter Wasserstoff zu unterstützen. In einer anderen Anordnung können Plasmaprodukte, die in situ-Reinigung unterstützen oder durchführen, wie z. B. der Ersatz von Wasserstoffgas durch H-Radikale.
  • Entweder nach der ex situ-Reinigung oder vor der in situ-Reinigung wird der Wafer oder andere Substrate in die Prozesskammer geladen. Die Reinigung von nativen Oxiden tendiert dazu, wasserstoffterminierte Oberflächen zurück zu lassen, was vorteilhafterweise die spontane Reoxidation durch Aussetzen an die Reinraumumgebung oder anderen Quellen von Oxidationsmitteln inhibiert. Es kann notwendig sein, eine solche Wasserstoffterminierung vor weiteren Prozessen zu desorbieren.
  • Unter Bezugnahme nun auf 5 wird eine dielektrische Schicht 110 mit hohem K-Wert auf dem Substrat 100 gebildet. Bevorzugte Verfahren zur Bildung der dielektrischen Schicht mit hohem K-Wert werden detailliert weiter unten beschrieben.
  • Bezug nehmend nun auf die 6 bis 7 wird eine Saat- oder Benetzungsschicht 115 optional direkt über die gereinigte Oberfläche des Gate-Dielektrikums 110 abgeschieden, gefolgt von einer siliciumhaltigen Schicht 120 in Masse über der siliciumhaltigen Saatschicht 115. Mit oder ohne die Saatschicht findet die Ab scheidung vorzugsweise innerhalb des bevorzugten Einzelwafer-CVD-Reaktors der 1 statt, der unter dem Handelsnamen EpsilonTM von ASM America, Phoenix, AZ, erhältlich ist. Falls eingesetzt, ist die Benetzungsschicht im Wesentlichen nicht unterscheidbar von der darüber liegenden Schicht 120 und ist typischerweise in der endgültigen Struktur (8) nicht erkennbar.
  • Die Gate-Elektrode 115, 120 enthält vorzugsweise Silicium und ist CVD-abgeschieden. Zum Beispiel kann die Gate-Elektrode ein CVD-Polysilicium dotiert oder undotiert oder eine Silicium/Germanium-Legierung, vorzugsweise der Form Si1-xGex enthalten. Die Gate-Elektrodenbildung umfasst typischerweise zwei Stufen, wie in den 6, 7 veranschaulicht, eine Saatphase und eine Massenphase. Die Siliciumsaat- oder Benetzungsschicht 115 dient dazu, die Nukleierung im Vergleich zu der direkten Abscheidung von SiGe zu verbessern. Falls eingebracht, können die Bedingungen in der Saatphase so eingestellt werden, um die chemische Reduktion der darunter liegenden Schicht mit hohem K-Wert zu minimieren. Das veranschaulichte Material mit hohem K-Wert enthält HfO2 oder ZrO2, die als besonders empfindlich gegenüber Reduktion während konventioneller Polysilicium- oder Poly-SiGe-Abscheidung befunden wurde. Eine Saatschichtabscheidung zur verringerten Reduktion ist in US-Provisional Patentanmeldung Nr. 60/279,256, eingereicht am 27. März 2001, mit dem Titel "INTEGRATION OF HIGH K GATE DIELECTRIC" beschrieben. Bedingungen können in der Massenphase so eingestellt werden, um die Abscheidungsgeschwindigkeit im Vergleich zu der des vorhergehenden Schritts zu erhöhen.
  • Wie aber weiter unten erwähnt wird, haben die bevorzugten Dielektrika 110 mit hohem K-Wert einen relativ hohen Stickstoffgehalt an der oberen Grenzfläche. Dementsprechend kann die Reduktion der Dielektrika mit hohem K-Wert ausreichend verhindert werden, um spezielle Saatprozesse zur Verringerung der Reduktion zu vermeiden. Weiterhin kann der hohe Stickstoffgehalt an der oberen Grenzfläche ebenfalls die nachfolgende Nukleierung der Gate-Elektrode verbessern (z. B. Si, SiGe und insbesondere in situ-dotiertes Si und SiGE). Dementsprechend kann die Saatphase weggelassen werden.
  • Nach Vervollständigung des Gate-Stapels folgen weitere Verfahrensschritte, um den integrierten Schaltkreis zu vervollständigen. Zum Beispiel sind Gate-Stapel typischerweise durch Deckenabscheidung eines Dielektrikums und Abstandshalterätzung isoliert. Transistoraktive Bereiche werden dann dotiert, um Source- und Drainbereiche auf jeder Seite der gemusterten Elektroden auszubilden und Verdrahtungs- oder "back end"-Prozesse vervollständigen den Schaltkreis.
  • 8 veranschaulicht einen Transistor-Gate, der durch Musterbildung und Ätzen der oben beschriebenen Schichten gebildet wird. Insbesondere ist ein Halbleitersubstrat 200 mit einem darauf gebildeten Transistor-Gate-Stapel 210 gezeigt. In der veranschaulichten Ausführungsform enthält das Substrat 200 einen oberen Teil eines Einkristall-Siliciumwafers, obwohl der Fachmann erkennen wird, dass das Substrat ebenfalls andere Halbleitermaterialien enthalten kann. Der Gate-Stapel 210 beinhaltet ein Polysilicium oder Poly-SiGe mit Elektrodenschicht 220. Seitenwandabstandshalter 230 und eine isolierende Schicht 200 schützen und isolieren die Elektrode 220 in einer konventionellen Art und Weise. Ebenfalls ist eine stärker leitfähige Zusammenschaltungsschicht 250, die typischerweise Metall enthält, über der siliciumhaltigen Gate-Elektrodenschicht 220 veranschaulicht. Die Verschaltung 250 ermöglicht schnellen Signaltransport zwischen Transistor-Gates entlang des Wafers, wodurch die Gates mit logischen Schaltkreisen verknüpft werden.
  • Ein Gate-Dielektrikum 260, das durch die beispielhaften Prozesse, die weiter unten beschrieben werden, gebildet wird, trennt die Gate-Elektrode 220 von dem Substrat 200. Wie in dem Hintergrundbereich weiter oben ausgeführt, ist das Gate-Dielektrikum 260 ein kritisches Merkmal auf der Suche von dichteren und schnelleren Schaltkreisen.
  • Es wird darauf hingewiesen, dass Transistoren für integrierte Schaltkreise eine Vielzahl von Formen aufweisen können, die nicht alle die der 8 wiedergeben. Eine dielektrische Schicht mit graduell veränderlicher Zusammensetzung der bevorzugten Ausführungsformen hat aber Anwendung für dielektrische Gate-Schichten in einer Vielzahl von Transistortypen (z. B. Heteroverknüpfungs-BiCMOS-Transistoren), wie auch für eine Vielzahl von anderen ultradünnen die lektrischen Schichten mit anderen Funktionen innerhalb von integrierten Schaltkreisen (z. B. Kondensatordielektrikum, Tunneldielektrikum etc.).
  • Bevorzugte Dielektrika mit hohem K-Wert
  • Wie in dem Hintergrundabschnitt ausgeführt, werden Materialien mit hohem K-Wert im Allgemeinen aus Metalloxiden mit K-Werten von größer als etwa 7, einschließlich Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO2), Hafniumoxid (HfO2), Tantaloxid (Ta2O5), Bariumstrontiumtitanat (BST), Strontiumbismuthtantalat (SBT) und Lanthanidoxide gebildet. Die zuletzt aufgeführten Dielektrika beinhalten Oxide von solchen physikalisch stabilen seltenen Erdelementen wie Scandium (Sc), Yttrium (Y), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm), Ytterbium (Yb) und Lutetium (Lu). Die Materialien mit hohem K-Wert der bevorzugten Ausführungsformen können jedes der oben erwähnten Materialien erhalten. Am meisten bevorzugt enthalten Materialien mit hohem K-Wert, die in die dielektrischen Schichten der veranschaulichten Ausführungsformen eingebracht sind, Oxide der Gruppe-4-Metalle, insbesondere Zirconoxid (ZrO2), Hafniumoxid (HfO2) und haben eine Dielektrizitätskonstante von größer als etwa 10.
  • Die bevorzugten dielektrischen Schichten mit hohem K-Wert beinhalten Materialien mit hohem K-Wert entlang der Dicke der Schicht und weiterhin beinhalten sie eine kontrollierte Menge und Verteilung einer Verunreinigung, insbesondere Stickstoff. Vorzugsweise ist die Verunreinigung in einem größeren Ausmaß in die obere und untere Grenzfläche des dielektrischen Materials eingebracht und wird während des Abscheidungsprozesses eingebracht. Daher werden zwei unterschiedliche Stickstoff-Peaks entlang der Dicke des Dielektrikums bereitgestellt.
  • 9 veranschaulicht ein theoretisches Auger-Profil, das den Stickstoffgehalt entlang der Dicke des bevorzugten Dielektrikums mit hohem K-Wert zeigt. Die linke Seite des Graphs stellt die obere Grenzfläche zwischen dem Dielektrikum und der darüber liegenden Gate-Elektrode dar und zeigt einen Verunreinigungskonzentrations-Peak von X. Die rechte Seite des Graphs stellt die untere oder Bodengrenzfläche zwischen dem Dielektrikum und der Halbleitersubstratoberfläche dar und zeigt einen Verunreinigungskonzentrations-Peak von Y. Ein Massenbereich der Schicht zwischen den zwei separierten Verunreinigungskonzentrations-Peaks hat ein minimales Verunreinigungskonzentrationsniveau, das im Allgemeinen durch Z wiedergegeben ist.
  • Vorzugsweise enthält die obere Grenzfläche des Dielektrikums zwischen etwa 1 % und 10 % Atomverhältnisse an Stickstoff und ist vorzugsweise zwischen etwa 5 Å und 20 Å dick, besonders bevorzugt zwischen etwa 5 Å und 10 Å. Typischerweise führt ein höherer Stickstoffgehalt in den Metalloxiden zu einem höheren amorphen Gehalt. Vorteilhafterweise dient die Deckgrenzfläche dazu, die Masse des Materials mit hohem K-Wert vor der Diffusion von Verunreinigungen (z. B. elektrische Dotierungsmittel, wie z. B. Bor) aus der darüber liegenden Gate-Elektrode zu schützen und Silicidierungsreaktionen zwischen der darüber liegenden Gate-Elektrode und dem Material mit hohem K-Wert zu inhibieren, um dadurch die thermische Stabilität des Materials mit hohem K-Wert aufrecht zu halten, insbesondere während nachfolgender Source/Drain-Reoxidation. Weiterhin vereinfacht der Stickstoffgehalt in der oberen Grenzfläche wünschenswerterweise die Nukleierung während der Abscheidung der darüber liegenden Gate-Elektrode, insbesondere SiGe, um dabei der Diffusion von Reduktionsmitteln (z. B. Wasserstoff) während einer solchen Abscheidung zu widerstehen. Reduktionsmittel können nachteiligerweise das Dielektrikum mit hohem K-Wert zu metallischen Leitern umwandeln und elektrische Leckwege durch das Dielektrikum bewirken.
  • Die Masse des Dielektrikums enthält vorzugsweise weniger als etwa 0,1 % (Atomverhältnis) Stickstoff, besonders bevorzugt weniger als etwa 0,01 % Stickstoff, und für die veranschaulichte Gate-Dielektrikum-Funktion beträgt die Dicke zwischen etwa 20 Å und 30 Å. Vorteilhafterweise verbessert ein niedriger Stickstoffgehalt in der Masse des veranschaulichten Materials mit hohem K-Wert die Gesamtfilmqualität. Im Wesentlichen reine Metalloxide (z. B. ZrO2 oder HfO2) in der Masse verhindern unterkoordinierte freie Bindungen, die anderenfalls Ladungs-Trap-Stellen bilden können.
  • Die untere Grenzfläche enthält vorzugsweise mehr als etwa 0,1 % (Atomverhältnis) Stickstoff, besonders bevorzugt zwischen etwa 0,1 % und 1 % Stickstoff. Vorzugsweise hat die untere Grenzschicht mit diesem Gehalt eine Dicke zwischen etwa 5 Å und 10 Å, besonders bevorzugt näher zu 5 Å. Der Stickstoffgehalt an dieser unteren Grenzfläche verbessert vorteilhafterweise die Grenzflächenzuverlässigkeit des Substrats und verhindert Oxidation des Substrats während der Abscheidung des Materials mit hohem K-Wert und nachfolgende Verfahrensschritte. Eine solche Oxidations kann nachteilig die effektive Dielektrizitätskonstante (K-Wert) des Gate-Dielektrikums verringern. Der Stickstoffgehalt ist aber niedriger als der der oberen Grenzfläche, um das Auftreten von Grenzflächen-Trap-Stellen zu verringern, die durch Stickstoff an der Substratgrenzfläche induziert werden können. Vorzugsweise beträgt der Stickstoffgehalt in der oberen Grenzfläche mehr als etwa fünf Mal des Stickstoffgehalts der unteren Grenzfläche und ist besonders bevorzugt etwa eine Größenordnung höher.
  • Die obige Beschreibung ist besonders geeignete für Transistor-Gate-Dielektrika-Anwendungen, in denen die stickstoffhaltigen Schichten mit hohem K-Wert vorzugsweise eine Dicke zwischen etwa 20 Å und 60 Å aufweisen. Die gewünschten Dielektrika mit hohem K-Wert haben auch andere Anwendungen in integrierten Schaltkreisen. Zum Beispiel für dynamische Direktzugriffsspeicher (DRAM)-Anwendungen kann eine dickere Schicht mit hohem K-Wert eingesetzt werden, wie z. B. mit einer Dicke von etwa 150 Å bis 200 Å mit ultradünnen stickstoffhaltigen Grenzflächen. Vorteilhafterweise kann eine obere stickstoffhaltige Grenzfläche wie oben beschrieben das Material mit hohem K-Wert in nachfolgenden Prozessschritten stabilisieren. In Abhängigkeit von dem darunter liegenden Elektrodenmaterial kann eine untere stickstoffhaltige Grenzfläche für ein Dielektrikum für einen DRAM-Kondensator weggelassen werden.
  • Bevorzugte Prozesse zur Ausbildung von Schichten mit hohem K-Wert mit stickstoffhaltigen Grenzflächen
  • Das Material mit hohem K-Wert wie oben beschrieben kann durch jeden geeigneten Prozess, einschließlich PVD, CVD, MOCVD, ALD etc. abgeschieden werden. In den bevorzugten Ausführungsformen wird Stickstoffeinbringung wünschens werterweise in einer kontrollierten Art und Weise während der Abscheidung erreicht.
  • Üblicherweise werden dielektrische Siliciumoxid-Gate-Filme durch thermische Oxidation des darunter liegenden Siliciumsubstrats hergestellt. Um Stickstoff einzubringen, können stickstoffhaltige Gase dem Hauptsauerstoffstrom zugesetzt werden und/oder Behandlung nach der Abscheidung kann mit stickstoffhaltigen Gasen oder Stickstoffimplantierung kann durchgeführt werden. Solche Verfahren können entweder Stickstoff in das Oxidmaterial einbringen, um Siliciumoxynitrid (SiOxNy) oder eine Si3N4-Schicht über dem Oxid zu bilden. In anderen Anordnungen werden Oxide, die entweder abgeschieden sind oder thermisch wachsen, später zur Stickstoffeinbringung durch Diffusion behandelt. In wiederum anderen Anordnungen wird Stickstoffeinbringung während konventionellem thermischen CVD variiert und wird bei relativ hohen Temperaturen durchgeführt und Zwischendiffusion findet unweigerlich statt. In allen den Erfindern bekannten Verfahren nach dem Stand der Technik ist es schwierig, den Stickstoffgehalt in dem Film zu kontrollieren, insbesondere für gegenwärtige und zukünftige Generationen von integrierten Schaltkreisvorrichtungen, worin dielektrische Gate-Materialien sehr dünn sind (d. h. weniger als 7 nm). Für solche ultradünne Dielektrika können konventionelle Verfahren zum Einbringen von Stickstoff in ein Gate-Dielektrikum nicht effektiv kontrolliert werden, um zwei voneinander getrennte Stickstoff-Peaks an beiden Grenzflächen bereit zu stellen, ohne auch hohe Stickstoffgehalte in der Masse des Dielektrikums zu erzeugen.
  • Zwei Ausführungsformen werden weiter unten hierin beschrieben: CVD mit variabler Stickstoffeinbringung durch entfernt generierte Stickstoffradikale und ALD mit variabler Stickstoffeinbringung durch Variation der Bestandteile der mehreren Zyklen. ALD kann wahlweise ebenfalls Stickstoffradikale in der kontrollierten Art und Weise, wie im Zusammenhang mit der CVD-Ausführungsform beschrieben, einsetzen. Vorteilhafterweise profitieren beide Ausführungsformen von der Abscheidung bei niedriger Temperatur, was hilft, um das gewünschte Stickstoffprofil aufrecht zu halten. Vorzugsweise sind die Abscheidungstemperaturen unterhalb von etwa 500°C und besonders bevorzugt unterhalb von etwa 400°C.
  • CVD-Abscheidung von Materialien mit hohem K-Wert mit stickstoffhaltigen Grenzflächen
  • Entsprechend einem Aspekt der vorliegenden Erfindung wird ein Material mit hohem K-Wert mit variierendem Stickstoffgehalt im Verlauf des Abscheidungsprozesses während der chemischen Dampfphasenabscheidung (CVD) abgeschieden. Stickstoff wird mittels einer entfernten Plasmaquelle 60 zugeführt, z. B. eine solche, die unter Bezugnahme auf 1 beschrieben worden ist. Die Menge an Stickstoff, die in die Schicht eingebracht wird, wie sie abgeschieden ist, wird zumindest teilweise durch Variation der Zufuhr der Stickstoffradikale, die durch die entfernte Quelle generiert werden, variiert.
  • Es wird darauf hingewiesen, dass dieses Konzept Anwendung für eine Vielzahl von Abscheidungstechniken hat. Vorzugsweise werden Metalloxide mit hohem K-Wert durch eine Form von CVD abgeschieden, die metallorganische Vorläufer verwendet (MOCVD). Vorteilhafterweise tendieren organische Metallquellengase dazu, sich bei relativ niedrigen Temperaturen zu zersetzen. Als bevorzugte Materialien wird eine Dampfphase mit organischen Quellen von Hafnium oder Zirconium simultan mit einer Dampfphasenquelle für Sauerstoff bereitgestellt. Stickstoff wird in Form von Stickstoffradikalen aus einer entfernten Plasmaquelle, die vorteilhafterweise ebenfalls Niedrigtemperaturreaktionen ermöglicht, bereitgestellt.
  • Vorzugsweise wird das Verhältnis von Stickstoffradikalen oder angeregten Spezies zu Sauerstoff während des Prozesses so variiert, dass es höher ist in anfänglichen Schritten (was die untere Grenzfläche repräsentiert), niedrig bis Null während dazwischen liegenden Schritten (was die dielektrische Masse repräsentiert) und wiederum höher bei den letzten Schritten (was die obere Grenzfläche repräsentiert). Der Stickstoffgehalt in dem abgeschiedenen Material mit hohem K-Wert wird dadurch in kontrollierter Art und Weise variiert, was zwei separate Stickstoff-Peaks (siehe z. B. 9) ermöglichen.
  • Die relative Menge von Stickstoff kann in einer unterschiedlichen Art und Weise variiert werden. In einer ersten Anordnung wird der Fluss von Stickstoffquellgas (z. B. Ammoniak, zweiatomigem Stickstoff oder Hydrazin) durch den entfernten Plasmagenerator variiert, so dass er am höchsten ist, während der letzten Stufen der Abscheidung, auf einem mittleren Niveau während der anfänglichen Stufen der Abscheidung und am niedrigsten (z. B. Null) während dazwischen liegenden Stufen der Abscheidung.
  • In einer zweiten Anordnung wird der Fluss des Trägergases durch den entfernten Plasmagenerator variiert. Der Fachmann erkennt, dass solche Variationen einen nicht linearen Effekt auf die Menge der erzeugten Stickstoffradikale haben. Ein höherer Trägergasfluss kann eine dichtere Plasmabildung unterstützen und somit eine größere Stickstoffradikalkonzentration bis zu einem Punkt, aber Verdünnung aufgrund des größeren Gesamtgasflusses während des CVD-Prozesses kann die Stickstoffeinbringung in den abgeschiedenen Film verringern.
  • In einer dritten Anordnung werden sowohl Sauerstoffquell- als auch Stickstoffquellgase durch den entfernten Plasmagenerator bereitgestellt. Durch rampenförmige Zuführung von Leistung zu dem entfernten Plasmagenerator können die relativen Geschwindigkeiten der Dissoziation, und dadurch die relative Bildung von N-Radikalen im Vergleich zu O-Radikalen verändert werden. Zum Beispiel wird bei Verwendung von N2 als Stickstoffquelle und O2 als Sauerstoffquelle mit zunehmender Leistung bis zu einem Punkt auch die Dissoziation beider Quellen erhöht, wenn die Leistung weiter erhöht wird, bleibt die Geschwindigkeit der O2-Dissoziation konstant, während die Geschwindigkeit der N2-Dissoziation mit ansteigender Leistung weiter ansteigt. Alternativ, wenn die Sauerstoffquelle separat zugeführt wird und nur die Stickstoffquelle und das Trägergas in dem entfernten Plasmagenerator zugeführt wird, wird die Änderung der Leistung, die dem entfernten Plasmagenerator zugeführt wird, die Menge an angeregten Stickstoffspezies, die dem CVD-Prozess zugeführt werden, in einer einfachen Art und Weise variieren. In einem Beispiel kann die Mikrowellenleistung, die einem entfernten Plasmaprozessor zugeführt wird, zwischen etwa 800 W und 2 kW variiert werden.
  • ALD-Abscheidung von Materialien mit hohem K-Wert mit stickstoffhaltigen Grenzflächen
  • Entsprechend einer anderen bevorzugten Ausführungsform wird das Material mit hohem K-Wert durch einen Atomschichtabscheidungsprozess (ALD) abgeschieden. ALD ist ein chemisch sich selbst beschränkender Prozess, wobei alternierende Pulse von Reaktionsvorläufern ein Substrat sättigen und nicht mehr als eine Monoschicht an Material pro Puls zurück lassen. Die Vorläufer sind so ausgewählt, um selbstsättigende Reaktionen sicherzustellen, weil wenigstens ein Puls pro Zyklus chemisch sorbierte Spezies mit einer Oberflächenterminierung zurücklässt, die nicht reaktiv ist mit den Gasphasenreaktanten desselben Pulses. Eine solche Terminierung verbleibt aufgrund von "Resten" oder Liganden der Quellchemikalie, wie z. B. organische Reste oder Halogenidreste, zurück. Ein darauf folgender Puls unterschiedlicher Reaktanten reagiert mit den Resten, die auf der chemisch sorbierten Schicht eines vorgehenden Pulses verblieben sind, um eine fortlaufende Abscheidung zu ermöglichen. Daher hinterlässt jeder Zyklus der alternierenden Pulse nicht mehr als etwa eine molekulare Schicht des gewünschten Materials. Die Prinzipien von ALD-Typ-Prozessen sind von T. Suntola, z. B. im Handbook of Crystal Growth 3, Thin Films and Epitaxy, Teil B: Growth Mechanism and Dynamics, Kapitel 14, Atomic Layer Epitaxy, Seiten 601 bis 663, Elsevier Science B.V., 1994, vorgestellt worden. In einer Anordnung wird die Abscheidung in einem PulsarTM 200-Reaktor (im Handel erhältlich von ASM Microchemistry, Espoo, Finnland) durchgeführt, der für ALD-Prozesse entwickelt wurde. In einer anderen Anordnung können die ALD-Vorrichtungen der 2A bis 2C mit oder ohne Anwendung von Plasmaleistung auf die obere Kammer eingesetzt werden.
  • ALD erleichtert die Bildung von dünnen Filmen in Monoschichten. In der Tat existiert Kontrolle in einer kleineren als Monoschichtskala aufgrund der sterischen Hinderung von sterisch anspruchsvollen chemischen Molekülen der Quelle, wodurch weniger als eine Monoschicht pro Zyklus erzeugt wird. Die Fähigkeit der Schichtenbildung von atomar dünnen Monoschichten ermöglicht die Ausbildung von präziseren Konzentrationsgradienten von der unteren Oberfläche (z. B. Gate- Dielektrikum/Si-Substratgrenzfläche) zu der oberen Oberfläche (z. B. Gate-Elektrode/Gate-Dielektrikum-Grenzfläche).
  • Dementsprechend kann jede diskrete Monoschicht (oder partielle Monoschicht) durch selektives Einbringen der erwünschten Chemie für jede abzuscheidende Monoschicht maßgeschneidert werden. Zum Beispiel reagiert mittels ALD eine bestimmte Kombination von eingebrachten Gasen mit, scheidet sich ab oder wird auf dem Werkstück abgeschieden, bis aufgrund der Natur der Abscheidungschemie selbst der Prozess sich selbst beendet. Unabhängig von der Länge der Aussetzung wirken die Prozessgase nicht weiter an der Abscheidung mit. Um aufeinanderfolgende Monoschichten abzuscheiden, werden unterschiedliche chemische Kombinationen in die Prozesskammer eingebracht, so dass sie mit der vorhergehend ausgebildeten Monoschicht reagieren oder daran adsorbiert werden. Wünschenswerterweise bildet die zweite Chemie oder eine nachfolgende Chemie eine andere Monoschicht, ebenfalls in einer selbst begrenzenden Art und Weise. Diese selbst begrenzenden Monoschichten werden alternierend so oft wie gewünscht ausgebildet, um einen Film geeigneter Dicke zu bilden.
  • Die Natur dieses Verfahrens ermöglicht die Änderung der Chemie für jeden diskreten Zyklus. Dementsprechend kann die Zusammensetzung des resultierenden dünnen Films in Inkrementen geändert werden, z. B. in jedem Zyklus, in jedem zweiten Zyklus, oder in jeglicher anderer gewünschten Abfolge. Zusätzlich, da ALD bei sehr niedrigen Temperaturen durchgeführt werden können, im Vergleich zu konventionellen thermischen Oxidations- und konventionellen CVD-Prozessen kann Diffusion während des Prozesses effektiv limitiert werden. Zum Zweck der Illustrierung des Verhältnisses zwischen Oxiddicke und entsprechender Anzahl von Schichten wird ein dünner Film von 60 Å Zirconoxid, z. B. durch einen ALD-Prozess von alternierend ZrCl4 und H2O wie weiter unten beschrieben in etwa 100 Zyklen ausgebildet. Es existieren viele Möglichkeiten zur Maßschneiderung der Zusammensetzung, die durch jeden individuellen Zyklus hergestellt wird und das Ergebnis einer solchen maßgeschneiderten Zusammensetzung tendiert nicht dazu, aus abgeschiedenen Positionen aufgrund der niedrigen Temperatur des Prozesses zu diffundieren. Das heißt, selbst für solch eine extrem dünne Schicht kann die Zusammensetzung so geändert werden, dass eine unterschiedliche Verunreinigungskonzentration in die erste Monoschicht im Vergleich zu der letzten Monoschicht eingebracht wird.
  • ALD von siliciumoxiddielektrischen Schichten mit einem sich graduell veränderten Stickstoffgehalt ist in US-Patentanmeldung 09/800,757, eingereicht am 6. März 2001, mit dem Titel GRADED THIN FILMS, offenbart.
  • Die bevorzugte Ausführungsform modifiziert ALD-Abscheidung eines Metalloxids mit hohem K-Wert durch selektives Einbringen von Stickstoff während ausgewählten Stufen der Abscheidung. Ein Metallquellgas, geeignet für die chemische Sorption einer selbstbegrenzenden partiellen oder vollständigen Monoschicht pro Puls wechselt sich mit einem Oxidationsmittelpuls ab. Beispielhafte Oxidationsmittelquellgase beinhalten Sauerstoff, Wasser, Wasserstoffperoxid, Ozon, Alkohole (z. B. Methanol, Ethanol, Isopropanol), etc. In einigen Anordnungen (die nicht hierin speziell beschrieben sind) kann das Verfahren zusätzliche Pulse beinhalten, wie z. B. zusätzliche Metallquellenpulse für komplexere Metalloxide, und Abfangpulse zum Entfernen von Liganden zwischen Pulsen. Ein Basis-ALD-Prozess zur Abscheidung von ZrCl4 wird zuerst vor der Beschreibung von zwei Modifikationen, die eingesetzt werden zur Einbringung von Stickstoff, die weiter unten unter Bezugnahme auf die 10 und 11 beschrieben werden, beschrieben.
  • In einem beispielhaften ALD-Prozess zur Abscheidung von ZrO2 wird der Reaktionsraum auf ein Vakuum mit einer mechanischen Vakuumpumpe evakuiert. Nach der Evakuierung wird der Druck in dem Reaktionsraum auf etwa 5 bis 10 mbar (absolut) durch Fluss von Stickstoffgas, das eine Reinheit von 99,9999 % hat, eingestellt. Dann wird der Reaktionsraum auf 300°C stabilisiert. Eine ZrCl4-Quelle wird zwischen etwa 180°C und 210°C, besonders bevorzugt etwa 200°C, gehalten.
  • Jeder Zyklus des ALD-Prozesses enthält eine Vielzahl von Pulsen. ZrCl4 wird in die Reaktionskammer eingebracht und der Wafer-Oberfläche 0,1 s ausgesetzt. Dies wird als Puls A oder "Metallpuls" bezeichnet. Die Reaktionskammer wird mit Stickstoffgas 1 s lang gereinigt, um einen Überschuss von ZrCl4 und Nebenprodukten aus der Reaktionskammer zu entfernen. Dies wird als Reinigung A be zeichnet. Dann wird Wasserdampf in die Reaktionskammer eingebracht und 0,3 s lang der Waferoberfläche ausgesetzt. Dies wird als Puls B oder "Oxidationspuls" bezeichnet. Rest-H2O und Reaktionsnebenprodukte werden durch Spülen der Kammer für 3 s entfernt. Dies wird als Spülung B bezeichnet. Während jeder der Reaktionsphasen werden die Reaktanten in ausreichenden Mengen für die gegebenen anderen Parameter zugeführt, um die Oberfläche abzusättigen. Dieser exemplarische Abscheidungszyklus für Material mit hohem K-Wert ist in Tabelle I zusammengefasst. Tabelle I: ZrO2
    Figure 00320001
  • Die mittlere Abscheidungsgeschwindigkeit unter Verwendung der oben beschriebenen Chemikalien ist etwa 0,59 Å pro Zyklus bei 300°C, so dass die ZrO2-Dicke etwa 30 Å betrug. Ähnliche Prozessbedingungen unter Verwendung von HfCl4 anstatt von ZrCl4 führt zu HfO2.
  • Allgemeiner liegen die Temperaturen während des Prozesses vorzugsweise zwischen etwa 250°C und 500°C, besonders bevorzugt zwischen etwa 300°C und 350°C, in Abhängigkeit der akzeptablen Gehalte an Chlor in dem Film. Für eine amorphe ZrO2- oder HfO2-Schicht ist die Temperatur vorzugsweise an dem unteren Ende des Bereichs zwischen etwa 200°C und 250°C und besonders bevorzugt bei etwa 225°C. Für einen kristallinen Film ist die Temperatur vorzugsweise am höheren Ende des Bereichs zwischen etwa 250°C und 500°C und am meisten bevorzugt zwischen etwa 300°C und 350°C. Wie von dem Fachmann verstanden wird, resultieren Mischungen von amorphen und kristallinen Zusammensetzungen an der Grenze zwischen diesen beiden Regimen. Der veranschaulichte Prozess verwendet Temperaturen zwischen etwa 300°C und 350°C, wodurch ein im We sentlicher kristalliner ZrO2- oder HfO2-Film erzeugt wird. Allgemeiner wird der Metallpuls A vorzugsweise für zwischen etwa 0,05 s und 1,0 s, Spülung A zwischen etwa 0,5 s und 10,0 s, Oxidationspuls B zwischen etwa 0,05 s und 0,5 s und Spülung B zwischen etwa 0,5 s und 10,0 s lang durchgeführt.
  • Der Fachmann wird erkennen, dass die Pulszeiten, insbesondere und alle Parameter im Allgemeinen, innerhalb relativ großer Bereiche innerhalb derer die ALD-Reaktion stattfindet, variiert werden können. Eine der strikteren Beschränkungen, um eine echte selbstlimitierende Reaktion in ALD zu erreichen, ist, dass die Temperatur vorzugsweise oberhalb der Temperatur gehalten wird, bei der die Quellchemikalien kondensieren, aber unterhalb der Temperatur, bei der die Quellchemikalien sich thermisch zersetzen. Im Unterschied zu CVD bleiben aber die Abscheidungsgeschwindigkeiten innerhalb des relativ großen Temperaturfensters konstant, so dass eine strikte Temperaturuniformität entlang des Substrats nicht notwendig ist, um eine gleichförmige Abscheidung zu erreichen.
  • In dem Beispiel der Tabelle I ist die Metallmonoschicht, die in der Metallphase gebildet wird, mit Chlorid selbst terminiert, was nicht einfach mit einem Überschuss an ZrCl4 unter den bevorzugten Bedingungen reagiert. Das bevorzugte Sauerstoffquellgas reagiert aber mit oder absorbiert sich an der chloridterminierten Oberfläche während der Sauerstoffphase in einer Ligandenaustauschreaktion, die durch die Zufuhr von Zirconchloridkomplexen, die vorhergehend absorbiert wurden, limitiert ist. Weiterhin hinterlässt die Oxidierung eine Hydroxyl- und Sauerstoffbrücken-Terminierung, die nicht weiter mit einem Überschuss an Oxidationsmittel in der gesättigten Phase reagiert.
  • Vorzugsweise werden ausreichende Zyklen durchgeführt, damit eine ZrO2-Schicht zwischen etwa 20 Å und 60 Å ZrO2 wächst. Besonders bevorzugt werden ausreichend Zyklen durchgeführt, um zwischen etwa 20 Å und 40 Å zu wachsen. Die Dielektrizitätskonstante von reinem Zirconiumoxid kann im Bereich zwischen etwa 18 und 24 liegen.
  • Gemäß der bevorzugten Ausführungsformen wird ein Verunreinigungsquellgas in wenigstens einem der Zyklen in dem alternierenden Prozess zur Verfügung ge stellt, das ausgewählt ist, um die funktionalen Qualitäten des Dielektrikums zu verbessern. Die Verunreinigung enthält vorzugsweise Stickstoff und das Verunreinigungsquellgas enthält vorzugsweise Ammoniak (NH3) oder Hydrazin (N2H4), das dem alternierenden Prozess zugegeben wird. Sowohl Ammoniak als auch Hydrazin sind recht reaktive Gase, was sie für Niedrigtemperatur-ALD-Verfahren geeignet macht.
  • In einer ersten Anordnung (10) wird Stickstoff in den Oxidationspuls ausgewählter Zyklen eingebracht. In einer zweiten Anordnung (11) wird Stickstoff während ausgewählter Zyklen in einem eigenen separaten Puls eingebracht. In jeder der Anordnungen können unterschiedliche Mengen von Stickstoff zu unterschiedlichen Punkten des Abscheidungsprozesses eingebracht werden, was es erlaubt, ein hohes Maß an Kontrolle bezüglich des Stickstoffgehalts entlang der Dicke von extrem dünnen Schichten zu ermöglichen, wobei niedrige Abscheidungstemperaturen Interdiffusion minimieren und die Aufrechterhaltung des erwünschten Stickstoffprofils sicherstellen.
  • Unter Bezugnahme auf 10 betrifft die erste Anordnung alternierende Adsorption von nicht mehr als einem Monoschichtmetall mit Oxidation der vorhergehend adsorbierten Monoschicht in einem ALD-Metalloxidprozess. Während der Oxidationsstufe kann Stickstoff selekiv eingebracht werden. Im Wesentlichen können durch Mischen von Stickstoff- und Sauerstoffquellgasen Oxynitridfilme mit jedem gewünschten Verhältnis von Sauerstoff zu Stickstoff wachsen gelassen werden. In der bevorzugten Ausführungsform kann durch Variieren der Reaktantenverhältnisse während des zyklischen Prozesses die Zusammensetzung, die in jedem Zyklus ausgebildet wird, maßgeschneidert werden. Es ist am meisten bevorzugt, wenn die Abscheidung mit Metalloxynitrid-Abscheidung beginnt, im Wesentlichen zu reinem Metalloxid übergeht und mit Metalloxynitrid endet.
  • In der veranschaulichten Ausführungsform wird ein hochreaktives Stickstoffquellgas, wie z. B. Ammoniak oder Hydrazin, der Sauerstoffphase zugegeben. Unterschiedliche Mengen von NH3 werden zu unterschiedlichen Oxidationsmittelquellgaspulsen während des Prozesses zugegeben. Somit kann eine gewünschte Menge an Stickstoff selektiv in jede Monoschicht von Metalloxid eingebracht wer den und eine Metalloxynitridschicht mit einem maßgeschneiderten Stickstoffgehaltsprofil resultiert. Der Fachmann wird in Anbetracht der vorliegenden Offenbarung erkennen, dass die Reaktion zwischen Ammoniak und dem absorbierten Metallkomplex im Vergleich zu der Reaktion zwischen dem Oxidationsmittel und dem Metallkomplex eine unterschiedliche thermodynamische Bevorzugung hat. Demzufolge entspricht das Verhältnis von Ammoniak zu Oxidationsmittel nicht notwendigerweise den Verhältnissen von Stickstoff zu Sauerstoff in dem resultierenden Metalloxynitrid. Der Fachmann kann einfach der thermodynamischen Konkurrenz durch Routineexperimente Rechnung tragen, um die geeigneten Parameter für die erwünschten Gehalte an Stickstoffeinbringung zu bestimmen. Die Bereitstellung von aktiven Stickstoffspezies durch einen entfernten Plasmagenerator, insbesondere zusammen mit aktiven Sauerstoffspezies, kann den Effekt des Variationsverhältnisses von Sauerstoff- zu Stickstoffquellen in einer thermodynamischen Einfachpuls-Konkurrenzreaktion minimieren.
  • 10 ist ein Gasflussdiagramm entsprechend einer Ausführungsform, die vier Zyklen 301a bis 301d in einer beispielhaften selbstlimitierenden Abscheidungssequenz veranschaulicht. Die vier Zyklen können z. B. die letzten vier Zyklen bei der Bildung der oberen Grenzfläche des bevorzugten Dielektrikums mit hohem K-Wert darstellen. Die veranschaulichte Sequenz beinhaltet einen konstanten Fluss eines Trägergases 300. Wie gezeigt wird ein erster Puls oder Spike 302a des Metallquellgases bereitgestellt, um eine erste selbstterminierende Metallmonoschicht zu bilden. Nach einem ersten Spülschritt 303, während dem das Trägergas weiterhin fließt, bis das Metallquellgas aus der Kammer entfernt worden ist, wird ein erster Oxidationsmittelgaspuls oder -spike 304 bereitgestellt. Nach einer zweiten Spülung 303 wird ein zweiter Metallquellgaspuls 302 bereitgestellt, gefolgt von einem zweiten Oxidationsmittelgas 304b, einem dritten Metallquellgaspuls 302c, einem dritten Oxidationsmittelgaspuls 304 etc., wobei alternierende Pulse durch Spülschritte 303 voneinander getrennt sind.
  • Wie gezeigt, wird zu einem Zeitpunkt nach dem ersten Zyklus 301a (Bildung einer partiellen Monoschicht eines Metalloxids) ein erster Verunreinigungsquellgaspuls 306b bereitgestellt, vorzugsweise während eines Oxidationsquellgaspulses 304b. Wünschenswerterweise wird ein vergleichsweise geringer Anteil des Verunreini gungsgases (das vorzugsweise Ammoniak enthält) während dieses ersten Pulses 306b bereitgestellt. Während nachfolgender Oxidationsquellgaspulse 304c, 304d etc. werden progressiv größere Flüsse des Verunreinigungsquellgases in den Pulsen 306c, 306d etc. bereitgestellt. Wo progressiv größere Flüsse von Verunreinigungsquellgas eingesetzt werden, kann es vorteilhaft sein, die Reaktanten rechtwinklig zu der Substratoberfläche, z. B. mittels eines Überkopf-Duschkopfes zu führen. Im Fall von konkurrierender Adsorption zweier Quellchemikalien wird vorzugsweise die gesamte Substratoberfläche gleichzeitig der Gasmischung ausgesetzt. Die Duschkopfstrukturen der 2A bis 2C, die für ALD durch Trennung von Vorläuferkanälen konfiguriert sind, sind für ein solches simultanes Aussetzen mit oder ohne Aktivierung eines Plasmas in der oberen Kammer besonders vorteilhaft. Somit können Konzentrationsgradienten von der Einlassseite zur Auslassseite auf dem Substrat verhindert werden.
  • Es wird darauf hingewiesen, dass 10 lediglich schematisch ist und nicht skaliert ist. Weiterhin führen die bevorzugten Prozessbedingungen tatsächlich zu einer vollständigen Monoschicht, die nach einer Mehrzahl von Zyklen gebildet wird. Obwohl theoretisch die Reaktanten an jeder zugänglichen Stelle der ausgesetzten Schicht des Werkstücks chemisorbieren, limitieren im Allgemeinen die physikalische Größe der adsorbierten Spezies (und insbesondere solche mit endständigen Liganden) die Abdeckung bei jedem Zyklus zu einem Bruchteil einer Monoschicht. In der veranschaulichten Ausführungsform bildet sich ungefähr 0,59 Å ZrO2 pro Zyklus, so dass eine vollständige Monoschicht sich effektiv nach mehreren Zyklen bildet, wobei jeder Zyklus durch ein Paar von Metallquellgasen und Oxidationsquellgaspulsen wiedergegeben ist.
  • Wie durch gepunktete Linien veranschaulicht, können die Oxidationsquellgaspulse 304a, 304b etc. im Verlauf der Zunahme des Verunreinigungsquellgases in Abhängigkeit der Materialien reduziert werden. Dementsprechend kann der Stickstoffgehalt in der resultierenden dielektrischen Metalloxynitridschicht von 0 % in der Masse auf einen maximal erwünschten Wert (vorzugsweise zwischen etwa 1 % und 10 % Stickstoff, bezogen auf Atomverhältnis) an der oberen Grenzfläche erhöht werden.
  • Während das veranschaulichte Beispiel die Graduierung einer Stickstoffkonzentration in einer einfachen Metalloxidschicht wird der Fachmann im Lichte dieser Beschreibung unmittelbar erkennen, dass dieselben Prinzipien zur Bildung graduierter Profile in anderen dielektrischen Gate-Materialien, wie z. B. binären und ternären Metalloxiden (z. B. BST, SBT) durch ALD angewendet werden können. Ebenfalls, obwohl nicht in 10 gezeigt, können zusätzliche Abfangpulse bereitgestellt werden, um mit verflüchtigten gefährlichen Halogeniden (z. B. Cl) und Halogenidverbindungen (z. B. Ammoniumhalogeniden, Wasserstoffhalogeniden) zu reagieren. Solche Abfangpulse können die Zufuhr stark reduzierender Mittel, wie z. B. Triethylbor (TEB) in Pulsen auf das Substrat während der ALD-Prozessen vorzugsweise während jeden Zyklus umfassen.
  • 11 veranschaulicht eine Anordnung, bei der Stickstoff in separaten Stickstoffquellgaspulsen (z. B. die jeweils einen Ammoniakpuls und einen Spülpuls umfasst) Oxidationsphasen folgend zugegeben wird. Wenn z. B. die bevorzugte obere Grenzfläche ausgebildet wird, kann der Stickstoffquellgaspuls Oxidationsquellgaspulse ersetzen oder zusätzlich zu diesen verwendet werden, wie z. B. alle zehn Zyklen während der letzten Stufen der Abscheidung. Um den Stickstoffgehalt graduell zu verändern, kann die Frequenz der Stickstoffquellgaspulse (z. B. relativ zu der Frequenz der Metallquellgaspulse) erhöht werden, bis der maximale erwünschte Stickstoffgehalt erreicht ist. Wenn die bevorzugte untere Grenzfläche gebildet wird, kann eine hohe Frequenz von Stickstoffquellgaspulsen anfänglich eingesetzt werden, gefolgt von einer Verringerung der Stickstoffpulsfrequenz, um ein im Wesentliches stickstofffreies Metalloxid in der Masse zu erreichen.
  • Somit wird der alternierende Basisprozess zur Abscheidung von Metalloxid (z. B. durch alternierende Metall- und Oxidationsmittelpulse) anfänglich modifiziert, um eine untere Grenzfläche eines Metalloxynitrids abzuscheiden mit relativ geringen Mengen an Stickstoff (z. B. etwa 0,1 % bis 1 % Stickstoff, bezogen auf Atomverhältnis), fährt fort mit ALD mit einem im Wesentlichen reinen (z. B. weniger als etwa 0,1 % Stickstoff) Metalloxid in einem mittleren Teil des Verfahrens, und zum Zeitpunkt, bei dem die erwünschte Dielektrikadicke erreicht ist, erhöht der alternierende Prozess wiederum die Frequenz von Stickstoffpulsen, um eine obere Grenzfläche an Metalloxynitrid mit relativ hohen Mengen an Stickstoff (z. B. etwa 1 % bis 10 % Stickstoff) bereitzustellen.
  • Vorteilhafterweise setzt das Verfahren intermediäre Reduktionsphasen ein, um Halogenid zu entfernen, wie zwischen Metall- und Stickstoffquellphasen veranschaulicht. Die intermediäre Reduktionsphase verhindert den Aufbau von Wasserstoffhalogenid, was für das freigesetzte Metall nachteilig sein könnte und verringert den Halogenidgehalt in dem Dielektrikum. Es wird aber darauf hingewiesen, dass in anderen Anordnungen die Reduktionsphase in einer unterschiedlichen Sequenz durchgeführt oder weggelassen werden kann. Tabelle II
    Figure 00380001
  • Bezug nehmend auf Tabelle II oben wird ein exemplarisches Prozessrezept zur Ausbildung der erwünschten graduierten dielektrischen Schicht weiter unten beschrieben. Vier Phasen (jede Phase ist in der veranschaulichten Ausführungsform definiert, so dass sie eine Spülung, gefolgt von Reaktantenpulsen umfasst) sind beschrieben:
    • (1) eine Metallphase (z. B. ZrCl4-Puls + Spülung)
    • (2) eine Reduktionsphase (z. B. TEB-Puls + Spülpuls)
    • (3) eine Oxidationsmittelphase (z. B. H2O-Puls + Spülpuls)
    • (4) eine Stickstoffphase (z. B. NH3-Puls + Spülpuls)
  • Variierende Verhältnisse dieser Phasen werden während des kontinuierlichen Abscheidungsprozesses in Abhängigkeit der Stufe des Abscheidungsprozesses eingesetzt. In der veranschaulichten Ausführungsform werden z. B. während der anfänglichen Stufen Phasen (1) bis (4) mit einer relativ geringen Frequenz an Stickstoffphase (4) eingesetzt, um zu einem niedrigen Stickstoffgehalt (z. B. 0,1 % bis 1 % Stickstoff, bezogen auf Atomverhältnis) an der Substratoberfläche zu erzeugen. Während mittlerer Stufen werden Phasen (1) bis (3) eingesetzt, um im Wesentliches reines ZrO2 in der Masse auszubilden. Während der letzten Stufen der Abscheidung werden wiederum Phasen (1) bis (4) eingesetzt mit einer relativ großen Frequenz von Phase (4), um einen hohen Stickstoffgehalt (z. B. 1 % bis 10 % Stickstoff, bezogen auf Atomverhältnis) an der Gate-Elektrodengrenzfläche zu erzeugen.
  • Es wird darauf hingewiesen, dass die Reduktionsphase (2) für alle Stufen der Abscheidung optional ist. Vorteilhafterweise ermöglicht die Reduktionsphase das Abfangen von Halogeniden, die aufgrund des Metallhalogenidquellgases übrig bleiben. Die Reduktionsphase wird daher vorzugsweise eingesetzt, um den Chlorgehalt in dem wachsenden Film zu verringern. Es wird darauf hingewiesen, dass die Reduktionsphase, falls eingesetzt, nicht in jedem Zyklus eingesetzt werden muss und falls in jedem Zyklus eingesetzt, nicht unmittelbar nach der Metallphase eingesetzt werden muss.
  • 11 veranschaulicht und Tabelle II stellt Parameter zur Verfügung für die Zyklen eines ALD-Prozesses zur Abscheidung einer graduierten Schicht von Metalloxid und Metalloxynitrid. Vorzugsweise dient die Schicht als Gate-Dielektrikum zwischen einem Halbleitersubstrat und einer Transistor-Gate-Elektrodenschicht. In dem beispielhaften Prozessrezept enthält ein Metallquellgas Zircontetrachlorid (ZrCl4), ein Trägergas enthält Stickstoff (N2), ein Reduktionsmittel enthält Triethylbor (TEB), ein Oxidationsmittelquellgas enthält Wasserdampf (H2O) und ein Stickstoffquellgas enthält Ammoniak (NH3).
  • Zwei Drei-Phasen-Zyklen 450 sind in 11 gezeigt. Solche Drei-Phasen-Zyklen 450 werden mit hoher Frequenz zur Ausbildung der Masse des Dielektri kums durchgeführt, mit niedrigerer Frequenz zur Ausbildung der unteren Grenzfläche über dem Transistorkanal und mit niedrigster Frequenz in der Ausbildung der oberen Grenzfläche unterhalb der Gate-Elektrode.
  • Ein Vier-Phasen-Zyklus 455 wird ebenfalls gezeigt. Zusätzlich zu den Drei-Phasen-Zyklus 450 enthält der Vier-Phasen-Zyklus einen Stickstoffpuls und eine Spülung. Solche Vier-Phasen-Zyklen 455 können mit niedrigster Frequenz geführt werden, um die Masse des Dielektrikums zu bilden, mit hoher Frequenz zur Ausbildung der unteren Grenzfläche über dem Transistorkanal und mit höchster Frequenz zur Ausbildung der oberen Grenzfläche unterhalb der Gate-Elektrode.
  • Es wird darauf hingewiesen, dass unterschiedliche Verunreinigungen für die maßgeschneiderten Dielektrika verwendet werden können. Zum Beispiel kann der Zirconiumquellgaspuls in einem ZrO2-ALD-Basisprozess periodisch durch ein Trimethylaluminium (TMA)-Puls während der anfänglichen Abscheidung ersetzt werden, wodurch eine untere Grenzfläche aus aluminiumdotiertem Zirconiumoxid gebildet wird. Der TMA-Puls kann mit abnehmender Frequenz bereitgestellt werden, bis reines Zirconiumdioxid (ZrO2) für die Masse des Elektrikums gebildet wird. In einem exemplarischen Prozess dient TMA als Aluminiumquellgas und kann bei derselben Temperatur (z. B. 300°C) wie für den Zirconiumoxid-ALD-Prozess abgeschieden werden. Alternativ kann Aluminiumquellgas gleichzeitig oder mit zunehmendem Anteil an Zirconquellgas während eines Metallpulses, der sich kontinuierlich mit einem Oxidationsmittelpuls abwechselt, eingebracht werden. In diesem Fall kann der Fachmann durch Routineexperimente feststellen, welche Anteile an Aluminiumquellgas zu Zirconiumquellgas verwendet werden sollen, um die gewünschten Materialverhältnisse in der Schicht zu erhalten. In ähnlicher Weise wird der Fachmann unmittelbar feststellen, dass andere Gate-Dielektrikum-Materialien ebenfalls in dieser Art und Weise erzeugt werden können.
  • In den obigen Beispielen für Aluminiumoxid und Zirconiumoxid dient Aluminiumoxid als gute Diffusionsbarriere mit guten elektrischen Grenzflächeneigenschaften, während Zirconiumdioxid eine insgesamt höhere Dielektrizitätskonstante für das Dielektrikum bereitstellt. Das Gate-Dielektrikum kann wiederum von einer un teren Grenzfläche mit hohem Aluminiumgehalt zu im Wesentlichen reinen ZrO2 zu einer oberen Grenzfläche mit hohem Aluminiumgehalt graduiert werden. Der Aluminiumgehalt an der Grenzfläche stellt eine amorphe, gute Diffusionsbarriere bereit, die das Dielektrikum gegen Reaktion mit oberen oder unteren Materialien stabilisiert.
  • In jeder der oben beschriebenen ALD-Anordnungen zum Maßschneidern von Verunreinigungskonzentration in einer ultradünnen (< 7 nm) dielektrischen Schicht, wie beispielhaft in 10 und 11 gezeigt, kann die Verunreinigung über einen entfernten Plasmagenerator zugeführt werden. Die Zufuhr an angeregten Stickstoffspezies kann wie oben unter Bezugnahme auf die CVD-Ausführungsform beschrieben, variiert werden. Vorteilhafterweise sind die Reaktoren der 2A bis 2C besonders geeignet, um angeregte Spezies separat von anderen Vorläufern einem ALD-Prozess zuzuführen.
  • Der Fachmann versteht, dass verschiedene Weglassungen, Additionen und Modifikationen an dem oben beschriebenen Verfahren durchgeführt werden können, ohne vom Schutzbereich der Erfindung abzuweichen und alle solche Modifikationen und Änderungen sind dazu gedacht, unter den Schutzbereich der Erfindung zu fallen, wie sie in den anhängenden Ansprüchen definiert ist.

Claims (23)

  1. Verfahren zur Ausbildung einer dielektrischen Schicht mit einer kontrollierten Variation der Stickstoffkonzentration entlang ihrer Dicke, umfassend: Abscheiden des dielektrischen Materials auf einem Substrat bei einer Substrattemperatur von weniger als ungefähr 500°C und Variieren der Zufuhr von Stickstoff zu dem Substrat während der Abscheidung des dielektrischen Materials.
  2. Verfahren nach Anspruch 1, wobei Variieren der Zufuhr von Stickstoff zwei voneinander getrennte Stickstoff-Peaks an Grenzflächen erzeugt, wobei eine niedrigere Stickstoffkonzentration in der dazwischenliegenden Masse des Materials vorhanden ist.
  3. Verfahren nach Anspruch 2, wobei Variieren das Ändern der Zufuhr von Stickstoff von einem ersten Niveau an Stickstoffzufuhr zu einem zweiten dazwischenliegenden Niveau an Stickstoffzufuhr zu einem dritten Niveau an Stickstoffzufuhr umfasst, wobei das zweite Niveau niedriger als sowohl das erste Niveau als auch das dritte Niveau ist.
  4. Verfahren nach Anspruch 3, wobei das dritte Niveau höher ist als das erste Niveau.
  5. Verfahren nach Anspruch 2, wobei das dielektrische Material eine Dielektrizitätskonstante von größer als ungefähr 7 aufweist.
  6. Verfahren nach Anspruch 5, wobei das dielektrische Material eine Dielektrizitätskonstante von größer als ungefähr 10 aufweist.
  7. Verfahren nach Anspruch 1, wobei die Substrattemperatur während der Abscheidung unterhalb ungefähr 400°C gehalten wird.
  8. Verfahren nach Anspruch 1, umfassend eine Vielzahl von Atomschichtenabscheidungszyklen, wobei jeder Zyklus umfasst: Zur-Verfügung-Stellen eines Metallpulses eines Gases einer Metallquelle, Entfernen von überschüssigem Gas einer Metallquelle und Nebenprodukten aus dem Metallpuls, Zur-Verfügung-Stellen eines Oxidationsmittelpulses eines Gases einer Sauerstoffquelle und Entfernen von überschüssigem Gas einer Sauerstoffquelle und Nebenprodukten aus dem Oxidationsmittelpuls.
  9. Verfahren nach Anspruch 8, das zusätzlich Zur-Verfügung-Stellen variierender Mengen eines Gases einer Stickstoffquelle während des Oxidationsmittelpulses umfasst.
  10. Verfahren nach Anspruch 9, wobei das Gas einer Stickstoffquelle angeregte Stickstoffspezies umfasst, die durch einen entfernten Plasmagenerator erzeugt werden.
  11. Verfahren nach Anspruch 8, wobei eine ausgewählte Zahl der Vielzahl von Zyklen weiterhin das Zur-Verfügung-Stellen eines Stickstoffpulses eines Gases einer Stickstoffquelle umfasst, wobei Variieren der Zufuhr von Stickstoff Variieren der Frequenz des Stickstoffpulses während der Ausbildung der dielektrischen Schicht umfasst.
  12. Verfahren nach Anspruch 11, wobei das Gas einer Stickstoffquelle angeregte Stickstoffspezies umfasst, die durch einen entfernten Plasmagenerator erzeugt werden.
  13. Verfahren nach Anspruch 1, umfassend: Zuführen einer Metallquelle gleichzeitig mit Zuführen einer Oxidationsmittelquelle zu dem Substrat und Zuführen variierender Mengen von angeregten Stickstoffspezies durch einen entfernten Plasmagenerator.
  14. Verfahren nach Anspruch 13, wobei die Metallquelle eine metallorganische Quelle enthält.
  15. Verfahren nach Anspruch 13, wobei Zuführen variierender Mengen von angeregten Stickstoffspezies das Variieren der Strömungsgeschwindigkeit des Gases einer Stickstoffquelle durch den entfernten Plasmagenerator umfasst.
  16. Verfahren nach Anspruch 13, wobei Zuführen variierender Mengen von angeregten Stickstoffspezies das Variieren der Energiemenge, die dem entfernten Plasmagenerator zur Verfügung gestellt wird, umfasst.
  17. Verfahren nach Anspruch 13, wobei Zuführen variierender Mengen von angeregten Stickstoffspezies das Variieren der Menge von Trägergas, das durch den entfernten Plasmagenerator, während der Strom des Gases einer Stickstoffquelle konstant gehalten wird, zugeführt wird, umfasst.
  18. Dielektrische Schicht in einem integrierten Schaltkreis, enthaltend ein Metalloxid überall in der Dicke der dielektrischen Schicht, wobei das Metalloxid eine dielektrische Konstante von mehr als ungefähr 7 aufweist, die dielektrische Schicht eine untere Grenzfläche mit einer ersten Stickstoffkonzentration, eine Hauptmasse mit einer zweiten Stickstoffkonzentration, die niedriger ist als die erste Stickstoffkonzentration, und eine obere Grenzfläche mit einer dritten Stickstoffkonzentration, die höher ist als die zweite Stickstoffkonzentration, aufweist.
  19. Dielektrische Schicht nach Anspruch 18, wobei die erste Stickstoffkonzentration niedriger ist als die dritte Stickstoffkonzentration.
  20. Dielektrische Schicht nach Anspruch 19, wobei die erste Stickstoffkonzentration zwischen mehr als ungefähr 0,1 Atom-% und 10 Atom-% liegt, die zweite Stickstoffkonzentration geringer ist als ungefähr 0,1 Atom-% und die dritte Stickstoffkonzentration zwischen ungefähr 1 Atom-% und 10 Atom-% liegt.
  21. Dielektrische Schicht nach Anspruch 20, wobei die erste Stickstoffkonzentration zwischen ungefähr 0,1 Atom-% und 1 Atom-% liegt und die zweite Stickstoffkonzentration geringer als ungefähr 0,01 Atom-% ist.
  22. Dielektrische Schicht nach Anspruch 18, wobei das Metalloxid ein Oxid eines Gruppe-4-Metalls enthält.
  23. Dielektrische Schicht nach Anspruch 22, wobei das Metalloxid ausgewählt ist aus der Gruppe bestehend aus ZrO2 und HfO2.
DE2002611940 2001-10-02 2002-09-27 Integration von stickstoff in einen dielektrischen film mit hohem k Expired - Fee Related DE60211940T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US260370 1981-05-04
US32683001P 2001-10-02 2001-10-02
US326830P 2001-10-02
US10/260,370 US6960537B2 (en) 2001-10-02 2002-09-26 Incorporation of nitrogen into high k dielectric film
PCT/US2002/031072 WO2003030243A1 (en) 2001-10-02 2002-09-27 Incorporation of nitrogen into high k dielectric film

Publications (2)

Publication Number Publication Date
DE60211940D1 DE60211940D1 (de) 2006-07-06
DE60211940T2 true DE60211940T2 (de) 2007-05-03

Family

ID=26947948

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2002611940 Expired - Fee Related DE60211940T2 (de) 2001-10-02 2002-09-27 Integration von stickstoff in einen dielektrischen film mit hohem k

Country Status (5)

Country Link
US (3) US6960537B2 (de)
EP (1) EP1449240B1 (de)
JP (1) JP4566559B2 (de)
DE (1) DE60211940T2 (de)
WO (1) WO2003030243A1 (de)

Families Citing this family (559)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
WO2004044898A2 (en) * 2002-11-08 2004-05-27 Aviza Technology, Inc. Nitridation of high-k dielectrics
EP1420080A3 (de) * 2002-11-14 2005-11-09 Applied Materials, Inc. Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
WO2004066376A1 (ja) * 2003-01-17 2004-08-05 Fujitsu Limited 誘電体膜の形成方法
US20040144980A1 (en) * 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4402044B2 (ja) * 2003-02-06 2010-01-20 東京エレクトロン株式会社 プラズマ処理方法
WO2004085702A1 (de) * 2003-03-21 2004-10-07 Forschungszentrum Jülich GmbH Verfahren zur abscheidung von verbindungen auf einem substrat mittels metallorganischer gasphasendeposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6844271B2 (en) * 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
WO2005015621A1 (en) * 2003-07-30 2005-02-17 Infineon Technologies Ag High-k dielectric film, method of forming the same and related semiconductor device
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
DE102004005385A1 (de) * 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20050242387A1 (en) * 2004-04-29 2005-11-03 Micron Technology, Inc. Flash memory device having a graded composition, high dielectric constant gate insulator
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
DE602005016933D1 (de) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7208412B2 (en) * 2004-08-02 2007-04-24 Micron Technology, Inc. Method of forming metal oxide and semimetal oxide
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060043463A1 (en) * 2004-09-01 2006-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate having enhanced charge retention
DE102004044667A1 (de) * 2004-09-15 2006-03-16 Infineon Technologies Ag Halbleiterbauelement sowie zugehöriges Herstellungsverfahren
JP4579637B2 (ja) 2004-10-01 2010-11-10 東京エレクトロン株式会社 半導体記憶装置及びその製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7214630B1 (en) * 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7704821B2 (en) * 2005-06-07 2010-04-27 Freescale Semiconductor, Inc. In-situ nitridation of high-k dielectrics
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20070090493A1 (en) * 2005-10-11 2007-04-26 Promos Technologies Inc. Fabrication of nitrogen containing regions on silicon containing regions in integrated circuits, and integrated circuits obtained thereby
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JP5004484B2 (ja) * 2006-03-23 2012-08-22 日本碍子株式会社 誘電体デバイス
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8372697B2 (en) * 2006-05-08 2013-02-12 University Of South Carolina Digital oxide deposition of SiO2 layers on wafers
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090071371A1 (en) * 2007-09-18 2009-03-19 College Of William And Mary Silicon Oxynitride Coating Compositions
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087623A1 (en) * 2007-09-28 2009-04-02 Brazier Mark R Methods for the deposition of ternary oxide gate dielectrics and structures formed thereby
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20100047148A1 (en) * 2008-05-23 2010-02-25 Rec Silicon, Inc. Skull reactor
US20090289390A1 (en) * 2008-05-23 2009-11-26 Rec Silicon, Inc. Direct silicon or reactive metal casting
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8501610B2 (en) * 2009-04-28 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memories and methods of fabrication thereof
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110068348A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thin body mosfet with conducting surface channel extensions and gate-controlled channel sidewalls
TWI405335B (zh) * 2010-09-13 2013-08-11 Au Optronics Corp 半導體結構及其製造方法
CN102413649A (zh) * 2010-09-23 2012-04-11 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9093269B2 (en) 2011-12-20 2015-07-28 Asm America, Inc. In-situ pre-clean prior to epitaxy
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP5801221B2 (ja) * 2012-02-22 2015-10-28 株式会社東芝 半導体装置の製造方法および半導体装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8836037B2 (en) * 2012-08-13 2014-09-16 International Business Machines Corporation Structure and method to form input/output devices
CN103594343A (zh) * 2012-08-13 2014-02-19 中芯国际集成电路制造(上海)有限公司 高k膜的制作方法及晶体管的形成方法
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9330901B2 (en) * 2013-03-01 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen-containing oxide film and method of forming the same
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
KR20140122585A (ko) * 2013-04-10 2014-10-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6526467B2 (ja) * 2015-04-16 2019-06-05 株式会社ミクニ 圧力センサ
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9963780B2 (en) 2015-12-03 2018-05-08 International Business Machines Corporation Growth of metal on a dielectric
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
US20170213960A1 (en) * 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US20170237001A1 (en) * 2016-02-17 2017-08-17 Arm Ltd. Fabrication of correlated electron material devices comprising nitrogen
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN110024089B (zh) * 2016-11-30 2023-06-27 株式会社理光 氧化物或氧氮化物绝缘体膜及其形成用涂布液,场效应晶体管及其制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108630751B (zh) * 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019066875A1 (en) * 2017-09-28 2019-04-04 Intel Corporation FIELD EFFECT TRANSISTORS HAVING A FERROELECTRIC OR ANTI-FERROELECTRIC GRID DIELECTRIC STRUCTURE
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11222794B2 (en) 2018-03-30 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor fabrication system embedded with effective baking module
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN109103087A (zh) * 2018-07-13 2018-12-28 上海华力集成电路制造有限公司 氧化铪栅极介质层的制造方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102838A (en) * 1870-05-10 Improved bridle-bit
US6468A (en) * 1849-05-22 ruteyen
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3964936A (en) * 1974-01-02 1976-06-22 Amchem Products, Inc. Coating solution for metal surfaces
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
NL165134B (nl) * 1974-04-24 1980-10-15 Nippon Telegraph & Telephone Werkwijze voor de vervaardiging van een staaf als tussenprodukt voor de vervaardiging van een optische vezel en werkwijze voor de vervaardiging van een optische vezel uit zulk een tussenprodukt.
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
US4247249A (en) * 1978-09-22 1981-01-27 General Electric Company Turbine engine shroud
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) * 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US4654683A (en) * 1985-08-23 1987-03-31 Eastman Kodak Company Blooming control in CCD image sensors
US4795512A (en) * 1986-02-26 1989-01-03 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a multilayer ceramic body
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4870470A (en) * 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US5322725A (en) * 1988-08-31 1994-06-21 The Boeing Company Thermal protection system
US4880614A (en) * 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
WO1993005194A1 (en) * 1991-09-05 1993-03-18 Technalum Research, Inc. Method for the production of compositionally graded coatings
JP2652108B2 (ja) * 1991-09-05 1997-09-10 三菱電機株式会社 電界効果トランジスタおよびその製造方法
US5250456A (en) * 1991-09-13 1993-10-05 Sgs-Thomson Microelectronics, Inc. Method of forming an integrated circuit capacitor dielectric and a capacitor formed thereby
US5576222A (en) * 1992-01-27 1996-11-19 Tdk Corp. Method of making a semiconductor image sensor device
US5726087A (en) * 1992-04-30 1998-03-10 Motorola, Inc. Method of formation of semiconductor gate dielectric
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
JPH06163368A (ja) * 1992-11-25 1994-06-10 Matsushita Electric Works Ltd X線露光用マスク
JPH06169083A (ja) * 1992-11-30 1994-06-14 Hitachi Ltd 半導体装置およびその製造方法
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
EP0617461B1 (de) 1993-03-24 1997-09-10 AT&T Corp. Verfahren zur Bildung dielektrischer Oxynitridschichten bei der Herstellung integrierter Schaltungen
US5397720A (en) * 1994-01-07 1995-03-14 The Regents Of The University Of Texas System Method of making MOS transistor having improved oxynitride dielectric
US5449314A (en) 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US5795495A (en) 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
JP2901493B2 (ja) * 1994-06-27 1999-06-07 日本電気株式会社 半導体記憶装置及びその製造方法
US5726257A (en) * 1994-08-30 1998-03-10 Sumitomo Chemical Company, Ltd. Esterified resorcinol-carbonyl compound condensates and epoxy resins therewith
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6228453B1 (en) * 1995-06-07 2001-05-08 Lanxide Technology Company, Lp Composite materials comprising two jonal functions and methods for making the same
US6447848B1 (en) * 1995-11-13 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Nanosize particle coatings made by thermally spraying solution precursor feedstocks
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5950107A (en) 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
US5891798A (en) * 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US5961791A (en) * 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6020260A (en) 1997-06-25 2000-02-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having nitrogen-bearing gate electrode
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5972804A (en) 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
GB9717245D0 (en) * 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
JPH11195753A (ja) * 1997-10-27 1999-07-21 Seiko Epson Corp 半導体装置およびその製造方法
US5937303A (en) * 1997-10-29 1999-08-10 Advanced Micro Devices High dielectric constant gate dielectric integrated with nitrogenated gate electrode
US6127284A (en) * 1997-12-18 2000-10-03 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having nitrogen-bearing oxide gate insulating layer
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6200866B1 (en) * 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6274929B1 (en) * 1998-09-01 2001-08-14 Texas Instruments Incorporated Stacked double sided integrated circuit package
US6204182B1 (en) * 1998-03-02 2001-03-20 Hewlett-Packard Company In-situ fluid jet orifice
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6033998A (en) 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6184110B1 (en) * 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6399522B1 (en) * 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6063666A (en) 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
JP2000058832A (ja) * 1998-07-15 2000-02-25 Texas Instr Inc <Ti> オキシ窒化ジルコニウム及び/又はハフニウム・ゲ―ト誘電体
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6362526B1 (en) * 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6245616B1 (en) 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6162684A (en) * 1999-03-11 2000-12-19 Advanced Micro Devices, Inc. Ammonia annealed and wet oxidized LPCVD oxide to replace ono films for high integrated flash memory devices
US6436848B1 (en) * 1999-03-30 2002-08-20 Cypress Semiconductor Corp. Method for forming nitrogen-rich silicon oxide-based dielectric materials
US6236076B1 (en) * 1999-04-29 2001-05-22 Symetrix Corporation Ferroelectric field effect transistors for nonvolatile memory applications having functional gradient material
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6180543B1 (en) * 1999-07-06 2001-01-30 Taiwan Semiconductor Manufacturing Company Method of generating two nitrogen concentration peak profiles in gate oxide
US6329704B1 (en) 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
EP1266054B1 (de) * 2000-03-07 2006-12-20 Asm International N.V. Gradierte dünne schichten
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6576967B1 (en) * 2000-09-18 2003-06-10 Motorola, Inc. Semiconductor structure and process for forming a metal oxy-nitride dielectric layer
US6657284B1 (en) * 2000-12-01 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Graded dielectric layer and method for fabrication thereof
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
JP3833899B2 (ja) * 2001-03-27 2006-10-18 独立行政法人産業技術総合研究所 酸化処理装置
JP3792589B2 (ja) * 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
JP4104834B2 (ja) * 2001-04-13 2008-06-18 株式会社東芝 Mis型電界効果トランジスタの製造方法
US20020167005A1 (en) * 2001-05-11 2002-11-14 Motorola, Inc Semiconductor structure including low-leakage, high crystalline dielectric materials and methods of forming same
US6846751B2 (en) * 2002-12-13 2005-01-25 Integrated Device Technology, Inc. Nitrogen implementation to minimize device variation

Also Published As

Publication number Publication date
US7569284B2 (en) 2009-08-04
EP1449240B1 (de) 2006-05-31
US20050212119A1 (en) 2005-09-29
JP2005505920A (ja) 2005-02-24
US6960537B2 (en) 2005-11-01
WO2003030243A1 (en) 2003-04-10
DE60211940D1 (de) 2006-07-06
JP4566559B2 (ja) 2010-10-20
US7405453B2 (en) 2008-07-29
EP1449240A1 (de) 2004-08-25
US20080286589A1 (en) 2008-11-20
US20030072975A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
DE60211940T2 (de) Integration von stickstoff in einen dielektrischen film mit hohem k
DE60125338T2 (de) Gradierte dünne schichten
US7790556B2 (en) Integration of high k gate dielectric
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
JP4281082B2 (ja) 堆積前の表面調整方法
US7795160B2 (en) ALD of metal silicate films
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
DE112010001364B4 (de) Verfahren zum Ausbilden eines Gatestapels mit hohem k-Wert und reduzierter effektiver Oxiddicke
KR100591507B1 (ko) 나노층 박막의 원자층 증착
US7202166B2 (en) Surface preparation prior to deposition on germanium
US6544900B2 (en) In situ dielectric stacks
US20030185980A1 (en) Thin film forming method and a semiconductor device manufacturing method
US20220319855A1 (en) Methods for filling a gap and related systems and devices
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
KR100920402B1 (ko) 저온 게이트 스택
TW202143304A (zh) 用於在基板上形成層之方法、環繞式閘極p型金氧半導體場效電晶體、金屬-絕緣體-金屬金屬電極、vnand接點、及沉積系統
KR20230106520A (ko) 쌍극자 층을 포함한 반도체 구조를 형성하는 방법
EP1425785A2 (de) Verfahren zur herstellung eines mehrschicht-gates bei niedriger temperatur

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee