DE602005021010D1 - Kontrolle der Raumumgebung während des Trocknen von Wafern - Google Patents

Kontrolle der Raumumgebung während des Trocknen von Wafern

Info

Publication number
DE602005021010D1
DE602005021010D1 DE602005021010T DE602005021010T DE602005021010D1 DE 602005021010 D1 DE602005021010 D1 DE 602005021010D1 DE 602005021010 T DE602005021010 T DE 602005021010T DE 602005021010 T DE602005021010 T DE 602005021010T DE 602005021010 D1 DE602005021010 D1 DE 602005021010D1
Authority
DE
Germany
Prior art keywords
wafers
drying
control
environment during
room environment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE602005021010T
Other languages
English (en)
Inventor
Larios John M De
Mikhail Korolik
Mike Ravkin
Jeffrey Farber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE602005021010D1 publication Critical patent/DE602005021010D1/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
DE602005021010T 2004-04-01 2005-03-29 Kontrolle der Raumumgebung während des Trocknen von Wafern Active DE602005021010D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/817,398 US7614411B2 (en) 2002-09-30 2004-04-01 Controls of ambient environment during wafer drying using proximity head

Publications (1)

Publication Number Publication Date
DE602005021010D1 true DE602005021010D1 (de) 2010-06-17

Family

ID=34887782

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602005021010T Active DE602005021010D1 (de) 2004-04-01 2005-03-29 Kontrolle der Raumumgebung während des Trocknen von Wafern

Country Status (9)

Country Link
US (1) US7614411B2 (de)
EP (1) EP1583136B1 (de)
JP (1) JP4630103B2 (de)
KR (1) KR101147944B1 (de)
CN (1) CN100452308C (de)
AT (1) ATE467230T1 (de)
DE (1) DE602005021010D1 (de)
MY (1) MY143956A (de)
SG (2) SG136145A1 (de)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US20070084730A1 (en) * 2005-10-13 2007-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Plating apparatuses and processes
US9049520B2 (en) 2006-01-20 2015-06-02 Akrion Systems Llc Composite transducer apparatus and system for processing a substrate and method of constructing the same
US7784478B2 (en) * 2006-01-20 2010-08-31 Akrion Systems Llc Acoustic energy system, method and apparatus for processing flat articles
US9987666B2 (en) 2006-01-20 2018-06-05 Naura Akrion Inc. Composite transducer apparatus and system for processing a substrate and method of constructing the same
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
JP2010507226A (ja) * 2006-10-16 2010-03-04 マテリアルズ・アンド・テクノロジーズ・コーポレーション 流体メニスカスを使う湿式処理装置および方法
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
JP5630527B2 (ja) * 2013-04-12 2014-11-26 株式会社Sumco 貼合せsoiウェーハの製造方法
JP6418554B2 (ja) * 2015-06-10 2018-11-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6489524B2 (ja) * 2015-08-18 2019-03-27 株式会社Screenホールディングス 基板処理装置
JP6593920B2 (ja) * 2015-08-18 2019-10-23 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6916003B2 (ja) * 2017-02-24 2021-08-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP7017343B2 (ja) * 2017-08-31 2022-02-08 株式会社Screenホールディングス 基板処理方法および基板処理装置

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) * 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
US4367123A (en) 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (ja) 1981-08-26 1983-11-19 株式会社ソニツクス 部分メツキ方法及びその装置
US4444492A (en) 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (ja) 1985-12-25 1987-07-04 Mitsubishi Electric Corp ウエハ乾燥装置
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH0628223Y2 (ja) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) * 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
JP2877216B2 (ja) 1992-10-02 1999-03-31 東京エレクトロン株式会社 洗浄装置
US5339842A (en) * 1992-12-18 1994-08-23 Specialty Coating Systems, Inc. Methods and apparatus for cleaning objects
US5472502A (en) * 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
JP3351082B2 (ja) * 1994-01-14 2002-11-25 ソニー株式会社 基板乾燥方法と、基板乾燥槽と、ウェーハ洗浄装置および半導体装置の製造方法
DE4413077C2 (de) * 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5807522A (en) * 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
EP0767648B1 (de) 1994-06-30 1999-09-08 The Procter & Gamble Company Flüssigkeitsleitendes gewebe mit oberflächenenergiegradienten
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (ja) 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5558111A (en) 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) * 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (ja) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd リードフレームのめっき装置
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
US5985031A (en) 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
TW357406B (en) * 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
DE19646006C2 (de) 1996-11-07 2000-04-06 Hideyuki Kobayashi Düse zur Schnellgalvanisierung mit einer Galvanisierungslösungsabstrahl- und -ansaugfunktion
JPH10163138A (ja) 1996-11-29 1998-06-19 Fujitsu Ltd 半導体装置の製造方法および研磨装置
JPH1133506A (ja) 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JPH10232498A (ja) 1997-02-19 1998-09-02 Nec Kyushu Ltd 現像装置
JP2983495B2 (ja) * 1997-05-20 1999-11-29 株式会社カイジョー 基板の乾燥方法
JPH1131672A (ja) 1997-07-10 1999-02-02 Hitachi Ltd 基板処理方法および基板処理装置
US6103636A (en) 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
EP0905747B1 (de) 1997-09-24 2005-11-30 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren und Vorrichtung zum Entfernen von einer Flüssigkeit von der Oberfläche eines rotierenden Substrats
DE69828592T8 (de) 1997-09-24 2006-06-08 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren zum entfernen einer flüssigkeit von einer oberfläche einer substrat
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
EP0905746A1 (de) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren zum Entfernen einer Flüssigkeit von einer Oberfläche einer umlaufenden Substrat
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6260562B1 (en) * 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
CA2320278C (en) * 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
US6132586A (en) 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6689323B2 (en) 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6092937A (en) 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
WO2001027357A1 (en) 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6341998B1 (en) * 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
EP1282470B1 (de) 2000-05-16 2008-08-20 Regents Of The University Of Minnesota Partikelerzeugung für einen hohen massedurchsatz mit einer mehrfachdüsenanordnung
WO2002001613A2 (en) 2000-06-26 2002-01-03 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7000622B2 (en) 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6530823B1 (en) 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002075947A (ja) * 2000-08-30 2002-03-15 Alps Electric Co Ltd ウェット処理装置
US6555017B1 (en) * 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6550988B2 (en) 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
WO2002101797A2 (en) 2001-06-12 2002-12-19 Verteq, Inc Megasonic cleaner and dryer system
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003115474A (ja) 2001-10-03 2003-04-18 Ebara Corp 基板処理装置及び方法
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
JP2003151947A (ja) * 2001-11-08 2003-05-23 Seiko Epson Corp 表面処理装置および表面処理方法
US6799584B2 (en) 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
JP3867776B2 (ja) * 2001-11-16 2007-01-10 リコープリンティングシステムズ株式会社 インクジェット装置
CN100350552C (zh) 2002-09-30 2007-11-21 拉姆研究公司 使用弯液面、负压、ipa蒸汽、干燥歧管进行基板处理的系统
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US6988326B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7383843B2 (en) 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7252097B2 (en) 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7389783B2 (en) 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489461A1 (de) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US7353560B2 (en) 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Also Published As

Publication number Publication date
US7614411B2 (en) 2009-11-10
CN1707758A (zh) 2005-12-14
KR20060045439A (ko) 2006-05-17
CN100452308C (zh) 2009-01-14
ATE467230T1 (de) 2010-05-15
SG115836A1 (en) 2005-10-28
SG136145A1 (en) 2007-10-29
US20050145267A1 (en) 2005-07-07
JP2005311354A (ja) 2005-11-04
MY143956A (en) 2011-07-29
EP1583136A1 (de) 2005-10-05
EP1583136B1 (de) 2010-05-05
JP4630103B2 (ja) 2011-02-09
KR101147944B1 (ko) 2012-05-24

Similar Documents

Publication Publication Date Title
DE602005021010D1 (de) Kontrolle der Raumumgebung während des Trocknen von Wafern
MY139627A (en) Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
TW200517787A (en) Lithographic apparatus and device manufacturing method
MY163666A (en) Apparatus and method for processing a substrate
NO20083174L (no) Anordning og fremgangsmate for overflatebehandling av substrater
TW200802576A (en) Method and apparatus for cleaning substrates
ATE556428T1 (de) Reinigungsapparat und reingungsverfahren
TW200510961A (en) Apparatus and method for providing a confined liquid for immersion lithography
DK1737734T3 (da) Overtrukne implantater, deres fremstilling og anvendelse deraf
TW200717632A (en) Substrate processing apparatus and substrate processing method, and computer-readable storage medium
ATE465512T1 (de) Vorrichtung und verfahren zum verbinden von wafern
SG131052A1 (en) Substrate processing method
TW200610582A (en) Inkjet spray method and display device manufacturing method
TW200802532A (en) Substrate processing method, substrate processing apparatus and producing method of semiconductor apparatus
KR20180084642A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
ATE363385T1 (de) Vorrichtung zur verarbeitung von substraten mit aufeinandergepressten vorratsrollen
SG132651A1 (en) System and method to increase surface tension and contact angle in immersion lithography
TW200745777A (en) Lithographic apparatus and device manufacturing method
ATE465819T1 (de) Vorrichtung und verfahren zum gleichmässigen beschichten von substraten
DE602006012495D1 (de) Verfahren zum aufkonzentrieren von nanosuspensionen
ATE392494T1 (de) Verfahren zum beschichten von substraten in inline-anlagen
TW200710996A (en) Treatment solution and method of applying a passivating layer
ATE440157T1 (de) Elektrochemische abscheidung von selen in ionischen flüssigkeiten
DE602005019279D1 (de) Verbinden von siliciumcarbid
NO20031078D0 (no) Midlertidige beskyttende lag

Legal Events

Date Code Title Description
8364 No opposition during term of opposition