DE602005009063D1 - Pufferspeichermodul mit konfigurierbarer Schnittstellenbreite. - Google Patents

Pufferspeichermodul mit konfigurierbarer Schnittstellenbreite.

Info

Publication number
DE602005009063D1
DE602005009063D1 DE602005009063T DE602005009063T DE602005009063D1 DE 602005009063 D1 DE602005009063 D1 DE 602005009063D1 DE 602005009063 T DE602005009063 T DE 602005009063T DE 602005009063 T DE602005009063 T DE 602005009063T DE 602005009063 D1 DE602005009063 D1 DE 602005009063D1
Authority
DE
Germany
Prior art keywords
buffer module
configurable interface
interface width
width
configurable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE602005009063T
Other languages
English (en)
Inventor
Richard Perego
Fred Ware
Ely Tsern
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rambus Inc
Original Assignee
Rambus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34933486&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE602005009063(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Rambus Inc filed Critical Rambus Inc
Publication of DE602005009063D1 publication Critical patent/DE602005009063D1/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1684Details of memory controller using multiple buses
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/028Detection or location of defective auxiliary circuits, e.g. defective refresh counters with adaption or trimming of parameters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50012Marginal testing, e.g. race, voltage or current testing of timing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C2029/1806Address conversion or mapping, i.e. logical to physical address
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/181Printed circuits structurally associated with non-printed electric components associated with surface mounted components
DE602005009063T 2004-01-28 2005-01-28 Pufferspeichermodul mit konfigurierbarer Schnittstellenbreite. Active DE602005009063D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/766,131 US7363422B2 (en) 2000-01-05 2004-01-28 Configurable width buffered module

Publications (1)

Publication Number Publication Date
DE602005009063D1 true DE602005009063D1 (de) 2008-10-02

Family

ID=34933486

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602005009063T Active DE602005009063D1 (de) 2004-01-28 2005-01-28 Pufferspeichermodul mit konfigurierbarer Schnittstellenbreite.

Country Status (3)

Country Link
US (2) US7363422B2 (de)
EP (2) EP1587112B1 (de)
DE (1) DE602005009063D1 (de)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7844747B2 (en) * 2002-06-05 2010-11-30 Stmicroelectronics, Inc. Performance tuning using encoded performance parameter information
US7831653B2 (en) * 2002-12-13 2010-11-09 Lsi Corporation Flexible template having embedded gate array and composable memory for integrated circuits
JP2005108304A (ja) * 2003-09-29 2005-04-21 Toshiba Corp 半導体記憶装置及びその制御方法
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
US7120065B2 (en) * 2004-04-01 2006-10-10 Micron Technology, Inc. Techniques for implementing accurate operating current values stored in a database
US20110029723A1 (en) * 2004-08-06 2011-02-03 Super Talent Electronics, Inc. Non-Volatile Memory Based Computer Systems
US7542322B2 (en) 2004-09-30 2009-06-02 Intel Corporation Buffered continuous multi-drop clock ring
US7280428B2 (en) 2004-09-30 2007-10-09 Rambus Inc. Multi-column addressing mode memory system including an integrated circuit memory device
US7755387B2 (en) * 2004-11-01 2010-07-13 Sicronic Remote Kg, Llc FPGA having a direct routing structure
US8595459B2 (en) 2004-11-29 2013-11-26 Rambus Inc. Micro-threaded memory
KR100588599B1 (ko) * 2005-05-03 2006-06-14 삼성전자주식회사 메모리 모듈 및 메모리 시스템
US7414312B2 (en) * 2005-05-24 2008-08-19 Kingston Technology Corp. Memory-module board layout for use with memory chips of different data widths
GB2441726B (en) * 2005-06-24 2010-08-11 Metaram Inc An integrated memory core and memory interface circuit
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US7580312B2 (en) * 2006-07-31 2009-08-25 Metaram, Inc. Power saving system and method for use with a plurality of memory circuits
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US8359187B2 (en) 2005-06-24 2013-01-22 Google Inc. Simulating a different number of memory circuit devices
US8041881B2 (en) 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US9542352B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US20080082763A1 (en) 2006-10-02 2008-04-03 Metaram, Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US7392338B2 (en) 2006-07-31 2008-06-24 Metaram, Inc. Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US7609567B2 (en) * 2005-06-24 2009-10-27 Metaram, Inc. System and method for simulating an aspect of a memory circuit
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US20080028136A1 (en) 2006-07-31 2008-01-31 Schakel Keith R Method and apparatus for refresh management of memory modules
US7590796B2 (en) * 2006-07-31 2009-09-15 Metaram, Inc. System and method for power management in memory systems
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US7386656B2 (en) 2006-07-31 2008-06-10 Metaram, Inc. Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit
US7827345B2 (en) * 2005-08-04 2010-11-02 Joel Henry Hinrichs Serially interfaced random access memory
DE112006004263B4 (de) 2005-09-02 2015-05-13 Google, Inc. Speicherbaustein
KR100666180B1 (ko) * 2005-11-18 2007-01-09 삼성전자주식회사 메모리 모듈의 확장이 용이한 인쇄회로기판 및 이를포함하는 메모리 시스템
US7610417B2 (en) 2005-11-30 2009-10-27 Rambus Inc. Data-width translator coupled between variable-width and fixed-width data ports and supporting multiple data-width configurations
US7844879B2 (en) * 2006-01-20 2010-11-30 Marvell World Trade Ltd. Method and system for error correction in flash memory
US9632929B2 (en) 2006-02-09 2017-04-25 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US7542324B1 (en) * 2006-04-17 2009-06-02 Altera Corporation FPGA equivalent input and output grid muxing on structural ASIC memory
US7702978B2 (en) * 2006-04-21 2010-04-20 Altera Corporation Soft error location and sensitivity detection for programmable devices
US20070260841A1 (en) 2006-05-02 2007-11-08 Hampel Craig E Memory module with reduced access granularity
DE102006035612B4 (de) * 2006-07-31 2011-05-05 Qimonda Ag Speicherpuffer, FB-DIMM und Verfahren zum Betrieb eines Speicherpuffers
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
EP2487794A3 (de) 2006-08-22 2013-02-13 Mosaid Technologies Incorporated Modulare Befehlsstruktur für einen Speicher und Speichersystem
US7904639B2 (en) * 2006-08-22 2011-03-08 Mosaid Technologies Incorporated Modular command structure for memory and memory system
DE102006042335A1 (de) 2006-09-08 2008-03-27 Qimonda Ag Speicherbaustein mit einer komplexen Terminierung
US7529149B2 (en) * 2006-12-12 2009-05-05 Mosaid Technologies Incorporated Memory system and method with serial and parallel modes
US7533204B2 (en) * 2006-12-28 2009-05-12 Intel Corporation Enumeration of devices on a memory channel
CN101617371B (zh) 2007-02-16 2014-03-26 莫塞德技术公司 具有多个外部电源的非易失性半导体存储器
US20080229007A1 (en) * 2007-03-15 2008-09-18 Bellows Mark D Enhancements to an XDR Memory Controller to Allow for Conversion to DDR2
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
KR101150454B1 (ko) * 2007-08-06 2012-06-01 삼성전자주식회사 스타형 분기점을 갖는 메모리 모듈 및 그 형성방법
US8332680B2 (en) * 2007-08-13 2012-12-11 Rambus Inc. Methods and systems for operating memory in two modes
US8086936B2 (en) * 2007-08-31 2011-12-27 International Business Machines Corporation Performing error correction at a memory device level that is transparent to a memory channel
US8082482B2 (en) * 2007-08-31 2011-12-20 International Business Machines Corporation System for performing error correction operations in a memory hub device of a memory module
US8019919B2 (en) * 2007-09-05 2011-09-13 International Business Machines Corporation Method for enhancing the memory bandwidth available through a memory module
US20100269021A1 (en) * 2007-09-05 2010-10-21 Gower Kevin C Method for Performing Error Correction Operations in a Memory Hub Device of a Memory Module
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
US8140936B2 (en) * 2008-01-24 2012-03-20 International Business Machines Corporation System for a combined error correction code and cyclic redundancy check code for a memory channel
WO2009105282A1 (en) * 2008-02-20 2009-08-27 Rambus, Inc. Multiple interface memory with segmented i/o columns reconfigurable with respect to the interfaces
WO2009111175A1 (en) 2008-03-06 2009-09-11 Rambus Inc. Error detection and offset cancellation during multi-wire communication
JP4405565B2 (ja) * 2008-06-19 2010-01-27 株式会社東芝 メモリシステムおよびメモリデバイス
US7978721B2 (en) * 2008-07-02 2011-07-12 Micron Technology Inc. Multi-serial interface stacked-die memory architecture
US8683149B2 (en) * 2008-07-23 2014-03-25 Rambus Inc. Reconfigurable memory controller
US10236032B2 (en) * 2008-09-18 2019-03-19 Novachips Canada Inc. Mass data storage system with non-volatile memory modules
US7957173B2 (en) 2008-10-14 2011-06-07 Mosaid Technologies Incorporated Composite memory having a bridging device for connecting discrete memory devices to a system
US8134852B2 (en) 2008-10-14 2012-03-13 Mosaid Technologies Incorporated Bridge device architecture for connecting discrete memory devices to a system
US8549209B2 (en) * 2008-11-04 2013-10-01 Mosaid Technologies Incorporated Bridging device having a configurable virtual page size
US20100115172A1 (en) * 2008-11-04 2010-05-06 Mosaid Technologies Incorporated Bridge device having a virtual page buffer
US8137355B2 (en) 2008-12-12 2012-03-20 Zimmer Spine, Inc. Spinal stabilization installation instrumentation and methods
US8137356B2 (en) * 2008-12-29 2012-03-20 Zimmer Spine, Inc. Flexible guide for insertion of a vertebral stabilization system
US8713248B2 (en) 2009-06-02 2014-04-29 Nokia Corporation Memory device and method for dynamic random access memory having serial interface and integral instruction buffer
EP2441007A1 (de) 2009-06-09 2012-04-18 Google, Inc. Programmierung von dimm-abschlusswiderstandswerten
WO2011081691A2 (en) 2009-12-14 2011-07-07 Rambus Inc. Expandable asymmetric-channel memory system
US9342471B2 (en) * 2010-01-29 2016-05-17 Mosys, Inc. High utilization multi-partitioned serial memory
US9123552B2 (en) 2010-03-30 2015-09-01 Micron Technology, Inc. Apparatuses enabling concurrent communication between an interface die and a plurality of dice stacks, interleaved conductive paths in stacked devices, and methods for forming and operating the same
US9025409B2 (en) 2011-08-05 2015-05-05 Rambus Inc. Memory buffers and modules supporting dynamic point-to-point connections
US9268719B2 (en) 2011-08-05 2016-02-23 Rambus Inc. Memory signal buffers and modules supporting variable access granularity
JP6370528B2 (ja) 2011-09-30 2018-08-08 ラムバス・インコーポレーテッド メモリデバイス群間でのチェックビットメモリデバイスの共有
US9778877B1 (en) * 2011-11-02 2017-10-03 Rambus Inc. High capacity, high performance memory system
US9262174B2 (en) * 2012-04-05 2016-02-16 Nvidia Corporation Dynamic bank mode addressing for memory access
US8751710B2 (en) 2012-05-08 2014-06-10 Entegra Technologies, Inc. Reconfigurable modular computing device
US9275699B2 (en) 2012-08-17 2016-03-01 Rambus Inc. Memory with alternative command interfaces
US9009400B2 (en) 2012-10-16 2015-04-14 Rambus Inc. Semiconductor memory systems with on-die data buffering
US9892068B2 (en) 2012-12-06 2018-02-13 Rambus Inc. Local internal discovery and configuration of individually selected and jointly selected devices
US9489323B2 (en) 2013-02-20 2016-11-08 Rambus Inc. Folded memory modules
JP6139010B2 (ja) * 2013-03-15 2017-05-31 インテル・コーポレーション デバイス
US9875209B2 (en) * 2013-05-06 2018-01-23 Qualcomm Incorporated Synchronous data-link throughput enhancement technique based on data signal duty-cycle and phase modulation/demodulation
EP3058571A1 (de) * 2013-10-15 2016-08-24 Rambus Inc. Lastreduziertes speichermodul
US9792965B2 (en) 2014-06-17 2017-10-17 Rambus Inc. Memory module and system supporting parallel and serial access modes
US9569570B2 (en) 2015-04-01 2017-02-14 Freescale Semiconductor, Inc. Configurable delay cell
US10235242B2 (en) 2015-09-28 2019-03-19 Rambus Inc. Fault tolerant memory systems and components with interconnected and redundant data interfaces
US9997233B1 (en) 2015-10-08 2018-06-12 Rambus Inc. Memory module with dynamic stripe width
US9841922B2 (en) * 2016-02-03 2017-12-12 SK Hynix Inc. Memory system includes a memory controller
US20180285252A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Optimized memory access bandwidth devices, systems, and methods for processing low spatial locality data
US10446198B2 (en) 2017-10-02 2019-10-15 Micron Technology, Inc. Multiple concurrent modulation schemes in a memory system
US10355893B2 (en) 2017-10-02 2019-07-16 Micron Technology, Inc. Multiplexing distinct signals on a single pin of a memory device
US11403241B2 (en) 2017-10-02 2022-08-02 Micron Technology, Inc. Communicating data with stacked memory dies
US10725913B2 (en) 2017-10-02 2020-07-28 Micron Technology, Inc. Variable modulation scheme for memory device access or operation
US10490245B2 (en) 2017-10-02 2019-11-26 Micron Technology, Inc. Memory system that supports dual-mode modulation
CN107887527A (zh) * 2017-11-06 2018-04-06 京东方科技集团股份有限公司 Oled显示面板及制备方法、封装部失效判断方法、显示装置
JP6683670B2 (ja) * 2017-11-21 2020-04-22 ファナック株式会社 ロック機構
US10229890B1 (en) * 2018-01-16 2019-03-12 Micron Technology, Inc. Compensating for memory input capacitance
CN109613876A (zh) * 2018-04-28 2019-04-12 合肥本源量子计算科技有限责任公司 一种多通道量子测控系统
US10642538B1 (en) * 2018-09-28 2020-05-05 Cadence Design Systems, Inc. Multi-channel memory interface
WO2020117700A1 (en) 2018-12-03 2020-06-11 Rambus Inc. Dram interface mode with improved channel integrity and efficiency at high signaling rates
CN111630504A (zh) * 2018-12-19 2020-09-04 美光科技公司 具有不同物理尺寸、存储器格式和操作能力的存储器装置、模块和具有存储器装置的系统
US11308017B2 (en) * 2019-05-31 2022-04-19 Micron Technology, Inc. Reconfigurable channel interfaces for memory devices
US11188684B2 (en) * 2019-11-15 2021-11-30 Xilinx, Inc. Software defined subsystem creation for heterogeneous integrated circuits

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4667305A (en) 1982-06-30 1987-05-19 International Business Machines Corporation Circuits for accessing a variable width data bus with a variable width data field
US4631666A (en) 1982-10-25 1986-12-23 Burroughs Corporation Data transfer network for variable protocol management
US4621339A (en) 1983-06-13 1986-11-04 Duke University SIMD machine using cube connected cycles network architecture for vector processing
US4747070A (en) 1984-01-09 1988-05-24 Wang Laboratories, Inc. Reconfigurable memory system
US4858107A (en) 1985-03-11 1989-08-15 General Electric Company Computer device display system using conditionally asynchronous memory accessing by video display controller
US4706219A (en) * 1985-04-10 1987-11-10 Nec Corporation Word length selectable memory
US4644532A (en) 1985-06-10 1987-02-17 International Business Machines Corporation Automatic update of topology in a hybrid network
US4747100A (en) 1986-08-11 1988-05-24 Allen-Bradley Company, Inc. Token passing network utilizing active node table
US6112287A (en) * 1993-03-01 2000-08-29 Busless Computers Sarl Shared memory multiprocessor system using a set of serial links as processors-memory switch
US4977498A (en) 1988-04-01 1990-12-11 Digital Equipment Corporation Data processing system having a data memory interlock coherency scheme
US5301278A (en) * 1988-04-29 1994-04-05 International Business Machines Corporation Flexible dynamic memory controller
US5034917A (en) 1988-05-26 1991-07-23 Bland Patrick M Computer system including a page mode memory with decreased access time and method of operation thereof
US4965799A (en) * 1988-08-05 1990-10-23 Microcomputer Doctors, Inc. Method and apparatus for testing integrated circuit memories
US4947257A (en) 1988-10-04 1990-08-07 Bell Communications Research, Inc. Raster assembly processor
US5068650A (en) 1988-10-04 1991-11-26 Bell Communications Research, Inc. Memory system for high definition television display
US4864563A (en) 1989-01-09 1989-09-05 E-Systems, Inc. Method for establishing and maintaining a nodal network in a communication system
US5089993B1 (en) 1989-09-29 1998-12-01 Texas Instruments Inc Memory module arranged for data and parity bits
JPH04219859A (ja) 1990-03-12 1992-08-10 Hewlett Packard Co <Hp> 並列プロセッサに直列命令ストリームデータを分散するハードウェアディストリビュータ
IL96808A (en) 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5243703A (en) * 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
US5283877A (en) 1990-07-17 1994-02-01 Sun Microsystems, Inc. Single in-line DRAM memory module including a memory controller and cross bar switches
US5408646A (en) 1991-03-29 1995-04-18 International Business Machines Corp. Multipath torus switching apparatus
US5228134A (en) 1991-06-04 1993-07-13 Intel Corporation Cache memory integrated circuit for use with a synchronous central processor bus and an asynchronous memory bus
GB2256512B (en) 1991-06-04 1995-03-15 Intel Corp Second level cache controller unit and system
WO1993018463A1 (en) * 1992-03-06 1993-09-16 Rambus, Inc. Method and circuitry for minimizing clock-data skew in a bus system
US5475818A (en) 1992-03-18 1995-12-12 Aeg Transportation Systems, Inc. Communications controller central processing unit board
US5553266A (en) 1992-04-24 1996-09-03 Digital Equipment Corporation Update vs. invalidate policy for a snoopy bus protocol
US5371880A (en) 1992-05-13 1994-12-06 Opti, Inc. Bus synchronization apparatus and method
US5307320A (en) * 1992-09-23 1994-04-26 Intel Corporation High integration DRAM controller
US5392407A (en) * 1992-12-24 1995-02-21 Ncr Corporation Multi-port processor with peripheral component interconnect port and rambus port
US5511224A (en) 1993-02-18 1996-04-23 Unisys Corporation Configurable network using dual system busses with common protocol compatible for store-through and non-store-through cache memories
US5509138A (en) * 1993-03-22 1996-04-16 Compaq Computer Corporation Method for determining speeds of memory modules
US5400360A (en) 1993-03-23 1995-03-21 Limitorque Corporation Repeater for a digital control system
EP0622739A1 (de) 1993-04-29 1994-11-02 International Business Machines Corporation System zum kaskadenförmigen Anordnen von Datenschaltern in einem Kommunikationsknoten
US5544342A (en) 1993-06-30 1996-08-06 International Business Machines Corporation System and method for prefetching information in a processing system
US5630095A (en) 1993-08-03 1997-05-13 Motorola Inc. Method for use with a data coherency protocol allowing multiple snoop queries to a single snoop transaction and system therefor
US5602780A (en) 1993-10-20 1997-02-11 Texas Instruments Incorporated Serial to parallel and parallel to serial architecture for a RAM based FIFO memory
JP3420621B2 (ja) 1993-11-04 2003-06-30 富士通株式会社 通信網の分散型経路選択制御装置
US5574945A (en) 1993-11-04 1996-11-12 International Business Machines Corporation Multi channel inter-processor coupling facility processing received commands stored in memory absent status error of channels
US6276844B1 (en) 1993-12-06 2001-08-21 International Business Machines Corporation Clustered, buffered simms and assemblies thereof
DE69519426T2 (de) 1994-03-22 2001-06-21 Hyperchip Inc Zellenbasierte fehlertolerante Architektur mit vorteilhafter Verwendung der nicht-zugeteilten redundanten Zellen
US6408402B1 (en) 1994-03-22 2002-06-18 Hyperchip Inc. Efficient direct replacement cell fault tolerant architecture
US5513377A (en) 1994-06-17 1996-04-30 International Business Machines Corporation Input-output element has self timed interface using a received clock signal to individually phase aligned bits received from a parallel bus
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
US5642444A (en) 1994-07-28 1997-06-24 Univ North Carolina Specialized image processing system architecture and method for image data arrays
US5838603A (en) * 1994-10-11 1998-11-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same, memory core chip and memory peripheral circuit chip
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
JPH08278916A (ja) 1994-11-30 1996-10-22 Hitachi Ltd マルチチャネルメモリシステム、転送情報同期化方法及び信号転送回路
US5513135A (en) 1994-12-02 1996-04-30 International Business Machines Corporation Synchronous memory packaged in single/dual in-line memory module and method of fabrication
US5893921A (en) 1995-02-10 1999-04-13 International Business Machines Corporation Method for maintaining memory coherency in a computer system having a cache utilizing snoop address injection during a read transaction by a dual memory bus controller
US5701313A (en) 1995-02-24 1997-12-23 Unisys Corporation Method and apparatus for removing soft errors from a memory
JPH08235130A (ja) 1995-02-24 1996-09-13 Sony Corp 並列プロセッサ
US5604735A (en) 1995-03-15 1997-02-18 Finisar Corporation High speed network switch
SE514348C2 (sv) 1995-06-09 2001-02-12 Saab Dynamics Ab Minnesstruktur anpassad för lagring och hämtning av vektorer
SE505022C2 (sv) 1995-08-08 1997-06-16 Saab Dynamics Ab Metod och anordning för distribution och synkronisering av klocksignaler i ett digitalt system
US5867422A (en) 1995-08-08 1999-02-02 University Of South Florida Computer memory chip with field programmable memory cell arrays (fpmcas), and method of configuring
US6006318A (en) 1995-08-16 1999-12-21 Microunity Systems Engineering, Inc. General purpose, dynamic partitioning, programmable media processor
US5742840A (en) 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US5701438A (en) * 1995-09-29 1997-12-23 Intel Corporation Logical relocation of memory based on memory device type
US5644541A (en) * 1995-11-03 1997-07-01 Philip K. Siu Memory substitution system and method for correcting partially defective memories
US5659710A (en) 1995-11-29 1997-08-19 International Business Machines Corporation Cache coherency method and system employing serially encoded snoop responses
JPH09161471A (ja) 1995-12-06 1997-06-20 Internatl Business Mach Corp <Ibm> Dramシステム、dramシステムの動作方法
KR970051229A (ko) * 1995-12-22 1997-07-29 김광호 비동기 발생신호를 사용하는 반도체 메모리 장치
US5758056A (en) * 1996-02-08 1998-05-26 Barr; Robert C. Memory system having defective address identification and replacement
US6108731A (en) 1996-02-09 2000-08-22 Hitachi, Ltd. Information processor and method of its component arrangement
US5911052A (en) 1996-07-01 1999-06-08 Sun Microsystems, Inc. Split transaction snooping bus protocol
US5860080A (en) 1996-03-19 1999-01-12 Apple Computer, Inc. Multicasting system for selecting a group of memory devices for operation
US5787083A (en) 1996-03-29 1998-07-28 Nec Corporation Path setting system for broadcast service in switching network
US6125419A (en) 1996-06-13 2000-09-26 Hitachi, Ltd. Bus system, printed circuit board, signal transmission line, series circuit and memory module
US5802054A (en) 1996-08-15 1998-09-01 3Com Corporation Atomic network switch with integrated circuit switch nodes
US5802565A (en) 1996-08-29 1998-09-01 Hewlett-Packard Company Speed optimal bit ordering in a cache memory
US6104417A (en) 1996-09-13 2000-08-15 Silicon Graphics, Inc. Unified memory computer architecture with dynamic graphics memory allocation
US5781717A (en) * 1996-09-19 1998-07-14 I-Cube, Inc. Dynamic spare column replacement memory system
US5917760A (en) 1996-09-20 1999-06-29 Sldram, Inc. De-skewing data signals in a memory system
US6226723B1 (en) * 1996-09-20 2001-05-01 Advanced Memory International, Inc. Bifurcated data and command/address communication bus architecture for random access memories employing synchronous communication protocols
US6092229A (en) 1996-10-09 2000-07-18 Lsi Logic Corporation Single chip systems using general purpose processors
US5805798A (en) 1996-10-29 1998-09-08 Electronic Data Systems Corporation Fail-safe event driven transaction processing system and method
JPH10134563A (ja) 1996-10-31 1998-05-22 Fujitsu Ltd メモリ駆動回路
US5884036A (en) 1996-11-08 1999-03-16 Haley; Andrew Paul Method for determining the topology of an ATM network having decreased looping of topology information cells
JP3455040B2 (ja) 1996-12-16 2003-10-06 株式会社日立製作所 ソースクロック同期式メモリシステムおよびメモリユニット
US5848145A (en) 1996-12-20 1998-12-08 Lucent Technologies Inc. Automatic learning of network routing using random routes
US5987576A (en) * 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US5867180A (en) 1997-03-13 1999-02-02 International Business Machines Corporation Intelligent media memory statically mapped in unified memory architecture
JP3127853B2 (ja) 1997-04-30 2001-01-29 日本電気株式会社 メモリ集積回路並びにこれを用いた主記憶システム及びグラフィクスメモリシステム
US5896383A (en) * 1997-05-01 1999-04-20 Advanced Micro Devices, Inc. System and method for encoding instruction fields within data packets
US5900017A (en) 1997-05-14 1999-05-04 International Business Machines Corporation Snooping a variable number of cache addresses in a multiple processor system by a single snoop request
US5898863A (en) 1997-06-03 1999-04-27 Emc Corporation Method and apparatus for determining I/O size distribution of an input/output system and its use for load simulation
US6286062B1 (en) 1997-07-01 2001-09-04 Micron Technology, Inc. Pipelined packet-oriented memory system having a unidirectional command and address bus and a bidirectional data bus
US5923893A (en) 1997-09-05 1999-07-13 Motorola, Inc. Method and apparatus for interfacing a processor to a coprocessor
US5901294A (en) 1997-09-18 1999-05-04 International Business Machines Corporation Method and system for bus arbitration in a multiprocessor system utilizing simultaneous variable-width bus access
US5913044A (en) 1997-09-18 1999-06-15 International Business Machines Corporation Method and system for simultaneous variable-width bus access in a multiprocessor system
US5889726A (en) * 1997-11-17 1999-03-30 Micron Electronics, Inc. Apparatus for providing additional latency for synchronously accessed memory
US6266252B1 (en) 1997-12-01 2001-07-24 Chris Karabatsos Apparatus and method for terminating a computer memory bus
US5953215A (en) 1997-12-01 1999-09-14 Karabatsos; Chris Apparatus and method for improving computer memory speed and capacity
US7007130B1 (en) * 1998-02-13 2006-02-28 Intel Corporation Memory system including a memory module having a memory module controller interfacing between a system memory controller and memory devices of the memory module
US6622224B1 (en) * 1997-12-29 2003-09-16 Micron Technology, Inc. Internal buffered bus for a drum
US6480927B1 (en) * 1997-12-31 2002-11-12 Unisys Corporation High-performance modular memory system with crossbar connections
US6968419B1 (en) * 1998-02-13 2005-11-22 Intel Corporation Memory module having a memory module controller controlling memory transactions for a plurality of memory devices
US6970968B1 (en) * 1998-02-13 2005-11-29 Intel Corporation Memory module controller for providing an interface between a system memory controller and a plurality of memory devices on a memory module
US7024518B2 (en) * 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6142830A (en) 1998-03-06 2000-11-07 Siemens Aktiengesellschaft Signaling improvement using extended transmission lines on high speed DIMMS
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
CN100452787C (zh) * 1998-03-16 2009-01-14 杰佐公司 检测进入信号从一种已知的先前逻辑状态转变的方法
US6327205B1 (en) 1998-03-16 2001-12-04 Jazio, Inc. Signal latching of high bandwidth DRAM arrays when skew between different components is higher than signal rate
US6160423A (en) 1998-03-16 2000-12-12 Jazio, Inc. High speed source synchronous signaling for interfacing VLSI CMOS circuits to transmission lines
JP3727778B2 (ja) 1998-05-07 2005-12-14 株式会社東芝 データ高速転送同期システム及びデータ高速転送同期方法
US6016282A (en) 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6185654B1 (en) 1998-07-17 2001-02-06 Compaq Computer Corporation Phantom resource memory address mapping system
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US6272609B1 (en) 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
DE19838813A1 (de) 1998-08-26 2000-03-02 Siemens Ag Speichersystem
US6633947B1 (en) * 1998-09-16 2003-10-14 Intel Corporation Memory expansion channel for propagation of control and request packets
US6587912B2 (en) * 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US6330687B1 (en) 1998-11-13 2001-12-11 Digi-Data Corporation System and method to maintain performance among N single raid systems during non-fault conditions while sharing multiple storage devices during conditions of a faulty host computer or faulty storage array controller
US6208273B1 (en) 1999-01-29 2001-03-27 Interactive Silicon, Inc. System and method for performing scalable embedded parallel data compression
US6449679B2 (en) 1999-02-26 2002-09-10 Micron Technology, Inc. RAM controller interface device for RAM compatibility (memory translator hub)
IL160386A (en) * 1999-04-06 2005-11-20 Broadcom Corp Video encoding and video/audio/data multiplexing device
US6446158B1 (en) 1999-05-17 2002-09-03 Chris Karabatsos Memory system using FET switches to select memory banks
US6414868B1 (en) * 1999-06-07 2002-07-02 Sun Microsystems, Inc. Memory expansion module including multiple memory banks and a bank control circuit
US6708248B1 (en) * 1999-07-23 2004-03-16 Rambus Inc. Memory system with channel multiplexing of multiple memory devices
US6477592B1 (en) 1999-08-06 2002-11-05 Integrated Memory Logic, Inc. System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream
US6889284B1 (en) * 1999-10-19 2005-05-03 Intel Corporation Method and apparatus for supporting SDRAM memory
US6557069B1 (en) * 1999-11-12 2003-04-29 International Business Machines Corporation Processor-memory bus architecture for supporting multiple processors
US6513091B1 (en) * 1999-11-12 2003-01-28 International Business Machines Corporation Data routing using status-response signals
US6643752B1 (en) * 1999-12-09 2003-11-04 Rambus Inc. Transceiver with latency alignment circuitry
US6502161B1 (en) * 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US6247100B1 (en) 2000-01-07 2001-06-12 International Business Machines Corporation Method and system for transmitting address commands in a multiprocessor system
DE10022479B4 (de) * 2000-05-09 2004-04-08 Infineon Technologies Ag Anordnung zur Übertragung von Signalen zwischen einer Datenverarbeitungseinrichtung und einer Funktionseinheit in einem Hauptspeichersystem eines Computersystems
KR100351053B1 (ko) * 2000-05-19 2002-09-05 삼성전자 주식회사 종단저항을 내장하는 메모리 모듈 및 이를 포함하여 다중채널구조를 갖는 메모리 모듈
KR100335504B1 (ko) 2000-06-30 2002-05-09 윤종용 제어 및 어드레스 버스를 공유하는 2채널 메모리 시스템및 이에 채용되는 메모리 모듈
US6487102B1 (en) * 2000-09-18 2002-11-26 Intel Corporation Memory module having buffer for isolating stacked memory devices
US6820163B1 (en) * 2000-09-18 2004-11-16 Intel Corporation Buffering data transfer between a chipset and memory modules
US6530006B1 (en) * 2000-09-18 2003-03-04 Intel Corporation System and method for providing reliable transmission in a buffered memory system
US6369605B1 (en) 2000-09-18 2002-04-09 Intel Corporation Self-terminated driver to prevent signal reflections of transmissions between electronic devices
US6625687B1 (en) * 2000-09-18 2003-09-23 Intel Corporation Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing
US6317352B1 (en) 2000-09-18 2001-11-13 Intel Corporation Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules
US6449213B1 (en) 2000-09-18 2002-09-10 Intel Corporation Memory interface having source-synchronous command/address signaling
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US6889304B2 (en) * 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
US7610447B2 (en) * 2001-02-28 2009-10-27 Rambus Inc. Upgradable memory system with reconfigurable interconnect
US6877079B2 (en) * 2001-03-06 2005-04-05 Samsung Electronics Co., Ltd. Memory system having point-to-point bus configuration
US6934823B2 (en) * 2001-03-29 2005-08-23 Intel Corporation Method and apparatus for handling memory read return data from different time domains
DE10128770B4 (de) * 2001-06-13 2014-05-15 Qimonda Ag Verfahren zum Übertragen von Daten in ein Speicherzellenfeld und Schaltungsanordnung
US6690191B2 (en) * 2001-12-21 2004-02-10 Sun Microsystems, Inc. Bi-directional output buffer
US7110400B2 (en) * 2002-04-10 2006-09-19 Integrated Device Technology, Inc. Random access memory architecture and serial interface with continuous packet handling capability
US6853938B2 (en) * 2002-04-15 2005-02-08 Micron Technology, Inc. Calibration of memory circuits
US6639820B1 (en) * 2002-06-27 2003-10-28 Intel Corporation Memory buffer arrangement
US6854042B1 (en) * 2002-07-22 2005-02-08 Chris Karabatsos High-speed data-rate converting and switching circuit
JP4159415B2 (ja) * 2002-08-23 2008-10-01 エルピーダメモリ株式会社 メモリモジュール及びメモリシステム
DE10260184B4 (de) * 2002-12-20 2005-08-25 Infineon Technologies Ag Speichermodul mit einer Testeinrichtung

Also Published As

Publication number Publication date
US20040186956A1 (en) 2004-09-23
US20080034130A1 (en) 2008-02-07
EP1587112A3 (de) 2006-06-21
EP1890294A2 (de) 2008-02-20
US7526597B2 (en) 2009-04-28
EP1587112B1 (de) 2008-08-20
EP1890294A3 (de) 2008-04-30
US7363422B2 (en) 2008-04-22
EP1587112A2 (de) 2005-10-19

Similar Documents

Publication Publication Date Title
DE602005009063D1 (de) Pufferspeichermodul mit konfigurierbarer Schnittstellenbreite.
NL1028967A1 (nl) 4-Fenylamino-chinazoline-6-yl-amiden.
NO20051522D0 (no) Kabelskjotbeskytter.
NL1030036A1 (nl) Illuminator.
DE602006014125D1 (de) Banksitz mit beweglichen polstern
NO20053210D0 (no) Linneslakkompensator.
NO20052746D0 (no) Avlopsenhet.
NO20041374D0 (no) Bolgekraftverk.
NO20052482D0 (no) Redningskokong.
FI20045182A0 (fi) Tiedonsiirto UMTS järjestelmässä
DE60328921D1 (de) Kondom mit integriertem wulstaufbau
NO20040762L (no) Stromskinne.
DE602006004394D1 (de) Fördervorrichtung mit Puffersystem
ES1058954Y (es) Asiento.
NL1028622A1 (nl) Stelwig.
ITRM20050575A1 (it) Dispositivo di interconnessione.
ES1061956Y (es) Amoladora-pulidora con aspiracion.
ITTV20050055A1 (it) Dispositivo luminoso.
NL1025196A1 (nl) Hark-Zeef-Vork.
ES1058365Y (es) Imbornal con sifon incorporado.
ES1058337Y (es) Estuche de gafas.
NO20053258D0 (no) Grensesnitt.
ES1058348Y (es) Perfeccionamientos en sumideros con efecto sifonico.
ES1056575Y (es) Vinagrera antigoteo con dosificador.
ES1058158Y (es) Lavabo.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition