DE60116216T2 - Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht - Google Patents

Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht Download PDF

Info

Publication number
DE60116216T2
DE60116216T2 DE60116216T DE60116216T DE60116216T2 DE 60116216 T2 DE60116216 T2 DE 60116216T2 DE 60116216 T DE60116216 T DE 60116216T DE 60116216 T DE60116216 T DE 60116216T DE 60116216 T2 DE60116216 T2 DE 60116216T2
Authority
DE
Germany
Prior art keywords
layer
dielectric layer
silicon
carbon
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60116216T
Other languages
English (en)
Other versions
DE60116216D1 (de
Inventor
Frederic Gaillard
Tian-Hoe Lim
Ellie Yieh
Yung-Cheng Lu
Wai-Fan Yau
Kuo-Wei Liu
Shin-Puu Jeng
Li-Qun Xia
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE60116216D1 publication Critical patent/DE60116216D1/de
Application granted granted Critical
Publication of DE60116216T2 publication Critical patent/DE60116216T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung integrierter Schaltungen. Insbesondere bezieht sich die Erfindung auf ein Verfahren zur Abscheidung dielektrischer Schichten auf einem Substrat und Strukturen, die durch die dielektrische Schicht gebildet werden.
  • Einer der hauptsächlichen Schritte bei der Herstellung moderner Halbleiterbauteile ist die Ausbildung von Metallfilmen und dielektrischen Filmen auf einem Substrat durch chemische Reaktion von Gasen. Solche Abscheidungsverfahren werden als chemische Abscheidungen aus der Dampfphase oder CVD bezeichnet. Herkömmliche, thermische CVD-Verfahren liefern Reaktionsgase an die Substratoberfläche, wodurch wärmeinduzierte, chemische Reaktionen stattfinden, um eine gewünschte Schicht zu erzeugen.
  • Die Geometrien von Halbleiterbauteilen haben sich in ihrer Größe dramatisch verringert seit solche Bauteile vor mehreren 10 Jahren als erstes eingeführt wurden. Seitdem sind die integrierten Schaltungen im allgemeinen der Zwei- Jahre-/halbe-Größe-Regel (oft als Moorsches Gesetz bezeichnet) gefolgt, was bedeutet, dass die Anzahl der Bauteile, die auf einen Chip paßt, sich nach jeweils zwei Jahren verdoppelt. Die derzeitigen Herstellungsanlagen erzeugen routinemäßig Bauteile, die Merkmalgrößen von 0,35 μm und selbst 0,18 μm haben, und die Anlagen von Morgen werden bald Bauteile erzeugen, die noch kleinere Geometrien haben.
  • Um die Größe der Bauteile auf den integrierten Schaltungen weiter zu reduzieren, wurde es erforderlich, leitfähige Materialien, die einen geringen spezifischen Widerstand haben, und Isolatoren zu verwenden, die ein geringes k (dielektrische Konstante < 4,0) haben, um die kapazitive Kopplung zwischen nebeneinander liegenden Metall-Leitungen zu reduzieren. Ein solches Material mit niedrigem k ist auf geschleudertes Glas, beispielsweise undotiertes Siliziumglas (USG) oder mit Fluor dotiertes Siliziumglas (FSG), die als Spalt-Füllmaterial in dem Halbleiterherstellungsverfahren abgeschieden werden können.
  • Eine Auskleidungs-/Sperrschicht wird typischerweise zwischen danach abgeschiedenen, leitfähigen Materialien und dem dielektrischen Material mit niedrigem k abgeschieden, um eine Diffusion von Nebenprodukten, beispielsweise Feuchtigkeit, auf die leitfähigen Materialien zu verhindern. Beispielsweise diffundiert die Feuchtigkeit, die während der Herstellung eines Isolators mit niedrigem k erzeugt werden kann, leicht zu der Oberfläche des leitfähigen Materials und erhöht den spezifischen Widerstand der leitfähigen Metalloberfläche. Eine Sperr-/Auskleidungsschicht, die aus herkömmlichen Siliziumoxid- oder Siliziumnitrid -Materialien hergestellt sind, können die Diffusion der Nebenprodukte blockieren. Auf ähnliche Weise kann eine Deckschicht auf einer dielektrischen Spaltschicht mit niedrigem k abgeschieden werden, um die Diffusion von Verunreinigungen, beispielsweise Feuchtigkeit, zu verhindern. Die Sperr-/Auskleidungsschichten und Deckschichten haben jedoch typischerweise dielektrische Konstanten, die erheblich größer als 4,0 sind, und die hohen dielektrischen Konstanten resultieren in einem kombinierten Isolator, der die dielektrische Konstante nicht signifikant reduziert.
  • Daher verbleibt der Bedarf nach dielektrischen Schichten, die niedrige dielektrische Konstanten haben, und angrenzenden Auskleidungs-/Sperr-Schichten, die insgesamt eine niedrige dielektrische Konstante liefern.
  • Die vorliegende Erfindung stellt allgemein ein Verfahren zur Verfügung, um eine Siliziumoxikarbidschicht mit einer niedrigen dielektrischen Konstanten abzuscheiden und um eine Silizium und Kohlenstoff enthalte Schicht auf der Siliziumoxikarbidschicht abzuscheiden. In einem Aspekt stellt die Erfindung ein Verfahren bereit, um ein Substrat zu verarbeiten, das die Abscheidung einer dielektrischen Schicht, die Silizium, Sauerstoff und Kohlenstoff umfasst, auf dem Substrat aufweist, worin die dielektrische Schicht einen Kohlenstoffgehalt von wenigstens 1% des atomaren Gewichts und eine dielektrische Konstante von weniger als etwa 3 hat, und das Abscheiden einer Silizium und Kohlenstoff enthaltenden Schicht auf der dielektrischen Schicht. Die Silizium und Kohlenstoff enthaltende Schicht kann eine amorphe Siliziumkarbidschicht sein, die mit Sauerstoff, Stickstoff oder beiden dotiert ist.
  • Ein anderer Aspekt der Erfindung stellt ein Verfahren bereit, um ein Substrat zu verarbeiten, das umfasst das Abscheiden einer dielektrischen Schicht auf dem Substrat durch Reaktion einer Organosilanverbindung und eines oxidierenden Gases, wobei die dielektrische Schicht einen Kohlenstoffgehalt von wenigstens 1% des atomaren Gewichts und eine Dielektrizitätskonstante von weniger als etwa 3 hat, und das Abschreiten einer Siliziumkarbidschicht oder einer dotierten Siliziumkarbidschicht auf der dielektrischen Schicht bei Plasmabedingungen, die ausreichend sind, um die Dielektrizitätskonstante der dielektrischen Schicht zu reduzieren.
  • In einem anderen Aspekt der Erfindung wird ein Verfahren bereitgestellt, um ein Substrat zu verarbeiten, das umfasst das Abscheiden einer dielektrischen Schicht auf dem Substrat durch Reaktion einer Organosilanverbindung, die 3 oder mehrere Alkylgruppen umfasst, mit Ozon, wobei die dielektrische Schicht einen Kohlenstoffgehalt zwischen etwa 5% und etwa 50% des atomaren Gewichts und eine Dielektrizitätskonstante von weniger als 3 hat, und das Abscheiden einer Siliziumkarbidschicht oder einer dotierten Siliziumkarbidschicht auf der dielektrischen Schicht durch Reaktion einer Alkylsilanverbindung bei Plasmabedingungen, die ausreichend sind, um die Dielektrizitätskonstante der dielektrischen Schicht auf weniger als etwa 2,4 zu reduzieren.
  • Ein anderer Aspekt der Erfindung stellt ein Substrat bereit, das eine dielektrische Schicht aufweist, die Silizium, Sauerstoff und Karbon umfasst, worin die dielektrische Schicht einen Kohlenstoffgehalt von wenigstens 1% des atomaren Gewichts hat, und eine Silizium und Kohlenstoff enthaltende Schicht umfasst, die die dielektrische Schicht abdeckt. Die dielektrische Schicht hat vorzugsweise eine Dielektrizitätskonstante weniger als etwa 2,4.
  • Damit die Ausführungsbeispiele der vorliegenden Erfindung im Detail zu verstehen sind, wird eine speziellere Beschreibung unter Bezugnahme auf die beigefügten Zeichnungen gegeben. Es ist jedoch zu beachten, dass die beigefügten Zeichnungen nur typische Ausführungsbeispiele der Erfindung zeigen und daher nicht als Einschränkung ihres Schutzumfangs zu betrachten sind, da die Erfindung auch andere gleichermaßen effektive Ausführungsbeispiele zuläßt.
  • 1 ist ein Querschnittsdiagramm eines beispielhaften CVD-Reaktors, der für die Verwendung gemäß den hier beschriebenen Ausführungsbeispielen konfiguriert ist;
  • 2 ist ein Flußdiagramm eines Prozeßsteuerungs-Computerprogrammprodukts, das im Zusammenhang mit dem beispielhaften CVD-Reaktor von 1 verwendet wird;
  • 3 ist eine Querschnittsdarstellung, die eine Damaszene-Struktur zeigt, die eine Siliziumoxikarbidschicht und eine Siliziumkarbid-Deckschicht aufweist, die hier beschrieben wird;
  • 4A4C sind Querschnittsdarstellungen, die ein Ausführungsbeispiel einer Damaszene-Abscheidungssequenz zeigen;
  • 5 ist eine Querschnittsdarstellung, die eine Doppelt- Damaszene -Struktur zeigt, die zwei Siliziumoxikarbidschichten und zwei Siliziumkarbid-Deckschichten umfasst, die hier beschrieben sind;
  • 6A6E sind Querschnittsdarstellungen, die ein Ausführungsbeispiel einer Doppelt-Damaszene-Abscheidungssequenz zeigen;
  • 7 ist ein Flußdiagramm, das die Schritte zeigt, die bei der Abscheidung einer Siliziumoxikarbidschicht und einer Siliziumkarbid-Deckschicht in einem Spaltfüllverfahren gemäß einem anderen Ausführungsbeispiel unternommen werden, das hier beschrieben wird; und
  • 8A8E ist ein schematisches Diagramm der Schichten, die auf einem Substrat durch das Verfahren nach 7 abgeschieden werden.
  • Die vorliegende Erfindung wird unter Bezugnahme auf ein Verfahren und eine Vorrichtung zur Abscheidung einer Siliziumoxikarbidschicht mit einer niedrigen Dielektrizitätskonstanten und zur Abscheidung einer Silizium und Kohlenstoff enthaltenden Schicht auf der Siliziumoxikarbidschicht beschrieben. Überraschender Weise und unerwarteter Weise vermindert die durch Plasma unterstützte Abscheidung einer Silizium und Kohlenstoff enthaltenden Schicht auf der Siliziumoxikarbidschicht die Dielektrizitätskonstante des darunterliegenden Siliziumoxikarbid-Materials offenbar durch Entfernen von einigem Kohlenstoff ohne Schrumpfung oder Deformation der Siliziumoxikarbidschicht.
  • Die Siliziumoxikarbidschicht wird durch eine Reaktion einer Organosiliziumverbindung abgeschieden, um eine dielektrische Schicht zu bilden, die Kohlenstoff Silizium-Bindungen und eine Dielektrizitätskonstante weniger als etwa 3 hat. Die Siliziumoxikarbidschicht kann als eine ebene Schicht oder als eine dielektrische Spaltfüllschicht zwischen leitfähigen Materialien vor der Abscheidung der Silizium und Kohlenstoff enthaltenden Schicht abgeschieden werden. Die Silizium und Kohlenstoff enthaltende Schicht ist vorzugsweise eine Siliziumkarbid-Deckschicht, die mit Sauerstoff, Stickstoff oder beidem dotiert sein kann.
  • Die Siliziumoxikarbidschicht enthält Kohlenstoff in Silizium-Kohlenstoff-Bindungen, was zu niedrigen Dielektrizitätskonstanten und Sperreigenschaften beiträgt. Der verbleibende Kohlenstoffgehalt der abgeschiedenen Schicht ist zwischen 1% und etwa 50% des atomaren Gewichts und ist vorzugsweise zwischen 5% und etwa 50% des atomaren Gewichts. Die abgeschiedenen Filme können C-H- oder C-F-Bindungen durchgehend enthalten, um der Siliziumoxikarbidschicht hydrophobe Eigenschaften zu verleihen. Es wird angenommen, dass der Einschluß von Kohlenstoff-Silizium-Bindungen in der Siliziumoxikarbidschicht die Dielektrizitätskonstante auf etwa 3 oder weniger reduziert.
  • Die Siliziumoxikarbidschichten werden aus Organosiliziumverbindungen erzeugt, die Kohlenstoff in Organogruppen enthält, die nicht leicht durch Oxidation bei den Prozeßbedingungen entfernt werden können. Geeignete Organogruppen umfassen Alkyl-, Alkenyl-, Cyclohexenyl- und Aryl-Gruppen und funktionale Derivate. Die Organosiliziumverbindungen umfassen beispielsweise:
    Methylsilan CH3-SiH3
    Dimethylsilan (CH3)2-SiH2
    Trimethylsilan (CH3)3-SiH
    Tetramethylsilan (CH3)4-Si
    Dimethylsilandiol (CH3)2-Si-(OH)2
    Ethylsilan CH3-CH2-SiH3
    Phenylsilan C6H5-SiH3
    Diphenylsilan (C6H5)2-SiH2
    Diphenylsilandiol (C6H5)2-Si-(OH)3
    Methylphenylsilan C6H5-SiH2-CH3
    Disilanomethan SiH3-CH2-SiH3
    Bis(methylsilano)methan CH3-SiH2-CH2-SiH2-CH3
    1,2-Disilanoethan SiH3-CH2-CH2-SiH3
    1,2-Bis(methylsilano)ethan CH3-SiH2-CH2-CH2-SiH2-CH3
    2,2-Disilanopropan SiH3-C(CH3)2-SiH3
    1,3,5-Trisilano-2,4,6-trimethylen -(-SiH2CH2-)3-(zyklisch)
    Dimethyldimethoxysilan (CH3)2-Si-(OCH3)2
    Diethyldiethoxysilan (CH3CH2)2-Si-(OCH2CH3)2
    Dimethyldiethoxysilan (CH3)2-Si-(OCH2CH3)2
    Diethyldimethoxysilan (CH3CH2)2-Si-(OCH3)2
    1,3-Dimethyldisiloxan CH3-SiH2-O-SiH2-CH3
    1,1,3,3-Tetramethyldisiloxan (CH3)2-SiH-O-SiH-(CH3)2
    Hexamethyldisiloxan (CH3)3-Si-O-Si-(CH3)3
    1,3-Bis(silanomethylen)disiloxan (SiH3-CH2-SiH2-)2-O
    Bis(1-methyldisiloxanyl)methan (CH3-SiH2-O-SiH2-)2-CH2
    2,2-Bis(1-methyldisiloxanyl)propan (CH3-SiH2-O-SiH2-)2-C(CH3)2
    2,4,6,8-Tetramethylcyclotetrasiloxan -(-SiHCH3-O-)4-(zyklisch)
    Octamethylcyclotetrasiloxan -(-Si(CH3)2-O-)4-(zyklisch)
    2,4,6,8,10-Pentamethylcyclopentasiloxan -(-SiHCH3-O-)5-(zyklisch)
    1,3,5,7-Tetrasilano-2,6-dioxy-4,8-dimethylen -(-SiH2-CH2-SiH2-O-)2-(zyklisch)
    2,4,6-Trisilantetrahydropyran -SiH2-CH2-SiH2-CH2-SiH2-O-(zyklisch)
    2,5-Disilantetrahydrofuran -SiH2-CH2- CH2-SiH2-O-(zyklisch)
    und fluorinierte Derivate davon.
  • In einem bevorzugten Aspekt der Erfindung wird die Siliziumoxikarbidschicht durch Reaktion einer Organosiliziumverbindung, die drei oder mehr Alkylgruppen umfasst, mit einem oxidierenden Gas abgeschieden, das Ozon umfasst. Die Siliziumoxikarbidschicht kann ohne ein Oxidierungsmittel abgeschieden werden, wenn die Organosiliziumverbindung Sauerstoff enthält. Bevorzugte Organosiliziumverbindungen umfassen:
    Trimethylsilan (CH3)3-SiH
    Tetramethylsilan (CH3)4-Si
    1,1,3,3-Tetramethyldisiloxan (CH3)2-SiH-O-SiH-(CH3)2
    Hexamethyldisiloxan (CH3)3-Si-O-Si-(CH3)3
    2,2-Bis(1-methyldisiloxanyl)propan (CH3-SiH2-O-SiH2-)2-C(CH3)2
    2,4,6,8-Tetramethylcyclotetrasiloxan -(-SiHCH3-O-)4-(zyklisch)
    Octamethylcyclotetrasiloxan -(-Si(CH3)2-O-)4-(zyklisch)
    2,4,6,8,10-Pentamethylcyclopentasiloxan -(-SiHCH3-O-)5-(zyklisch)
    und fluorinierte Derivate davon.
  • Die am meisten bevorzugte Organosiliziumverbindung ist Trimethylsilan, welches ein bevorzugtes Alkylsilan zur Herstellung amorpher Siliziumkarbidschichten ist, wie in mehr Detail unten beschrieben wird.
  • Die Organosiliziumverbindungen werden während der Abscheidung der Siliziumoxikarbidschicht vorzugsweise durch Reaktion mit Sauerstoff (O2), Ozon (O3), Distickstoffmonoxid (N2O), Kohlenstoffmonoxid (CO), Kohlendioxid (CO2), Wasser (H2O) oder Kombinationen davon oxidiert. Wenn Ozon als oxidierendes Gas verwendet wird, setzt ein Ozongenerator typischerweise etwa 15 Gew.-% Sauerstoff in einem Quellgas in Ozon um, wobei der Rest typischerweise Sauerstoff ist. Die Ozonkonzentration kann jedoch auf der Basis der Menge des gewünschten Ozons und nach dem Typ der verwendeten Ausrüstung zur Erzeugung des Ozons erhöht oder vermindert werden. Organosiliziumverbindungen, die Sauerstoff enthalten, können zur Lieferung des Sauerstoffs dissoziieren.
  • Die Organosilanverbindungen werden während der Abscheidung oxidiert, so dass der Kohlenstoffgehalt des abgeschiedenen Films zwischen etwa 1% und etwa 50% des atomaren Gewichts, vorzugsweise etwa 5% und etwa 50%, liegt. Während der Abscheidung der Siliziumoxikarbidschicht wird das Substrat auf eine Temperatur zwischen –20°C und etwa 500°C gehalten, und vorzugsweise auf einer Temperatur zwischen 170°C und etwa 180°C gehalten.
  • Nach der Abscheidung kann das abgeschiedene dielektrische Material bei einer Temperatur zwischen etwa 100°C und etwa 400°C während etwa einer Minute bis etwa 60 Minuten, vorzugsweise bei etwa 30 Minuten, getempert, um den Feuchtigkeitsgehalt zu reduzieren und die Festigkeit und Härte des dielektrischen Materials wenn erwünscht zu reduzieren. Die Temperung wird vorzugsweise nach der Abscheidung der nächsten Schicht durchgeführt, was eine Schrumpfung oder Deformation der dielektrischen Schicht verhindert. Inerte Gase, beispielsweise Argon und Helium, können der Temperungs-Atmosphäre zugegeben werden.
  • Für eine plasmaunterstützte Abscheidung der Siliziumoxikarbidschicht wird das Organosilizium-Material unter Verwendung einer Leistungsdichte im Bereich zwischen etwa 0,05 W/cm2 und etwa 3,2 W/cm2 abgeschieden, was ein RF-Energieniveau zwischen etwa 10 W und etwa 1000 W für ein 200 mm Substrat ist. Die Siliziumoxikarbidschicht kann kontinuierlich oder mit Unterbrechung, beispielsweise beim Wechseln von Kammern oder zur Bereitstellung von Kühlzeit, zur Verbesserung der Porosität abgeschieden werden. Die RF-Energie kann bei einer Hochfrequenz, beispielsweise zwischen 13 MHz und 14 MHz, geliefert werden. Die RF-Energie kann kontinuierlich oder in Zyklen kurzer Dauer geliefert werden, wobei die Energie an den angegebenen Niveaus bei Zyklen von weniger als etwa 200 Hz eingeschaltet ist und die gesamte Einschaltzeit der Zyklen zwischen etwa 10% und etwa 30% des gesamten Arbeitszyklus ist.
  • In einem Ausführungsbeispiel der Plasma-unterstützten Abscheidung werden Sauerstoff oder Sauerstoff enthaltende Verbindungen dissoziiert, um die Reaktionsfähigkeit zu erhöhen und die erwünschte Oxidation des abgeschiedenen Films zu erreichen. Die RF-Energie ist an die Abscheidungskammer angekoppelt, um die Dissoziation der Verbindungen zu erhöhen. Die Verbindungen können auch in einer Mikrowellenkammer vor dem Eintritt in die Abscheidungskammer dissoziiert werden.
  • Obwohl die Abscheidung vorzugsweise in einer einzigen Abscheidungskammer erfolgt, kann die Siliziumoxikarbidschicht nacheinander in zwei oder mehreren Abscheidungskammern abgeschieden werden, beispielsweise um eine Abkühlung der Schicht während der Abscheidung zu ermöglichen.
  • Die Silizium und Kohlenstoff enthaltende Schicht, die auf der Siliziumoxikarbidschicht abgeschieden ist, kann ein Siliziumkarbid-Material, ein mit Sauerstoff dotiertes Siliziumkarbid-Material, ein mit Stickstoff dotiertes Siliziumkarbid-Material oder Kombinationen davon enthalten. Die Silizium und Kohlenstoff enthaltende Schicht ist vorzugsweise ein amorphes, hydriertes Siliziumkarbid. Die amorphe Siliziumkarbidschicht wird durch Reaktion einer Alkylsilanverbindung oder eines Kohlenstoff enthaltenden Materials und eines Silizium enthaltenden Materials in einem Plasma eines inerten Gases erzeugt. Sauerstoff oder eine Stickstoffquelle, beispielsweise Ammoniak, kann während der Reaktion anwesend sein, um dotierte Siliziumkarbidschichten herzustellen.
  • Geeignete Alkylsilanverbindungen zur Abscheidung der Siliziumkarbidschichten umfassen:
    Methylsilan (CH3-SiH3)
    Dimethylsilan ((CH3)2-SiH2)
    Trimethylsilan ((CH3)3-SiH)
    Diethylsilan ((C2H5)2SiH2)
    Propylsilan (C3H7SiH3)
    Vinylmethylsilan (CH2=CH)CH3SiH2)
    1,1,2,2-Tetramethyldisilan (HSi(CH3)2-Si(CH3)2H)
    Hexamethyldisilan ((CH3)3Si-Si(CH3)3)
    1,1,2,2,3,3-Hexamethyltrisilan (H(CH3)2Si-Si(CH3)2-SiH(CH3)2)
    1,1,2,3,3-Pentamethyltrisilan (H(CH3)2Si-SiH(CH3)-SiH(CH3)2)
    Dimethyldisilanoethan (CH3-SiH2-(CH2)2-SiH2-CH3)
    Dimethyldisilanopropan (CN3-SiH-(CH2)3-SiH-CH3)
    Tetramethyldisilanoethan ((CH)2-SiH-(CH2)2-SiH-(CH)2)
    Tetramethyldisilanopropan ((CH3)2-Si-(CH2)3-Si-(CH3)2)
    und fluorinierte Kohlenstoffderivate davon.
  • Die Alkylsilanverbindungen werden in einem Plasma zur Reaktion gebracht, das ein verhältnismäßig inertes Gas, vorzugsweise ein Edelgas, beispielsweise Helium oder Argon, oder Stickstoff (N2) umfasst. Die abgeschiedenen Siliziumkarbidschichten haben Dielektrizitätskonstanten von etwa 6 oder weniger und vorzugsweise Dielektrizitätskonstanten von etwa 3 oder weniger. Die Abscheidung der Siliziumkarbidschichten reduziert die Dielektrizitätskonstante der Siliziumoxikarbidschicht auf weniger als etwa 2,4.
  • Die bevorzugte Siliziumkarbidschicht wird in einem Ausführungsbeispiel dadurch abgeschieden, dass Trimethylsilan an eine Plasmaverarbeitungskammer mit einer Flußrate zwischen etwa 10 und etwa 1000 Standardkubikzentimeter pro Minute (sccm) zugeführt wird. Ein inertes Gas, beispielsweise Helium, Argon oder eine Kombination davon, wird ebenfalls der Kammer mit einer Flußrate zwischen etwa 50 sccm und etwa 5000 sccm zugeführt. Der Kammerdruck wird zwischen etwa 100 milli Torr und etwa 15 Torr gehalten. Die Substrat-Oberflächentemperatur wird zwischen etwa 100°C und etwa 450°C während des Abscheidungsverfahrens gehalten. Alternativ kann eine dotierte Siliziumkarbidschicht dadurch abgeschieden werden, dass Sauerstoff und/oder eine Stickstoffquelle oder ein anderes Dotierungsmittel in die Verarbeitungskammer mit einer Flußrate zwischen etwa 50 sccm und etwa 10.000 sccm eingeführt wird.
  • Die Organosiliziumverbindung, das inerte Gas und ein optionales Dotierungsmittel werden in die Verarbeitungskammer über eine Gasverteilerplatte zugeführt, die zwischen etwa 200 Millimeter (mm) und etwa 600 mm von dem Substrat beabstandet ist, auf dem die Siliziumkarbidschicht abgeschieden werden soll. Die Energie von einer einzigen 3,57 MHz-RF-Energiequelle wird an die Kammer 10 geliefert, um ein Plasma mit einer Energiedichte zwischen etwa 0,3 Watt/cm2 und etwa 3,2 Watt/cm2 oder ein Energieniveau zwischen etwa 100 Watt und etwa 1000 Watt für ein 200 mm Substrat zu bilden. Eine Energiedichte zwischen etwa 0,9 Watt/cm2 und etwa 2,3 Watt/cm2 oder ein Energieniveau zwischen etwa 300 Watt und etwa 700 Watt bei einem 200 mm Substrat wird vorzugsweise an die Verarbeitungskammer zugeführt, um das Plasma zu erzeugen. Zusätzlich sollte das Verhältnis der Siliziumquelle zu dem Dotierungsmittel in der Gasmischung einen Bereich zwischen etwa 1:1 und etwa 1:10 haben. Die obigen Verfahrensparameter liefern eine Abscheidungsrate für die Siliziumkarbidschicht in einem Bereich zwischen etwa 100 Å/Min und etwa 3000 Å/Min, wenn sie bei einem 200 mm (Millimeter)-Substrat in einer Verarbeitungskammer angewendet werden, die von Applied Materials, Inc., in Santa Clara, California, erhältlich ist.
  • Die Erfindung faßt auch andere Verfahren und Materialien ins Auge, die verwendet werden, um die Siliziumkarbidschichten abzuscheiden.
  • Das Abscheidungsverfahren der vorliegenden Erfindung kann in einem Substratverarbeitungssystem durchgeführt werden, wie es in größerem Detail unten beschrieben wird.
  • Beispielhafter CVD Reaktor
  • 1 zeigt eine vertikale Schnittdarstellung einer Verarbeitungskammer 10 für die chemische Abscheidung aus der Dampfphase mit zwei parallelen Platten, die einen Hochvakuumbereich 15 hat. Die Verarbeitungskammer 10 enthält eine Gasverteilereinheit 11 um Prozeßgase durch perforierte Löcher in dem Verteiler auf einem Substrat oder ein Substrat (nicht gezeigt) zu versprühen, das auf einer Substrattrageplatte oder einem Suszeptor 12 ruht, der durch einen Hebemotor 14 angehoben oder abgesenkt wird. Ein Flüssigkeits-Einspritzsystem (nicht gezeigt), wie es typischerweise für die Flüssigkeitsinjektion von TEOS verwendet wird, kann ebenfalls vorgesehen sein, um eine flüssige Organosiliziumverbindung einzusprühen.
  • Die Verarbeitungskammer 10 umfasst die Beheizung der Prozeßgase und des Substrats, beispielsweise durch Widerstandsheizspulen (nicht gezeigt) oder durch externe Lampen (nicht gezeigt). Bezugnehmend auf 1 ist der Suszeptor 12 auf einer Trägersäule 13 montiert, so dass der Suszeptor 12 (und das auf der oberen Oberfläche des Suszeptors 12 getragene Substrat) kontrollierbar zwischen einer unteren Lade/Entladeposition und einer oberen Verarbeitungsposition bewegt werden kann, die am nächsten bei dem Verteiler 11 liegt.
  • Wenn der Suszeptor 12 und das Substrat in der Verarbeitungsposition 14 sind, sind sie von einem Isolator 17 umgeben und Prozeßgase werden in einen Verteiler 24 abgeführt. Während der Verarbeitung werden Gase, die in den Verteiler 11 eingelassen werden, radial gleichförmig über der Oberfläche des Substrats verteilt. Eine Vakuumpumpe 32 mit einem Drosselventil steuert die Abführungsrate der Gase von der Kammer.
  • Bevor sie den Verteiler 11 erreichen, werden Abscheidungs- und Trägergase durch Gasleitungen 18 in ein Mischsystem 19 eingegeben, wo sie zusammengeführt und dann an den Verteiler 11 weitergegeben werden. Im allgemeinen umfasst die Prozeßgas-Zufuhrleitung 18 für jedes der Prozeßgase auch (i) Sicherheitsabschaltventile (nicht gezeigt), die verwendet werden können, um die Strömung der Prozeßgase in die Kammer automatisch oder manuell abzuschalten, und (ii) Massenströmungskontroller (ebenfalls nicht gezeigt), die die Gasströmung durch die Gaszufuhrleitungen messen. Wenn toxische Gase in dem Verfahren verwendet werden, sind mehrere Sicherheitsabschaltventile auf jeder Gaszufuhrleitung in herkömmlicher Anordnung positioniert.
  • Das Abscheidungsverfahren, das in der Verarbeitungskammer 10 durchgeführt wird, kann entweder ein thermisches Verfahren oder ein Plasma-unterstütztes Verfahren sein. In einem Plasmaverfahren wird typischerweise ein kontrolliertes Plasma neben dem Substrat durch RF-Energie erzeugt, die an die Verteilereinheit 11 von einer RF-Energiequelle 25 (bei geerdetem Suszeptor 12) angelegt wird. Alternativ kann die RF-Energie an den Suszeptor 12 geliefert werden, oder die RF-Energie kann verschiedenen Komponenten mit unterschiedlichen Frequenzen zugeführt werden. Die RF-Energiequelle 25 kann entweder RF-Energie mit einer einzigen oder mit gemischten Frequenzen liefern, um die Zersetzung der Reaktionsteilnehmer zu verbessern, die in den Hochvakuumbereich 15 eingeführt werden. Eine RF-Energiequelle mit gemischten Frequenzen liefert typischerweise Energie bei einer hohen RF-Frequenz (RF1) von 13,56 MHz an die Verteilereinheit 11 und eine niedere RF-Frequenz (FR2) von 360 KHz an den Suszeptor 12. Die Siliziumoxikarbidschichten der vorliegenden Erfindung werden am meisten bevorzugt unter Verwendung von niedrigen Niveaus einer konstanten Hochfrequenz-RF-Energie oder mit gepulsten Niveaus einer Hochfrequenz-RF-Energie hergestellt.
  • Wenn eine zusätzliche Dissoziation des oxidierenden Gases erwünscht ist, kann eine optionale Mikrowellenkammer 28 verwendet werden, um zwischen etwa 0 Watt und etwa 6000 Watt Mikrowellenenergie an das oxidierende Gas abzugeben, bevor es in die Abscheidungskammer eintritt. Eine separate Zugabe von Mikrowellenenergie würde eine übermäßige Dissoziation der Organosiliziumverbindungen vor der Reaktion mit dem oxidierenden Gas vermeiden. Eine Gasverteilerplatte mit verschiedenen Durchgängen für die Organosiliziumverbindung und das oxidierende Gas wird bevorzugt, wenn dem oxidierenden Gas Mikrowellenenergie zugegeben wird.
  • Typischerweise sind einige oder alle Bestandteile der Kammerauskleidung, der Verteilereinheit 11, des Suszeptors 12 und verschiedener anderer Reaktorhardwareteile aus einem Material, beispielsweise Aluminium oder anodisiertem Aluminium, hergestellt. Ein Beispiel für solch einen CVD Reaktor ist in dem US Patent 5,000,113 mit dem Titel „A Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process" ausgegeben an Wang et al. und übertragen an Applied Materials, Inc., den Übertragungsnehmer der vorliegenden Erfindung, beschrieben.
  • Der Hebemotor 14 hebt den Suszeptor 12 zwischen einer Verarbeitungsposition und einer niedrigen Substratleiterposition an und senkt ihn ab. Der Motor, das Gasmischsystem 19 und die RF-Energiezufuhr 25 werden von einem Systemkontroller über Kontrolleitungen 26 gesteuert. Der Reaktor umfasst analoge Einheiten, beispielsweise Massenströmungskontroller (MFCs) und standardartige oder gepulste RF-Generatoren, die von dem Systemkontroller 34 gesteuert werden, der eine Systemsteuerungs-Software ausführt, die in einem Speicher 38 gespeichert ist, der in dem bevorzugten Ausführungsbeispiel eine Festplatte ist. Motoren und optische Sensoren werden verwendet, um die Position der bewegbaren, mechanischen Einheiten, beispielsweise des Drosselventils der Vakuumpumpe 32 und des Motors zur Positionierung des Suszeptors 12, zu bewegen und festzustellen.
  • Der Systemkontroller 34 steuert alle Aktivitäten des CVD Reaktors, und ein bevorzugtes Ausführungsbeispiel des Kontrollers 34 umfasst eine Festplatte, ein Diskettenlaufwerk und ein Einschubgestell. Das Einschubgestellt enthält einen auf einer Platine angeordneten Rechner (Single Board Computer = SBC), analoge und digitale Eingangs-(Ausgangsplatinen, Schnittstellenplatinen und Trittmotor-Kontrollerplatinen. Der Systemkontroller führt den Versa Modular Europeans (VME)-Standard aus, der die Dimensionen der Platinen, des Kartencage, und der Verbinder sowie deren Typen. Der VME-Standard definiert auch die Busstruktur, die eine 16-Bit Datenbus und einen 24-Bit Adreßbus hat.
  • Der Systemkontroller 34 arbeitet unter der Kontrolle eines Computerprogramms, das auf der Festplatte 38 gespeichert ist. Das Computerprogramm diktiert die Zeitsteuerung, die Mischung der Gase, die RF-Energieniveaus, die Suszeptorposition und andere Parameter eines speziellen Verfahrens.
  • Bezugnehmend auf 2 kann das Verfahren unter Verwendung eines Computerprogramm-Produkts 210 implementiert werden, welches beispielsweise auf dem Systemkontroller 34 läuft. Der Computer programm-Code kann in einer beliebigen, herkömmlichen, computerlesbaren Programmiersprache, beispielsweise der 68000-Assemblersprache, C, C++ oder Pascal, geschrieben sein. Ein geeigneter Programmcode wird in einen einzigen Ordner oder in mehrere Ordner unter Verwendung eines herkömmlichen Texteditors eingegeben oder in einem Computer-nutzbaren Medium gespeichert oder eingearbeitet, beispielsweise ein Speichersystem des Computers. Wenn der eingegebene Codetext in einer Hochniveausprache ist, wird der Code kompiliert und der resultierende, kompilierte Code wird dann mit dem Objektcode von vorkompillierten Windowsbibliothek-Routinen verknüpft. Um den verknüpften, kompilierten Objektcode auszuführen, ruft der Systembenutzer den Objektcode auf, was bewirkt, dass das Computersystem den Code in den Speicher lädt, von dem die CPU den Code ausliest und ihn ausführt, um die in dem Programm identifizierten Aufgaben auszuführen.
  • 2 zeigt ein beispielhaftes Blockdiagramm einer hierarchischen Steuerstruktur des Computerprogramms 210. Der Benutzer gibt eine Prozeßsatznummer und eine Prozeßkammernummer in eine Prozeßauswahl Unterroutine 220 in Antwort auf Menüs oder Bildschirmdarstellungen ein, die auf dem CRT-Monitor angezeigt werden, indem die Lichtgriffelschnittstelle verwendet wird. Die Prozeßsätze sind vorgegebene Sätze von Prozeßparametern, die notwendig sind, um spezifizierte Verfahren auszuführen, und sie werden durch vordefinierte Satznummern identifiziert. Die Prozeßauswahl Unterroutine 220 wählt (i) eine gewünschte Prozeßkammer auf einem Cluster-Werkzeug, beispielsweise einer Centura®-Plattform (erhältlich von Applied Materials, Inc.) aus und wählt (ii) einen gewünschten Satz von Prozeßparametern aus, die benötigt werden, um die Prozeßkammer zur Durchführung des gewünschten Verfahrens zu betreiben. Die Prozeßparameter zur Durchführung eines spezifischen Verfahrens beziehen sich auf die Verfahrensbedingungen, beispielsweise die Prozeßgas-Zusammensetzung und -Flußraten, die Temperatur, den Druck, die Plasmabedingungen, beispielsweise RF-Vorspannungs-Energieniveaus und Magnetfeld-Energieniveaus, den Kühlgasdruck und die Kammerwand-Temperatur, und sie werden dem Benutzer in Form eines Rezeptes geliefert. Die Parameter, die durch das Rezept spezifiziert sind, werden unter Verwendung einer Lichtgriffel/CRT-Monitor-Schnittstelle eingegeben.
  • Die Signale zur Überwachung des Verfahrens werden durch analoge Eingabe- und digitale Eingabe-Karten des Systemkontrollers geliefert und die Signale zur Steuerung des Verfahrens werden auf den analogen Ausgangs- und digitalen Ausgangs-Karten des Systemkontrollers 34 ausgegeben.
  • Eine Prozeßsequenz Unterroutine 230 umfasst Programmcode zum Akzeptieren der identifizierten Prozeßkammer und des Satzes der Prozeßparameter von der Prozeßwahl Unterroutine 220 und zum Steuern des Betriebs der verschiedenen Prozeßkammern. Mehrere Benutzer können Prozeßsatznummer und Prozeßkammernummern eingeben, oder ein Benutzer kann mehrere Prozeßkammernummern eingeben, so dass die Sequenz Unterroutine 230 arbeitet, um die ausgewählten Verfahren in der gewünschten Sequenz einzuplanen. Vorzugsweise umfasst die Sequenz Unterroutine 230 einen computerlesbaren Programmcode, um die Schritte (i) des Überwachens des Betriebs der Prozeßkammern, um festzustellen, ob die Kammern verwendet werden, (ii) des Bestimmens, welche Verfahren in den benutzten Kammern ausgeführt werden, und (iii) des Ausführens des gewünschten Verfahrens basierend auf der Verfügbarkeit einer Prozeßkammer und des Verfahrenstyps, der ausgeführt werden soll. Herkömmliche Verfahren zur Überwachung der Prozeßkammern können verwendet werden, beispielsweise das Polling-Abrufverfahren. Bei der Planung, welches Verfahren ausgeführt werden soll, kann die Sequenz Unterroutine 230 so ausgelegt sein, dass sie den gegenwärtigen Zustand der benutzten Prozeßkammer vergleicht mit den gewünschten Verfahrensbedingungen für einen ausgewählten Prozeß in Betracht zieht oder das „Alter" jeder von einem speziellen Benutzer eingegebenen Anfrage oder jeden anderen relevanten Faktor, den ein Systemprogrammierer mit aufnehmen will, um die Planungsprioritäten zu bestimmen.
  • Sobald die Sequenz Unterroutine 230 bestimmt, welche Prozeßkammer und welche Prozeßsatzkombination als nächstes ausgeführt werden soll, bewirkt die Sequenz Unterroutine 230 die Ausführung des Prozeßsatzes, indem sie die speziellen Prozeßsatz-Parameter an eine Kammermanager Unterroutine 240 weitergibt, die mehrere Prozeßaufgaben in einer Prozeßkammer 10 gemäß dem Prozeßsatz, der von der Sequenz-Unterroutine 230 bestimmt wurde, steuert. Beispielsweise weist die Kammermanager-Unterroutine 240 einen Programmcode zur Steuerung der CVD-Prozeßschritte in der Prozeßkammer 10 auf. Die Kammermanager-Unterroutine 240 steuert auch die Ausführung verschiedener Kammerkomponenten-Unterroutinen, die den Betrieb der Kammerkomponenten steuern, die erforderlich sind, um den ausgewählten Prozeßsatz auszuführen. Beispiele der Kammerkomponenten-Unterroutinen sind die Suszeptorsteuer-Unterroutine 250, die Prozeßgassteuer-Unterroutine 260, die Drucksteuer-Unterroutine 270, die Heizsteuerungs-Unterroutine 280 und die Plasmasteuerungs-Unterroutine 290. Durchschnittsfachleute werden leicht erkennen, dass andere Kammersteuerungs-Unterroutinen mit eingeschlossen werden können je nach dem, welche Verfahren in der Prozeßkammer 10 durchgeführt werden sollen.
  • Im Betrieb ruft die Kammermanager-Unterroutine 240 wahlweise die Prozeßkomponenten-Unterroutinen entsprechend im speziellen Vertahrenssatz, der ausgeführt wird, auf und plant sie ein. Die Kammermanager-Unterroutine 240 plant die Prozeßkomponenten-Unterroutinen auf ähnliche Wiese wie die Sequenz-Unterroutine 230 plant, welche Prozeßkammer 10 und welcher Prozeßsatz als nächstes ausgeführt werden soll. Typischerweise umfasst die Kammermanager-Unterroutine 240 die Schritte des Überwachens der verschiedenen Kammerkomponenten, der Bestimmung, welche Komponenten betrieben werden müssen auf der Basis der Prozeßparameter für den Prozeßsatz, der ausgeführt werden soll und die Ausführung einer Kammerkomponenten-Unterroutine in Antwort auf die Überwachungs- und Bestimmungsschritte.
  • Die Arbeitsweise spezieller Kammerkomponenten-Unterroutinen wird nun unter Bezugnahme auf 2 beschrieben. Die Suszeptorsteuerpositionierungs-Unterroutine 250 umfasst einen Programmcode, um die Kammerkomponenten zu steuern, die verwendet werden, um das Substrat in den Suszeptor 12 zu laden, und wahlweise, um das Substrat auf eine gewünschte Höhe in der Prozeßkammer 10 anzuheben, um den Abstand zwischen dem Substrat und der Gasverteilereinheit 11 zu steuern. Wenn ein Substrat in die Verarbeitungskammer 10 geladen wird, wird der Suszeptor 12 abgesenkt, um das Substrat aufzunehmen, und danach wird der Suszeptor 12 auf die gewünschte Höhe in der Kammer angehoben, um das Substrat unter einem ersten Abstand oder einer Beabstandung von der Gasverteilereinheit 11 während des CVD Verfahrens zu halten. Im Betrieb steuert die Suszeptorsteuer-Unterroutine 250 die Bewegung des Suszeptors in Antwort auf die Prozeßsatz-Parameter, die von der Kammermanager-Unterroutine 240 übertragen werden.
  • Die Prozeßgassteuer-Unterroutine 260 hat einen Programmcode, um die Prozeßgas-Zusammensetzung und -flußrate zu steuern. Die Prozeßgaskontroll-Unterroutine 260 steuert die Auf/Zu-Position der Sicherheitsabschaltventile und steuert die Massenströmungs-Kontroller Auf/Zu, um die gewünschte Gasströmungsrate zu erhalten. Die Prozeßgaskontroll-Unterroutine 260 wird durch die Kammermanager-Unterroutine 240 aufgerufen, wie es bei allen Kammerkomponenten-Unterroutinen der Fall ist, und sie empfängt von der Kammermanager-Unterroutine die Prozeßparameter, die sich auf die gewünschten Gasströmungsraten beziehen. Typischerweise arbeitet die Prozeßgassteuer-Unterroutine 260 dadurch, dass sie die Gaszufuhrleitungen öffnet und wiederholt (i), die notwendigen Gasströmungskontroller abliest (ii), die abgelesenen Werte mit den gewünschten Flußraten vergleicht, die von der Kammermanager-Unterroutine 240 erhalten werden, und (iii) die Strömungsraten der Gaszufuhrleitungen nach Bedarf nachgestellt werden. Darüber hinaus umfasst die Prozeßgaskontroll-Unterroutine 260 Schritte, um die Gasströmungsraten im Hinblick auf unsichere Raten zu überwachen und die Sicherheitsabschaltventile zu aktivieren, wenn ein unsicherer Zustand detektiert wird.
  • In einigen Verfahren wird ein inertes Gas, beispielsweise Helium oder Argon, in die Verarbeitungskammer 10 einfließen gelassen, um den Druck in der Kammer zu stabilisieren, bevor Reaktionsprozeßgase in die Kammer eingeführt werden. Bei diesen Verfahren ist die Prozeßgaskontroll-Unterroutine 260 so programmiert, dass sie Schritte umfasst, um das inerte Gas in die Kammer während einer Zeitdauer einströmen zu lassen, die erforderlich ist, um den Druck in der Kammer zu stabilisieren, und dann würden die oben beschriebenen Schritte ausgeführt. Zusätzlich würde, wenn ein Prozeßgas von einem flüssigen Precursor, beispielsweise 1,3,4-Trisilano-2,4,6-trimethylen (1,3,5-trisilanacyclohexan), verdampft werden soll, die Prozeßgassteuer-Unterroutine 260 so geschrieben, dass sie Schritte umfasst, um das Abgabegas, beispielsweise Helium, durch den flüssigen Precursor in einem Rührwerk auf Perlen gelassen wird. Bei diesem Verfahrenstyp regelt die Prozeßgaskontroll-Unterroutine 260 die Strömung des Abgabegases, den Druck des Sprudelgeräts und die Sprudeltemperatur, um die gewünschten Prozeßgas-Strömungsraten zu erhalten. Wie oben diskutiert wurde, werden die gewünschten Prozeßgas-Strömungsraten an die Prozeßgassteuer-Unterroutine 260 als Prozeßparameter übertragen. Ferner umfasst die Prozeßgaskontroll-Unterroutine 260 Schritte, um die erforderliche Abgabegas-Strömungsrate, den Sprudeldruck und die Sprudeltemperatur für die gewünschte Prozeßgas-Strömungsrate zu erhalten, indem auf eine abgespeicherte Tabelle zugegriffen wird, die die notwendigen Werte für eine vorgegebene Prozeßgas-Strömungsrate enthält. Sobald die notwendigen Werte erreicht sind, werden die Abgabegas-Strömungsrate, der Sprudeldruck und die Sprudeltemperatur überwacht, mit den notwendigen Werten verglichen und entsprechend nachgestellt.
  • Die Druckkontroll-Unterroutine 270 umfasst einen Programmcode, um den Druck in der Prozeßkammer 10 zu steuern, indem die Größe der Öffnung des Drosselventils in der Abgaspumpe 32 geregelt wird. Die Größe der Öffnung des Drosselventils wird so eingestellt, dass der Kammerdruck auf das gewünschte Niveau im Bezug auf den gesamten Prozeßgasfluß, die Größe der Prozeßkammer und den eingestellten Pumpensolldruck für die Abgaspumpe 32 zu steuern. Wenn die Druckkontroll-Unterroutine 270 aufgerufen wird, wird das gewünschte oder das Zieldruckniveau als Parameter von der Kammermanager-Unterroutine 240 empfangen. Die Druckkontroll-Unterroutine 270 arbeitet so, dass der Druck in der Prozeßkammer 10 durch Ablesen von einem oder mehreren herkömmlichen Druckmanometern, die mit der Kammer verbunden sind, gemessen wird, dass der Meßwert oder die Messwerte mit dem Zieldruck verglichen werden, dass PID (proportionale, integrale und differenziale) Werte von einer gespeicherten Drucktabelle, die dem Zieldruck entspricht, erhalten werden, und dass das Drosselventil entsprechend den PID-Werten, die von der Drucktabelle erhalten wurden, nachgestellt wird. Alternativ kann die Druckkontroll-Unterroutine 270 so geschrieben sein, dass sie das Drosselventil auf eine spezielle Öffnungsgröße öffnet oder schließt, um die Prozeßkammer 10 au den gewünschten Druck einzuregeln.
  • Die Heizungskontroll-Unterroutine 280 umfasst einen Programmcode, um die Temperatur der Heizmoduln oder die Strahlungswärme zu steuern, die zum Beheizen des Suszeptors 12 verwendet wird. Die Heizungskontroll-Unterroutine 280 wird durch die Kammermanager-Unterroutine 240 aufgerufen und empfängt einen Ziel- oder Sollpunkt-Temperaturparameter. Die Heizungskontroll-Unterroutine 280 misst die Temperatur, indem der Spannungsausgang eines Thermoelements gemessen wird, das in einem Suszeptor 12 angeordnet ist, vergleicht die gemessene Temperatur mit einer Sollpunkt-Temperatur und erhöht oder vermindert den Strom, der an den Heizmodul angelegt wird, um die Solltemperatur zu erhalten. Die Temperatur wird aus der gemessenen Spannung dadurch erhalten, dass eine entsprechende Temperatur in einer abgespeicherten Umrechnungstabelle ausgelesen wird, oder dadurch, dass die Temperatur unter Verwendung eines Polynoms vierter Ordnung berechnet wird. Die Heizungskontroll-Unterroutine 280 steuert graduell die Erhöhung oder Herabsetzung des Stroms, der an den Heizmodul angelegt wird. Das graduelle Erhöhen oder Vermindern vergrößert die Lebensdauer und die Zuverlässigkeit des Heizmoduls. Zusätzlich kann eine eingebaute Sicherheitsbetriebsweise vorgesehen sein, um eine Übereinstimmung des Prozesses mit Sicherheitsbestimmungen zu detektieren und sie kann den Betrieb des Heizmoduls abschalten, wenn die Verarbeitungskammer 10 nicht ordnungsgemäß eingerichtet ist.
  • Die Plasmakontroll-Unterroutine 290 umfasst einen Programmcode, um das RF-Vorspannungs-Energieniveau einzustellen, das an die Prozeßelektroden der Verarbeitungskammer 10 angelegt wird, und um optional das Niveau des in dem Reaktor erzeugten Magnetfeldes einzustellen. Ähnlich wie bei den oben beschriebenen Kammerkomponenten-Unterroutinen wird die Plasmakontroll-Unterroutine 290 durch die Kammermanager-Unterroutine 240 aufgerufen.
  • Die obige Beschreibung des CVD Systems ist hauptsächlich zum Zwecke der Erläuterung, und eine andere CVD Ausrüstung, beispielsweise Elektronenzyklotronresonanz (ECR)-Plasma-CVD-Geräte, Induktions-gekoppelte RF-Hochdichteplasma-CVD-Geräte oder dergleichen können verwendet werden. Zusätzlich sind Änderungen des oben beschriebenen Systems, beispielsweise Änderungen in der Auslegung des Suszeptors, der Heizungsanordnung, der Lage der RF-Energieanschlüsse und anderer Teile möglich. Beispielsweise könnte das Substrat von einem Widerstands-beheizten Suszeptor unterstützt und beheizt werden. Die Vorbehandlung und das Verfahren zur Herstellung einer vorbehandelten Schicht der vorliegenden Erfindung ist nicht auf eine spezifische Apparatur oder ein spezifisches Plasmaanregungsverfahren beschränkt.
  • Abscheidung der Siliziumoxikarbidschicht und Siliziumkarbid-Materialien.
  • Die Damaszene-Struktur, die eine Siliziumoxikarbidschicht oder eine Siliziumkarbidschicht oder eine dotierte Siliziumkarbidschicht, die darauf abgeschieden ist, umfasst, ist in 3 gezeigt. Leitfähige Muster 310 sind auf einem Substrat 300 angeordnet. Siliziumoxikarbid auf einer dielektrischen Schicht 314 auf einer dielektrischen Auskleidungs- oder Sperrschicht 312 aus Siliziumkarbid abgeschieden, wie hier beschrieben wird. Die Auskleidungs- oder Sperrschicht kann alternativ andere dielektrische Auskleidungs- und Sperrmaterialien, beispielsweise Siliziumnitrid, umfassen. Siliziumkarbid wird wie hier beschrieben als Deckschicht 316 auf der dielektrischen Schicht 314 abgeschieden. Die Deckschicht 316 kann als Ätzstoppschicht während der weiteren Substratverarbeitung oder als Auskleidungsschicht wirken. Die Deckschicht 316, die dielektrische Schicht 314 und die dielektrisch Auskleidungs- oder Sperrschicht 312 werden durch Ätzen bemustert, um die Öffnungen von Zwischenverbindungen 317, beispielsweise Leitungen, zu definieren, die die darunter liegenden Leitungsmuster 310 freilegen. Die leitfähige Auskleidungs-/Sperr-Schicht 318 wird in den Zwischenverbindungen 317 abgeschieden, und ein leitfähiges Material 320 wird darauf abgeschieden, um die Zwischenverbindungen 317 auszufüllen. Das Substrat wird wie dargestellt typischerweise nach der Abscheidung planifiziert.
  • Eine bevorzugte Damaszene-Struktur, die entsprechend der Erfindung hergestellt ist, umfasst eine Siliziumoxikarbidschicht und eine Siliziumkarbidschicht, wie in 3 gezeigt ist, und das Verfahren zur Herstellung der Struktur ist sequenzell schematisch in den 4A4C gezeigt, die Querschnittsdarstellungen eines Substrats sind, an dem die Schritte der Erfindung durchgeführt werden.
  • Wie in 4A gezeigt ist, wird eine dielektrische Schicht 214 aus Siliziumoxikarbid-Material, das aus einer oder mehreren Organosiliziumverbindungen gebildet ist, unter Verwendung des hier beschriebenen Abscheidungsverfahrens auf der Auskleidungs- oder Sperrschicht 312 bis auf eine Dicke zwischen etwa 5000 Å bis etwa 10.000 Å je nach der Größe der herzustellenden Struktur abgeschieden. Die dielektrische Schicht 314 kann in einem Plasma-unterstützten Abscheidungsverfahren abgeschieden werden, sie wird jedoch vorzugsweise in einem Plasmafreien Abscheidungsverfahren abgeschieden, indem Trimethylsilan mit Sauerstoff, der etwa 15 Gew.-% Ozon umfasst, zur Reaktion gebracht wird.
  • Die Auskleidungs- oder Sperrschicht 312 kann eine Siliziumkarbidschicht aus dem PECVD aus einer Alkylsilanverbindung unter Verwendung eines Plasmas eines inerten Gases sein. Die Siliziumkarbidschicht kann mit Sauerstoff oder Stickstoff dotiert sein. Die Auskleidungs-/Sperrschicht 312 kann alternativ ein anderes Material, beispielsweise Siliziumnitrid, aufweisen, das die Oxidation und/oder Diffusion von leitfähigen Materialien, beispielsweise Kupfer, auf ein Minimum herabsetzt, das leitfähige Muster aufweisen kann, die vorher in dem Substrat 300 ausgebildet wurden.
  • Die Deckschicht 316, die eine Siliziumkarbidschicht oder eine dotierte Siliziumkarbidschicht, die hier beschrieben ist, umfasst, wird dann auf der dielektrischen Schicht 314 durch Reaktion des Trimethylsilan bis zu einer Dicke von etwa 200 bis etwa 1000 Å unter Verwendung einer RF-Energie im Bereich zwischen etwa 10 und etwa 1000 Watt bei einem 200 mm Substrat abgeschieden. Das Siliziumkarbid-Material kann mit Sauerstoff oder Stickstoff dotiert sein.
  • Wie in 4B gezeigt ist, werden die Deckschicht 316, die dielektrische Schicht 314 und die Auskleidungs- oder Sperrschicht 312 durch Ätzen bemustert, um die Zwischenverbindungen 317 zu bilden und das leitfähige Muster 310 in dem Substrat 300 freizulegen. Vorzugsweise werden die Deckschicht 316, die dielektrische Schicht 314 und die Auskleidungs- oder Sperrschicht 312 unter Verwendung herkömmlicher Photolitographie- und Ätzverfahren für Siliziumkarbidschichten durch Ätzen bemustert. Jegliches Photoresist oder anderes Material, das zum Bemustern der Deckschicht 316 verwendet wird, wird unter Verwendung eines Sauerstoff-Ablöseverfahrens oder eines anderen geeigneten Verfahrens entfernt.
  • Nach dem Ätzen des abgeschiedenen Materials und nach dem Entfernen des Photoresist-Materials können die freiliegenden Abschnitte der Deckschicht 316, der dielektrischen Schicht 314 und der Auskleidungs- oder Sperrschicht 312 mit einem reaktiven Vorreinigungsverfahren behandelt werden, um Verunreinigungen, Teilchen, Rückstände und Oxide zu entfernen, die sich auf den freiliegenden Teilen der Zwischenverbindungen 317 und auf der Oberfläche des Substrats ausgebildet haben können. Das reaktive Vorreinigungsverfahren umfasst das Aussetzen des Substrats einem Plasma, das vorzugsweise Sauerstoff und/oder ein inertes Gas, beispielsweise Argon, enthält, bei einer Energiedichte zwischen 0,03 Watt/cm2 und etwa 3,2 Watt/cm2 oder bei einem Energieniveau zwischen etwa 10 Watt und 1000 bei einem 200 Millimeter Substrat. Die Verarbeitungskammer wird bei einem Druck von etwa 20 Torr oder weniger und bei einer Substrattemperatur von etwa 450°C oder weniger während des reaktiven Reinigungsverfahrens gehalten.
  • Bezugnehmend auf 4C werden, nachdem die Deckschicht 316, die dielektrische Schicht 314 und die Auskleidungs- oder Sperrschicht 312 geätzt worden sind, um die Zwischenverbindungen 317 zu bilden, und nachdem der Photoresist entfernt worden ist, die Zwischenverbindungen 317 mit leitfähigen Materialien 320 ausgefüllt. Die Struktur wird dann vorzugsweise mit einem leitfähigen Material, beispielsweise Aluminium, Kupfer, Wolfram oder Kombinationen davon mit einer leitfähigen Sperrschicht ausgebildet, um eine Diffusion zu verhindern. Gegenwärtig geht der Trend dahin, Kupfer zu verwenden, um die kleinen Muster auszubilden, aufgrund des geringen spezifischen Widerstandes von Kupfer (1,7 Ω-cm im Vergleich zu 1,3 Ω-cm für Aluminium).
  • Vorzugsweise wird die leitfähige Sperrschicht 318 als erstes konform in den Zwischenverbindungen 317 abgeschieden, um eine Wanderung des Kupfers in das umgebende Silizium und/oder dielektrische Material zu verhindern. Sperrschichten umfassen Titan, Titannitrit, Wolfram, Wolframnitrit und Kombinationen davon unter anderem herkömmlichen Sperrschichtmaterialien. Danach wird Kupfer 320 unter Verwendung der chemischen Abscheidung aus der Dampfphase, der physikalischen Dampfabscheidung, der Elektroplatierung oder Kombinationen davon abgeschieden, um die leitfähige Struktur zu bilden. Sobald die Struktur mit Kupfer oder einem anderen leitfähigen Material gefüllt ist, wird die Oberfläche unter Verwendung einer chemisch mechanischen Polierung planarisiert, um die fertige Damaszene-Struktur herzustellen, die in 3 gezeigt ist.
  • Eine Dual-Damaszene-Struktur, die zwei Siliziumoxikarbidschichten und zwei Siliziumkarbid-Deckschichten oder dotierte Siliziumkarbid-Deckschichten umfasst, die darauf abgeschieden sind, ist in 5 gezeigt. Ein leitfähiges Muster 502 wird in dem Substrat 500 abgeschieden. Die erste Siliziumoxikarbidschicht wird als eine erste dielektrische Schicht 510 auf einer Auskleidungs- oder Sperrschicht 512, beispielsweise aus Siliziumkarbid, wie oben beschrieben wurde, abgeschieden. Eine erste Siliziumkarbid-Deckschicht 514 wird auf der ersten dielektrischen Schicht 510 abgeschieden, wie hier beschrieben ist. Die Siliziumkarbid-Deckschicht 514 vermindert die Dielektrizitätskonstante der Siliziumoxikarbidschicht und wird durch Ätzen bemustert, um die Öffnungen von vertikalen Zwischenverbindungen, beispielsweise Kontakte/Vias zu bilden. Bei dem Dual-Damaszene-Anwendungsfall wird eine zweite dielektrische Schicht 518, die eine zweite Siliziumoxikarbidschicht aufweist, über der bemusterten Siliziumkarbid-Deckschicht 514 abgeschieden. Die zweite Siliziumkarbid-Deckschicht 519 wird auf der zweiten dielektrischen Schicht 518 abgeschieden und durch Ätzen bemustert, um die horizontalen Zwischenverbindungen, beispielsweise Leitungen, zu bilden. Ein Ätzverfahren wird durchgeführt, um die horizontalen Zwischenverbindungen bis hinunter zur ersten Siliziumkarbidschicht 314 auszubilden, die als Ätzstoppschicht funktioniert, und um die vertikalen Zwischenverbindungen auszubilden und das leitfähige Muster 502 in dem Substrat vor dem Ausfüllen der Zwischenverbindungen mit einem leitfähigen Material 526 freizulegen.
  • Ein bevorzugtes Verfahren zur Herstellung der Dual-Damaszene-Struktur, die in 5 gezeigt ist, ist sequenzell in den 6A6E gezeigt, die Querschnittsdarstellungen eines Substrats sind, an dem die Schritte der Erfindung durchgeführt werden.
  • Wie in 6A gezeigt ist, wird eine anfängliche, erste dielektrische Schicht 510 aus Siliziumoxikarbid-Material aus den Organosiliziumverbindungen und den Abscheidungsverfahren, die hier beschrieben sind, auf der Auskleidungs- oder Sperrschicht 512 bis auf eine Dicke zwischen etwa 5000 Ä und etwa 10.000 Å je nach der Größe der herzustellenden Struktur abgeschieden. Die erste dielektrische Schicht 510 kann in einem Plasma-unterstützten Abscheidungsverfahren abgeschieden werden, sie wird jedoch vorzugsweise in einem Plasmafreien Abscheidungsverfahren abgeschieden, indem Trimethylsilan mit Sauerstoff, der etwa 15 Gew.-% Ozon enthält, zur Reaktion gebracht wird. Die Auskleidungsschicht 512 kann eine Siliziumkarbidschicht sein, die mit Sauerstoff oder Stickstoff dotiert sein kann. Die Auskleidungs-/Sperrschicht 512 kann alternativ andere Materialien, beispielsweise Siliziumnitrid, umfassen, was die Oxidation und/oder Diffusion leitfähiger Materialien, beispielsweise Kupfer, auf ein Minimum herabsetzt, die leitfähige Muster 520 aufweisen können, die vorher in dem Substrat 500 ausgebildet wurden.
  • Wie in 6B gezeigt ist, wird dann die erste Deckschicht 514, die eine Siliziumkarbidschicht oder eine dotierte Siliziumkarbidschicht, die hier beschrieben wird, umfasst, auf der ersten dielektrischen Schicht durch Reaktion von Trimethylsilan bis zu einer Dicke zwischen etwa 200 und etwa 1000 Ä unter Verwendung von HF-Energie im Bereich zwischen etwa 10 und etwa 1000 Watt bei einem 200 mm Substrat abgeschieden. Die erste Deckschicht 514 wird dann durch Ätzen bemustert, um die Kontakt-/Via-Öffnungen 516 zu bilden, und um die erste dielektrische Schicht 510 in den Bereichen freizulegen, wo die Kontakte/Vias ausgebildet werden sollen, wie in 6C gezeigt ist. Vorzugsweise wird die erste Deckschicht 514 durch Ätzen bemustert unter Verwendung herkömmlicher Litographie- und Ätzverfahren für Siliziumkarbidschichten.
  • Nachdem die erste Deckschicht 514 geätzt worden ist, um die Kontakte/Vias 516 zu bemustern, und nachdem der Photoresist entfernt worden ist, wird die zweite dielektrische Schicht 518 über der ersten Deckschicht 514 bis zu einer Dicke zwischen etwa 5000 Å und etwa 10.000 Å abgeschieden, wie bei der ersten dielektrischen Schicht 510 beschrieben wurde, und wie in 6D gezeigt ist.
  • Eine zweite Deckschicht 519, die eine Siliziumkarbidschicht oder dotierte Siliziumkarbidschicht, die hier beschrieben wird, umfasst, wird dann auf der zweiten dielektrischen Schicht 518 wie für die erste Deckschicht 514 beschrieben bis zu einer Dicke von etwa 200 bis etwa 1000 Å abgeschieden. Das Siliziumkarbid-Material kann mit Sauerstoff oder Stickstoff dotiert sein. Die zweite Deckschicht 514 wird dann bemustert, um die Leitung 520 zu bilden, wie für die erste Deckschicht 514 beschrieben wurde und wie in 6E gezeigt ist. Die Leitung 520 und die Kontakte/Vias 516 werden dann unter Verwendung von reaktiver lonenätzung oder anderer anisotroper Ätztechniken geätzt, um das Metallisierungsmuster zu bilden (d.h. die Öffnungen für die Leitungen und die Kontakte/Vias) und um das leitfähige Muster 502 freizulegen, wie in 6F gezeigt ist. Jeglicher Photoresist oder anderes Material, das zum Bemustern und Ätzen der zweiten Deckschicht 519 verwendet wird, wird unter Verwendung von Sauerstoffentfernungs- oder anderen geeigneten Verfahren entfernt.
  • Nach dem Ätzen des abgeschiedenen Materials und nach dem Entfernen des Photoresist-Materials können die freigelegten Abschnitte der zweiten Deckschicht 519, der zweiten dielektrischen Schicht 518, der ersten Deckschicht 514, der ersten dielektrischen Schicht 510 und der Auskleidungs- oder Sperrschicht 512 mit einem reaktiven Vorreinigungs-Verfahren behandelt werden, um Verunreinigungen, Teilchen, Rückstände und Oxide zu entfernen, die sich auf den freiliegenden Abschnitten der Kontakt-Via-Öffnungen 516, den Leitungsöffnungen 520 und dem leitfähigen Muster 502 ausgebildet haben können. Das reaktive Vorreinigungsverfahren umfasst das Aussetzen des Substrats einem Plasma, das vorzugsweise Wasserstoff und/oder ein inertes Gas, beispielsweise Argon, enthält, bei einer Energiedichte zwischen 0,03 Watt/cm2 und etwa 3,2 Watt/cm2 oder einem Energieniveau zwischen etwa 10 Watt und 1000 bei einem 200 mm Substrat. Die Verarbeitungskammer wird auf einem Druck von etwa 20 Torr oder weniger und einer Substrattemperatur von etwa 450°C oder weniger während des reaktiven Reinigungsverfahrens gehalten.
  • Das Metallisierungsmuster wird dann mit einem leitfähigen Material, beispielsweise Aluminium, Kupfer, Wolfram oder Kombinationen davon ausgebildet. Gegenwärtig geht der Trend dahin, Kupfer zu verwenden, um die kleinen Muster zu bilden aufgrund des niedrigen spezifischen Widerstand von Kupfer (1,7 Ω-cm im Vergleich zu 5,1 Ω-cm bei Aluminium). Vorzugsweise wird, wie in 6G gezeigt ist, eine leitfähige Sperrschicht 524 als erstes konform mit dem Metallisierungsmuster abgeschieden, um eine Kupferwanderung in das umgebende Silizium und/oder dielektrische Material zu verhindern. Sperrschichten umfassen Titan, Titannitrit, Wolfram, Wolframnitrit und Kombinationen davon unter anderen herkömmlichen Sperrschicht-Materialien. Danach wird Kupfer 526 unter Verwendung entweder von chemischer Abscheidung aus der Dampfphase, physikalischer Dampfabscheidung, Elektroplatierung oder Kombinationen davon abgeschieden, um das leitfähiger Muster zu bilden. Sobald das Muster mit Kupfer oder anderem Material gefüllt ist, wird die Oberfläche unter Verwendung von chemisch mechanischer Politur planarisiert, wie in 5 gezeigt ist.
  • Die Siliziumoxikarbidschicht und die Silizium und Kohlenstoff enthaltenden Materialien, die hier beschrieben sind, können in einem Spaltfüll-Verfahren verwendet werden, wie in 7 gezeigt ist, wobei eine CVD Kammer verwendet wird, die oben beschrieben und in 1 gezeigt ist. Bezugnehmend auf 7 wird ein Substrat 700 in der Verarbeitungskammer 10 positioniert, und eine Siliziumkarbid-Auskleidungsschicht wird abgeschieden 705 durch ein CVD oder Plasma-unterstütztes CVD-Verfahren aus einer Reaktion einer oben beschriebenen Alkylsilanverbindung, beispielsweise Trimethylsilan. Der Abscheidungsschritt 705 kann ein kapazitiv gekoppeltes Plasma oder sowohl ein induktiv als auch ein kapazitiv gekoppeltes Plasma in der Prozeßkammer 10 umfassen.
  • Eine Siliziumoxikarbid-Spaltfüllschicht wird dann abgeschieden 701 auf der Auskleidungsschicht durch Reaktion einer Organosiliziumverbindung, beispielsweise Trimethylsilan, mit einem Oxidierungsmittel, beispielsweise einer Kombination von Sauerstoff und Ozon. Die Spaltfüllschicht kann dann in einer inerten Atmosphäre während einer Zeitdauer nach Bedarf getempert werden, um Feuchtigkeit zu entfernen und das abgeschiedene Material zu verfestigen. Eine Siliziumkarbid-Deckschicht wird dann abgeschieden 715 auf der Spaltfüllschicht unter Verwendung eines Plasma-unterstützten CVD-Verfahrens aus einer Reaktion einer oben beschriebenen Alkylsilanverbindung, beispielsweise Trimethylsilan, in einem Plasma eines relativ inerten Gases. Das Substrat wird dann entfernt 720 aus der Verarbeitungskammer 10.
  • Bezugnehmend auf ein Ausführungsbeispiel in den 8A bis 8E liefert der dreischichtige Spaltfüllprozess eine PECVD-Auskleidungsschicht 800 durch Reaktion einer Alkylsilanverbindung, beispielsweise Trimethylsilan, um eine amorphe, hydrierte Siliziumkarbidschicht herzustellen, wie hier beschrieben ist. Die Auskleidungsschicht 800 wirkt als Isolationsschicht zwischen einer nachfolgenden Organosilizium-Spaltfüllschicht 802 und der darunter liegenden Substratoberfläche 804 und Metall-Leitungen 806, 808, 810, die auf der Substratoberfläche ausgebildet sind, Die Spaltfüllschicht 802 wird durch eine Deckschicht 812 aus der amorphen, hydrierten Siliziumkarbidschicht abgedeckt. Dieses Verfahren wird unter Verwendung eines Computerprogramms implementiert und gesteuert, das in dem Speicher 38 eines Computerkontrollers 34 für eine CVD Verarbeitungskammer gespeichert ist.
  • Bezugnehmend auf 8A wird in einem Ausführungsbeispiel die PECVD-Auskleidungsschicht 800 in der Verarbeitungskammer 10 durch Einführen einer Organosilanverbindung, beispielsweise Trimethylsilan (CH3)3SiH, und durch Erzeugen eines Plasmas eines inerten Gases, beispielsweise Helium oder Argon, abgeschieden. Ein beispielhaftes Verarbeitungsregime umfasst das Einführen von Trimethylsilan in die Verarbeitungskammer mit einer Flußrate zwischen 30 sccm und 500 sccm, das Einführen von Helium, Argon oder Kombinationen davon in die Verarbeitungskammer mit einer Rate zwischen etwa 100 sccm und etwa 2000 sccm, wobei ein Kammerdruck zwischen etwa 3 Torr und etwa 10 Torr und einer Substrat-Oberflächentemperatur zwischen etwa 200°C und etwa 400°C gehalten wird, und durch Zuführen von zwischen etwa 300 Watt und 700 Watt an die Kammer, um das Plasma in dem Prozeßgas zu erzeugen. Die Gasverteilerplatte 11 ist zwischen etwa 300 mm und etwa 600 mm von dem Substrat beabstandet.
  • Bezugnehmend auf 8B wird die Spaltfüllschicht 802 unter Verwendung von hier beschriebenen Alkylsilanverbindungen abgeschieden. Bevorzugte Prozeßgase für die Spaltfüllschicht 802 sind Trimethylsilan (CH3)3SiH und Ozon, O3. In einem Ausführungsbeispiel umfasst der Abscheidungsprozeß das Einführen von Trimethylsilan bei einer Flussrate zwischen etwa 50 sccm und etwa 500 sccm, vorzugsweise bei etwa 175 sccm, das Einführen einer Mischung von Sauerstoff und Ozon, wobei Ozon etwa 15 Gew.-% der Mischung umfasst, bei einer Flussrate zwischen etwa 2000 sccm und etwa 6000 sccm, vorzugsweise bei etwa 5000 sccm, reagieren des Trimethylsilan (CH3)3SiH und O3, Aufrechterhalten eines Kammerdrucks zwischen etwa 50 Torr und etwa 500 Torr, vorzugsweise bei etwa 100 Torr, während der Abscheidung der Spaltfüllschicht 802, Die Spaltfüllschicht 802 kann teilweise gereinigt oder getempert werden, wie in 8C gezeigt ist, um Lösungsmittel, beispielsweise Wasser, vor der Abscheidung einer Deckschicht 812 zu entfernen, wie in 8D gezeigt ist. Das Kurieren wird in der Verarbeitungskammer 10 durch Abpumpen unter einer relativ inerten Gasatmosphäre, beispielsweise Edelgas oder Stickstoff, unter 10 Torr bei einer Temperatur von etwa 400°C während 30 Min durchgeführt.
  • Bezugnehmend auf 8D wird nach der Abscheidung der PECVD-Spaltfüllschicht 802 eine Deckschicht 812 aus amorphem, hydriertem Siliziumkarbid durch den hier beschriebenen Plasma-Prozeß darauf abgeschieden. Bezugnehmend auf 8E wird nach der Abscheidung der Deckschicht, wenn überhaupt, die Spaltfüllschicht 802 vorzugsweise in einem Ofen oder einer anderen Kammer bei einer Temperatur zwischen etwa 100°C und etwa 450°C getempert, um Feuchtigkeit und andere Lösungsmittel zu entfernen. Selbstverständlich ändern sich die Verarbeitungsbedingungen entsprechend den erwünschten Charakteristiken der abgeschiedenen Schichten.
  • Während das vorhergehende auf bevorzugte Ausführungsbeispiele der vorliegenden Erfindung gerichtet ist, können andere und weitere Ausführungsbeispiele der Erfindung entworfen werden, ohne von dem grundlegenden Schutzumfang davon abzuweichen, und der Schutzumfang davon wird durch die Ansprüche bestimmt, die folgen.
  • Beschriftung 2
  • 220
    Prozeßauswahleinheit Kammerauswahl Prozeßgasströmung Temperatur Druck, Plasmaenergie
    230
    Prozeßsequenzeinheit
    240
    Kammermanager
    250
    Suszeptorsteuerung
    260
    Prozeßgassteuerung
    270
    Drucksteuerung
    280
    Heizsteuerung
    290
    Plasmasteuerung
  • Beschriftung von 7
  • 700
    Positioniere Waver
    705
    Scheide Auskleidungsschicht ab
    710
    Scheide Spaltfüllschicht ab
    715
    Scheide Deckschicht ab
    720
    Entferne Waver

Claims (23)

  1. Verfahren zum Bearbeiten eines Substrats, umfassend: Ablagern einer dielektrischen Schicht (314; 510, 518; 802) umfassend Silizium, Sauerstoff und Kohlenstoff auf dem Substrat durch Abscheidung aus der Gasphase CVD, wobei die dielektrische Schicht (314; 510, 518; 802) einen Kohlenstoffgehalt von wenigstens 1% des atomaren Gewichtes und eine dielektrische Konstante von weniger als 3 hat; und Ablagern einer Silizium und Kohlenstoff enthaltenden Schicht (319; 514, 519; 812) auf der dielektrischen Schicht (314; 510, 815; 802); dadurch gekennzeichnet, dass die Silizium und Kohlenstoff enthaltende Schicht (316; 514, 519; 812) bei Plasmabedingungen abgelagert wird, die Kohlenstoff aus der dielektrischen Schicht (314; 510, 518; 802) entfernen, ohne die Dikke der dielektrischen Schicht zu reduzieren.
  2. Verfahren gemäß Anspruch 1, wobei die dielektrische Schicht (314; 510, 518; 802) durch die Reaktion einer organischen Silanverbindung und eines oxidierenden Gases abgelagert wird.
  3. Verfahren nach Anspruch 1 oder 2, umfassend: das Reduzieren der Dielektrizitätskonstante der dielektrischen Schicht (314; 510, 518; 802) durch Ablagern einer Silizium und Karbid enthaltenden Schicht oder einer Silizium-Karbid-Schicht oder einer dotierten Silizium-Karbid-Schicht auf der dielektrischen Schicht (314; 510, 518; 802).
  4. Verfahren nach einem der Ansprüche 1 oder 3, wobei die dielektrische Schicht (314; 510, 518; 802) von einer organischen Silanverbindung abgelagert wird, die aus der Gruppe ausgewählt wurde, die Trimethylsilan, Tetramethylsilan, 1,1,3,3-Tetramethyldisiloxan, Hexamethyldisiloxan, 2,2-bis(1-Methyldisiloxanyl)-Propan, 2,4,6,8,-Tetramethylcyclotetrasiloxan, Octamethylcyclotetrasiloxan, 2,4,6,8,10-Pentamethylcyclopentasiloxan,fluorierte Kohlenstoffderivate davon und Kombinationen davon enthält.
  5. Verfahren nach Anspruch 2, wobei das oxidierende Gas aus der Gruppe ausgewählt wurde, die N2O, O2, O3 und Kombinationen davon enthält.
  6. Verfahren nach Anspruch 2, wobei die Organosilanverbindung Trimethylsilan und das oxidierende
  7. Verfahren nach Anspruch 1 oder 3, wobei die Silizium und Kohlenstoff enthaltende Schicht (316; 514, 519; 812) eine Siliziumkarbidschicht ist, die durch die Einleitung einer Alkalisilanverbindung und eines relativ inerten Gases in die Bearbeitungskammer (10) und durch das Anregen eines Plasmas abgelagert wird.
  8. Verfahren nach einem der vorangehenden Ansprüche, wobei die dielektrische Schicht (314; 510, 518; 802) vor der Ablagerung der Silizium und Karbid enthaltenden Schicht oder der Siliziumkarbid enthaltenden Schicht einen Kohlenstoffanteil zwischen 1% und 50% des atomaren Gewichtes hat.
  9. Verfahren nach einem der vorangehenden Ansprüche, wobei die dielektrische Schicht (314; 510, 518; 802) vor der Ablagerung der Silizium und Karbid enthaltenden Schicht oder Siliziumkarbidschicht eine Dielektrizitätskonstante von 3 oder weniger hat.
  10. Verfahren nach einem der vorangehenden Ansprüche, wobei die Siliziumkarbidschicht durch Einführen einer Alkalisilanverbindung und eines relativ inerten Gases in die Verarbeitungskammer (10) und die Anregung eines Plasmas abgelagert wird.
  11. Verfahren nach einem der vorangehenden Ansprüche, wobei die Alkalisilanverbindung Trimethylsilan ist.
  12. Verfahren nach einem der vorangehenden Ansprüche, wobei die Zustände des Plasmas die Kohlenstoff aus der dielektrischen Schicht (314; 510, 518; 802) entfernen, Leerstellen in der dielektrischen Schicht (314; 510, 518; 802) formen.
  13. Verfahren nach Anspruch 1, umfassend: Ablagern einer dielektrischen Schicht (314; 510, 518; 802) auf einem Substrat (300; 500; 804) durch die Reaktion einer organischen Silanverbindung, welche drei oder mehr Alkylgruppen mit Ozon hat, wobei die dielektrische Schicht (314; 510, 518; 802) einen Kohlenstoffanteil zwischen 5% und 50% des atomaren Gewichtes und eine Dielektrizitätskonstante von weniger als 3 hat; und Ablagern einer Siliziumkarbidschicht oder einer dotierten Siliziumkarbidschicht auf der dielektrischen Schicht (314; 510, 518; 802) durch die Reaktion einer Alkisilanverbindung bei Plasmabedingungen, die ausreichend sind, um die Dielektrizitätskonstante der dielektrischen Schicht (314; 510, 518; 802) zu reduzieren.
  14. Verfahren nach einem der vorangehenden Ansprüche, wobei die organische Silanverbindung drei oder mehr Alkylgruppen umfasst.
  15. Verfahren nach Anspruch 13, wobei die organische Silanverbindung Trimethylsilan und die Alkylsilanverbindung Trimethylsilan ist.
  16. Verfahren nach Anspruch 13, wobei die organische Silanverbindung 2,4,6,8-Tetramethylcyclotetrasiloxan ist.
  17. Verfahren nach einem der vorangehenden Ansprüche, wobei die dielektrische Konstante der dielektrischen Schicht (314; 510, 518; 802) nach dem Ablagern der Silizium und Karbid enthaltenden Schicht oder der Siliziumkarbidschicht kleiner als 2,4 ist.
  18. Verfahren nach einem der vorangehenden Ansprüche, wobei das Plasma durch das Zuführen einer HF-Energiedichte zwischen 4,3 Watt pro cm2 und 10,0 Watt pro cm2 oberhalb des Substrates erzeugt wird.
  19. Substratstruktur, umfassend: eine dielektrische Schicht (314; 510, 518; 802) die Silizium, Sauerstoff und Kohlenstoff enthält, wobei die dielektrische Schicht (314; 510, 518; 802) einen Kohlenstoffanteil von weniger als 1% des atomaren Gewichtes, eine Dielektrizitätskonstante von weniger als 3 und Leerstellen hat; und eine Silizium und Kohlenstoff enthaltende Schicht (316; 514, 519; 812), welche die dielektrische Schicht (314; 510, 518; 802) bedeckt.
  20. Damaszierungsstruktur, umfassend: eine dielektrische Schicht (314; 510, 518; 802), die Silizium, Sauerstoff und Kohlenstoff umfasst und eine oder mehrere elektrische Verbindungen definiert, wobei die dielektrische Schicht (314; 510, 518; 802) einen Kohlenstoffanteil von weniger als 1% des atomaren Gewichtes, eine Dielektrizitätskonstante von weniger als 3, und Leerstellen hat, die dadurch geformt wurden, dass Kohlenstoff entfernt wurde, ohne die dielektrische Schicht zu schrumpfen; und eine Silizium und Kohlenstoff enthaltende Schicht (316; 514, 519; 812), welche die dielektrische Schicht (314; 510, 518; 802) bedeckt und zusätzlich die eine oder die mehreren elektrischen Verbindungen definiert.
  21. Struktur nach Anspruch 19 oder 20, wobei die dielektrische Schicht (314; 510, 518; 802) einen Kohlenstoffanteil zwischen 5% und 50% des atomaren Gewichtes hat.
  22. Struktur nach Anspruch 19 oder 20, wobei die dielektrische Schicht (314; 510, 518; 802) eine dielektrische Konstante von 2,4 oder weniger hat.
  23. Struktur nach Anspruch 19 oder 20, wobei die Silizium und Kohlenstoff enthaltende Schicht (316; 514, 519; 812) eine amorphe hydrierte Siliziumkarbidschicht ist.
DE60116216T 2000-10-05 2001-08-29 Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht Expired - Fee Related DE60116216T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US679843 2000-10-05
US09/679,843 US6627532B1 (en) 1998-02-11 2000-10-05 Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition

Publications (2)

Publication Number Publication Date
DE60116216D1 DE60116216D1 (de) 2006-02-02
DE60116216T2 true DE60116216T2 (de) 2006-08-31

Family

ID=24728596

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60116216T Expired - Fee Related DE60116216T2 (de) 2000-10-05 2001-08-29 Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht

Country Status (6)

Country Link
US (3) US6627532B1 (de)
EP (1) EP1195451B1 (de)
JP (1) JP2002198366A (de)
KR (1) KR100857649B1 (de)
DE (1) DE60116216T2 (de)
TW (1) TW499709B (de)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6664185B1 (en) * 2002-04-25 2003-12-16 Advanced Micro Devices, Inc. Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
AU2003302222A1 (en) 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
KR100434508B1 (ko) * 2002-08-01 2004-06-05 삼성전자주식회사 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
WO2004038783A2 (en) * 2002-10-21 2004-05-06 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
JP4109531B2 (ja) * 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100541185B1 (ko) * 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
JP3866694B2 (ja) * 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
JP3666751B2 (ja) * 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP4198631B2 (ja) * 2004-04-28 2008-12-17 富士通マイクロエレクトロニクス株式会社 絶縁膜形成方法及び半導体装置
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4987717B2 (ja) * 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
DE602005011870D1 (de) * 2005-07-01 2009-01-29 Commissariat Energie Atomique Hydrophobe oberflächenbeschichtung mit geringer benetzungshysterese, aufbringungsverfahren dafür, mikrokomponente und verwendung
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
US20070190742A1 (en) * 2006-02-16 2007-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including shallow trench isolator and method of forming same
US20090301867A1 (en) * 2006-02-24 2009-12-10 Citibank N.A. Integrated system for semiconductor substrate processing using liquid phase metal deposition
WO2007095972A1 (en) 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Semiconductordevice including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprissing multiple organic components for use in a semiconductor device
US20070264843A1 (en) * 2006-05-09 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
KR20090045936A (ko) * 2006-08-15 2009-05-08 제이에스알 가부시끼가이샤 막 형성용 재료, 및 규소 함유 절연막 및 그의 형성 방법
WO2008099811A1 (ja) * 2007-02-14 2008-08-21 Jsr Corporation ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
WO2009008424A1 (ja) * 2007-07-10 2009-01-15 Jsr Corporation ケイ素化合物の製造方法
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
US7981771B2 (en) * 2008-06-04 2011-07-19 International Business Machines Corporation Structures and methods to enhance Cu interconnect electromigration (EM) performance
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
FR2982609B1 (fr) * 2011-11-16 2014-06-20 Saint Gobain Vitrage hydrophobe
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
KR101836417B1 (ko) 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573552B2 (en) 2018-03-15 2020-02-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4168330A (en) 1977-10-13 1979-09-18 Rca Corporation Method of depositing a silicon oxide layer
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4557946A (en) 1983-06-03 1985-12-10 Edward Sacher Moisture impermeability or organosilicone films
JPS60111480A (ja) 1983-11-22 1985-06-17 Toshiba Corp 薄膜発光素子
ATE49023T1 (de) 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
FR2591587A1 (fr) * 1985-12-17 1987-06-19 Saint Gobain Vitrage Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces.
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8630918D0 (en) 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4798629A (en) 1987-10-22 1989-01-17 Motorola Inc. Spin-on glass for use in semiconductor processing
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US4973511A (en) 1988-12-01 1990-11-27 Monsanto Company Composite solar/safety film and laminated window assembly made therefrom
FR2651782B1 (fr) * 1989-09-14 1993-03-19 Air Liquide Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique.
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
CA2048168A1 (en) 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
NL9001770A (nl) * 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH053258A (ja) * 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
CA2072378C (en) * 1991-11-21 2000-12-26 Vlado Ivan Matkovich System for processing separate containers of biological fluid
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH05267480A (ja) 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
JP2934353B2 (ja) * 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2137928C (en) * 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
JPH0795548B2 (ja) * 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5888593A (en) * 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
JPH0855913A (ja) * 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JP3495116B2 (ja) 1994-10-31 2004-02-09 東レ・ダウコーニング・シリコーン株式会社 撥水性薄膜およびその製造方法
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (de) 1995-01-04 1996-09-11 Air Prod & Chem
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5593247A (en) * 1995-09-07 1997-01-14 Endcor Inc. Programmable boat lift control system
JP3744981B2 (ja) * 1995-09-14 2006-02-15 オリヱント化学工業株式会社 新規フタロシアニン又はナフタロシアニン誘導体
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
KR100440233B1 (ko) * 1996-08-24 2004-07-15 트리콘 이큅먼츠 리미티드 반도체 기판 처리방법
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) * 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
US6303488B1 (en) 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
EP0885983A1 (de) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Verfahren zur Beschichtung eines Substrates mit einer diamantartigen Nanocomposit-Zusammensetzung
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
JP3456391B2 (ja) * 1997-07-03 2003-10-14 セイコーエプソン株式会社 半導体装置の製造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
JP3827056B2 (ja) 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
EP1094506A3 (de) 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
EP1123991A3 (de) 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3600507B2 (ja) 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP2002175856A (ja) * 2000-10-13 2002-06-21 Hewlett Packard Co <Hp> 2つの物体を電気的に接続するための方法および装置
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
SG137695A1 (en) * 2000-10-25 2007-12-28 Ibm Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure

Also Published As

Publication number Publication date
EP1195451B1 (de) 2005-12-28
US7074708B2 (en) 2006-07-11
US20040029400A1 (en) 2004-02-12
DE60116216D1 (de) 2006-02-02
KR20020027269A (ko) 2002-04-13
US6784119B2 (en) 2004-08-31
TW499709B (en) 2002-08-21
US6627532B1 (en) 2003-09-30
EP1195451A1 (de) 2002-04-10
US20040166665A1 (en) 2004-08-26
JP2002198366A (ja) 2002-07-12
KR100857649B1 (ko) 2008-09-08

Similar Documents

Publication Publication Date Title
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
DE69929771T2 (de) Plasmaabscheidungsprozess von dielektrischen filmen mit geringer dielektrizitätskonstante
US6656837B2 (en) Method of eliminating photoresist poisoning in damascene applications
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
US7060330B2 (en) Method for forming ultra low k films using electron beam
US6943127B2 (en) CVD plasma assisted lower dielectric constant SICOH film
US6806207B2 (en) Method of depositing low K films
US6171945B1 (en) CVD nanoporous silica low dielectric constant films
DE69835276T2 (de) Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US7012030B2 (en) Very low dielectric constant plasma-enhanced CVD films
US6287990B1 (en) CVD plasma assisted low dielectric constant films
DE69633770T2 (de) Verfahren und Vorrichtung zur chemischen Gasphasenabscheidung dünner Schichten
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
DE69908101T2 (de) Verfahren zum beschichten und ätzen einer dielektrischen schicht
DE60109675T2 (de) Mesoporöse Silikaschichten mit Getterung von beweglichen Ionen und beschleunigter Verarbeitung
US20040156987A1 (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
DE69932409T2 (de) Thermisches CVD Verfahren zur Erzeugung eines mit Kohlenstoff dotierten Siliziumoxidfilms mit niedriger dielektrischer Konstante

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING

8339 Ceased/non-payment of the annual fee