DE4011933C1 - - Google Patents

Info

Publication number
DE4011933C1
DE4011933C1 DE4011933A DE4011933A DE4011933C1 DE 4011933 C1 DE4011933 C1 DE 4011933C1 DE 4011933 A DE4011933 A DE 4011933A DE 4011933 A DE4011933 A DE 4011933A DE 4011933 C1 DE4011933 C1 DE 4011933C1
Authority
DE
Germany
Prior art keywords
openings
gas
inlet
suction
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE4011933A
Other languages
English (en)
Other versions
DE4011933C2 (de
Inventor
Heinrich Triesen Li Fischer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OC Oerlikon Balzers AG
Original Assignee
Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Balzers AG filed Critical Balzers AG
Priority to DE4011933A priority Critical patent/DE4011933C2/de
Priority to AT91105383T priority patent/ATE132203T1/de
Priority to DE59107139T priority patent/DE59107139D1/de
Priority to EP91105383A priority patent/EP0452745B1/de
Priority to JP3166530A priority patent/JPH04228575A/ja
Application granted granted Critical
Publication of DE4011933C1 publication Critical patent/DE4011933C1/de
Priority to US08/067,392 priority patent/US5422139A/en
Publication of DE4011933C2 publication Critical patent/DE4011933C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

Die vorliegende Erfindung betrifft ein Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes bei dem in einer Behandlungskammer ein Arbeitsgas an mindestens einer Einlaßöffnung eingelassen, aus mindestens einer Absaugöffnung Gas aus der Behandlungskammer abgesaugt wird, und wobei die Öffnungen, einander benachbart über einen Bereich der zu behandelnden Oberfläche liegen mit im wesentlichen dazu senkrechten Öffnungsachsen. Im weiteren betrifft die vorliegende Erfindung eine Behandlungskammer für reaktive Oberflächenbehandlung eines Werkstückes mit einer Halterung zur Positionierung der zu behandelnden Oberfläche des Werkstückes in der Kammer, und, der positionierten Oberfläche gegenüberliegend, mit mindestens einer mit einer Gasfördereinrichtung verbundenen Einlaßöffnung für ein Arbeitsgas, mindestens einer mit einer Gasabsaugeinrichtung verbundenen Absaugöffnung für Gas, die der Einlaßöffnung unmittelbar benachbart ist, wobei die Öffnungsachsen im wesentlichen senkrecht zu einem den Öffnungen gegenüberliegenden Bereich der Oberfläche stehen.
Grundsätzlich bezieht sich die vorliegende Erfindung auf die Ausbildung der Gasströmung bei reaktiven Oberflächenbehandlungsprozessen, d. h. die Zuführung eines Arbeitsgases mit bei der Oberflächenbehandlung chemisch reagierenden Gasanteilen, sowie der Absaugung von Gas aus der Behandlungskammer, welches Reaktionsprodukt der genannten Reaktion umfaßt.
Aus der FR-A-26 23 524 ist ein CVD-Beschichtungsverfahren bekannt, bei welchem das Arbeitsgas seitlich der zu behandelnden Oberfläche in die Kammer eingelassen wird und Gas mit dem Reaktionsprodukt aus einer Mehrzahl der Oberfläche gegenüberliegenden Absaugöffnung abgeführt wird.
Aus der EP-A-02 83 007 ist ein CVD-Beschichtungsverfahren bekannt, bei welchem das Arbeitsgas aus einer Mehrzahl von der zu beschichtenden Oberfläche gegenüberliegender Öffnungen in die Kammer eingelassen wird, und Gas mit Reaktionsprodukten seitlich neben der zu behandelnden Oberfläche abgesaugt wird.
Aus der EP-A-03 22 466 ist ein Plasma-unterstütztes (PE-)CVD-Verfahren bekannt, bei welchem das Arbeitsgas aus einer Mehrzahl der zu behandelnden Oberfläche gegenüberliegenden Öffnungen eingelassen wird, Reaktionsprodukte aus einer Absaugöffnung abgeführt werden, welche, bezüglich des Werkstückes, den Einlaßöffnungen im wesentlichen gegenüberliegt, so daß die Gasströmung radial um die Peripherie des Werkstückes herum zur Absaugöffnung erfolgt.
Aus der EP-A-03 03 508 ist wiederum ein PECVD-Verfahren bekannt, bei welchem das Arbeitsgas, bei in Arbeitsposition liegendem Werkstück, aus einer Mehrzahl der zu behandelnden Oberfläche gegenüberliegenden Öffnungen zugeführt wird, wobei Gas mit den Reaktionsprodukten seitlich der zu behandelnden Oberfläche abgesaugt wird.
Im Rahmen von sowohl unter atmosphärischen Druckverhältnissen wie auch unter Vakuumverhältnissen durchgeführten CVD-Verfahren ist es aus der US-A-48 17 558 bekannten Arbeitsgas aus der zu behandelnden Oberfläche gegenüberliegenden Öffnungen zuzuführen, und Reaktionsprodukte wiederum seitlich bzw. peripher der zu behandelnden Oberfläche abzusaugen.
Aus der EP-A-02 54 654 andererseits ist es bekannt, das Gas im wesentlichen über die ganze zu behandelnde Oberfläche strömen zu lassen, d. h. das Arbeitsgas auf einer Seite der zu behandelnden Oberfläche einzulassen und auf der anderen Seite wieder abzusaugen.
Schließlich ist es aus der US-A-42 97 162 bekannt, bei einem RF-Plasma-Ätzverfahren das Arbeitsgas aus einer Mehrzahl der zu behandelnden Oberfläche gegenüberliegenden Öffnung zuzuführen, wobei die Öffnungen bezüglich der zu behandelnden Oberfläche unterschiedlich beabstandet sind, um ein angestrebtes Ätzprofil an der Werkstückoberfläche zu erreichen. Über die an der Kammer angeschlossene Vakuumpumpe wird Gas aus der Kammer abgesaugt, damit Reaktionsprodukte des reaktiven Ätzprozesses. Die Absaugleitung ist bezüglich des zu behandelnden Werkstückes auf der den Einlaßöffnungen entgegengesetzten Seite angeordnet, derart, daß die Gasströme radial um die Peripherie des Werkstückes herum zu der zentral darunter angeordneten Absaugleitung hin erfolgt.
Bei reaktiven Oberflächenbehandlungsprozessen bei denen mindestens ein Anteil eines Arbeitsgases chemisch reagiert, ist dem Konzentrationsverhältnis von noch unverbrauchtem Reaktionsgas und von gasförmigen Reaktionsprodukten in unmittelbarem Bereich zu der zu behandelnden Oberfläche für die Behandlungswirkung, d. h. die Beschichtungsrate bzw. Ätzrate große Bedeutung beizumessen. Wenn ein Strom frisch zugeführten, reaktiven Arbeitsgases über die Oberfläche streicht und dort reagiert, wird in diesem Strom das genannte Verhältnis kontinuierlich zu Ungunsten des frischen reaktiven Gases verändert, womit sich auch die Behandlungswirkung entlang der überstrichenen Oberfläche ändert. Diesem Phänomen wird bei den oben genannten bekannten Vorgehensweisen nicht Rechnung getragen. Immer dann, wenn großflächig eine Gasströmung im wesentlichen kontinuierlich über die zu behandelnde Oberfläche streicht, tritt das erwähnte Phänomen ein. Dies somit dann, wenn aus mehreren Arbeitsgas-Einlässen der zu behandelnden Oberfläche Arbeitsgas zugeführt wird, und diesbezüglich peripher Gas mit Reaktionsprodukten abgesaugt wird: Dann entsteht eine großräumige im wesentlichen radiale oder vom Zentrum zur Peripherie hin gerichtete Gasströmung.
Nun ist es aus der US-A-42 09 357 bekannt, im Rahmen eines Plasmaätzverfahrens der zu behandelnden Werkstückoberfläche gegenüberliegend sowohl eine Mehrzahl von Einlaßöffnungen für das Arbeitsgas, wie auch eine Mehrzahl von Absaugöffnungen vorzusehen, welche gemeinsam eine gleichförmige Arbeitsgaszuführung und Gasabsaugung in den Reaktionsraum erlauben.
Aus der DE-OS-30 20 815 ist es bekannt, bei einem plasmaunterstützten, reaktiven Beschichtungsprozeß das Arbeitsgas aus einem Vorratsraum durch Öffnungen in der einen Glimmentladungselektrode in die eigentliche Behandlungskammer zwischen den Elektroden einzulassen und Reaktionsprodukte durch die gleichen Öffnungen in den gleichen Vorratsraum aus der Behandlungskammer abzuführen, wobei der Strom von Arbeitsgas hin in die Behandlungskammer und von Reaktionsprodukten aus der Behandlungskammer zurück in den Vorratsraum aufgrund von Gasdiffusion erfolgt.
Bei diesem Vorgehen ist die Verteilung von Arbeitsgas und Reaktionsprodukten in der Behandlungskammer kaum beherrschbar. So ist nicht gewährleistet, daß in überwiegendem Maße frisches Reaktionsgas im Bereich des zu beschichtenden Werkstückes vorhanden ist, weil dies maßgeblich von der Reaktionsverteilung in der Behandlungskammer sowie von den Diffusionsgeschwindigkeiten frischen Reaktionsgases einerseits und der Reaktionsprodukte andererseits abhängt.
Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren und eine Behandlungskammer der eingangs genannten Art zur Verwendung insbesondere bei einem CVD- oder einem reaktiven PVD-Verfahren zu schaffen, mit denen
  • a) die Behandlungswirkung in einem Bereich der zu behandelnden Werkstückoberfläche selektiv beeinflußt werden kann,
  • b) das zugeführte, reaktive Gas zur Oberflächenbehandlung besser ausgenützt wird.
Dies wird nach dem Verfahren gemäß dem Wortlaut von Anspruch 1 bzw. bei einer Behandlungskammer nach dem Wortlaut von Anspruch 9 erreicht.
Grundsätzlich geht die vorliegende Erfindung von der Erkenntnis aus, daß dann, wenn, im Unterschied zu den obengenannten Techniken, nicht eine großräumige Gasströmung entlang der zu behandelnden Oberfläche erzeugt wird, sondern selektive Oberflächenbereiche gebildet werden, wo Gas zu der zu behandelnden Oberfläche hinströmt, dort umgelenkt wird und daneben wieder rückströmt, das Problem, daß sich frisches Reaktionsgas mit zunehmendem Fließweg entlang der zu behandelnden Oberfläche zunehmend verbraucht und mithin entlang der Oberfläche unterschiedliche Behandlungsbedingungen auftreten, gelöst wird und daß sich damit die Möglichkeit ergibt, gezielt an Bereichen der Oberfläche selektiv die Behandlungswirkung zu beeinflussen.
Es wird weiter, weil sich keine "Seen" nicht reagierenden oder wenig reagierenden frischen Reaktionsgases bilden, das dem Prozeß bzw. der Kammer zugeführte frische Reaktionsgas zu einem wesentlich höheren Anteil behandlungswirksam ausgenützt.
Im Unterschied zu den bisher bekannten Techniken wird mithin erfindungsgemäß grundsätzlich die zu behandelnde Oberfläche bezüglich Einlaß- und Absaugöffnung so nahe angeordnet, daß im unmittelbar darunter liegenden Oberflächenbereich noch eine Gasströmung vorherrscht, die durch die an diesen Öffnungen eingestellten oder vorherrschenden Aus- bzw. Einströmungsverhältnisse gegeben ist. Dies ist daran erkennbar, daß dann, wenn der Gaseinlaß und der zugehörige Gasauslaß, wie durch Änderung des Ausdüsungsimpulses an der Einlaßöffnung und/oder der Formgebung des Einlasses bzw. des rückgesaugten Massestromes bzw. die Ausbildung der Absaugöffnung, geändert werden, sich im Oberflächenbereich, unmittelbar unterhalb der genannten beiden Öffnungen liegend, eine Änderung der Behandlungswirkung, sei dies der Beschichtungsrate oder der Ätz- bzw. Sputterrate ergibt, in den benachbarten Oberflächenbereichen diese Änderungen jedoch im wesentlichen wirkungslos bleibt. Dabei ist aber selbstverständlich zu berücksichtigen, daß die dementsprechend sich ändernde Behandlungswirkung nur stetig in den angrenzenden Bereichen verschwindet.
Dem Wortlaut von Anspruch 1 folgend ist es mithin möglich; bereits mit einer Auslaßöffnung und einer Absaugöffnung, die wie spezifiziert, einander benachbart sind, an einem darunterliegenden Oberflächenbereich des Werkstückes gezielt Einfluß zu nehmen.
Während sich bei dieser einfachsten Ausbildung, heuristisch gesprochen, eine U-förmige Strömung von Einlaßöffnung zu benachbarter Absaugöffnung über den Bereich ergibt, somit der selektiv beeinflußte Oberflächenbereich in einem Prozeßkammerbereich liegt, bei dem diese Strömung noch vorherrscht, ergibt sich bei Vorgehen nach Anspruch 2 ein Strömungsbild nach einer Raumfläche entsprechend einem U das um seinen einen Schenkel rotiert. Damit wird der selektiv beeinflußte Bereich der zu behandelnden Oberfläche größer. Das gleiche erfolgt bei Vorgehen gemäß Wortlaut von Anspruch 3 mit Umkehr der Strömungsrichtung. Um entlang der weitgehend ganzen zu behandelnden Oberfläche das erfindungsgemäße Vorgehen auszunützen, wird vorgeschlagen, gemäß Wortlaut von Anspruch 4 vorzugehen.
Das vorgeschlagene Verfahren eignet sich sowohl für Oberflächenbehandlungs-Prozesse unter Atmosphärendruck, wie auch unter Vakuumbedingung. Vor allem eignet es sich für CVD-Verfahren, insbesondere für pyrolytische CVD-Verfahren. Für pyrolytische CVD-Verfahren deshalb, weil dort, ohne Plasmaunterstützung, die Behandlungswirkungsverteilung in weitestgehendem Maße von der genannten Gasströmung abhängt, während bei plasmaunterstützten CVD-Verfahren auch Parameter der Plasmaentladung diese Verteilung maßgebend beeinflussen können. Dabei ist es aber selbstverständlich möglich, erfindungsgemäßes Vorgehen auch hier eine bestimmte Sollverteilung zu erwirken und ungewollten, entladungsbedingten Einflüssen entgegen zu wirken.
In Anbetracht dieser Tatsache eignet sich das erfindungsgemäße Vorgehen auch für Verfahren mit elektrischer DC- oder AC-Entladung, letzteres gegebenenfalls mit DC-Vorspannung (Biasing) des Werkstückes in an sich bekannter Art und Weise. Ebenfalls in bekannter Art und Weise wird die Entladung mit AC mit HF-Bereich oder im Mikrowellenbereich gespeist.
Im weiteren eignet sich gemäß Wortlaut von Anspruch 7 das vorgeschlagene Vorgehen aber auch für reaktive PVD-Verfahren, bei denen sowohl physikalische (Ionisation) Vorgänge ablaufen, wie auch chemische Gasreaktionen. Grundsätzlich eignet sich mithin das vorgeschlagene Vorgehen für Prozesse bei denen Gas infolge einer Reaktion, insbesondere im Bereich der zu behandelnden Oberfläche, "verbraucht" wird.
Eine die obengenannte Aufgabe lösende Behandlungskammer zeichnet sich nach dem Wortlaut von Anspruch 8 aus, mit Ausführungsvarianten gemäß den Ansprüchen 9 bis 11.
Die Erfindung wird anschließend beispielsweise anhand von Fig. erläutert.
Es zeigen:
Fig. 1 schematisch eine Raumfläche in einer (nicht dargestellten) Behandlungskammer mit Einlaßöffnungen für ein Arbeitsgas und Absaugöffnungen für gasförmige Reaktionsprodukte, zur Erläuterung des grundsätzlich gemäß der vorliegenden Erfindung begangenen Weges,
Fig. 1a einen Ausschnitt aus der Anordnung von Fig. 1 zur Erläuterung der erfindungsgemäßen Wirkungsbeeinflussung,
Fig. 2 eine Darstellung analog zu Fig. 1, mit unterschiedlich beabstandeten Einlaßöffnungen und Absaugöffnungen und dem daraus resultierenden erfindungsgemäß ausgenützten Reaktionsraumvolumenbereich,
Fig. 3a-d schematisch vier beispielsweise Öffnungsanordnungen, bei einer erfindungsgemäßen Anordnung,
Fig. 4 schematisch im Längsschnitt eine Vakuumbehandlungskammer, ausgebildet als Plasma-CVD-Kammer, mit erfindungsgemäß ausgebildeten Einlaß-/ Auslaßöffnungs-Anordnung sowie Werkstückhalterung,
Fig. 5 schematisch die Aufsicht auf eine Einlaß-/ Absaugöffnungs-Platte, wie als Beispiel an der Anordnung gemäß Fig. 4 vorgesehen,
Fig. 6 eine Anordnung, dargestellt analog zu derjenigen von Fig. 4, für pyrolytische CVD-Beschichtung eines Werkstückes,
Fig. 7a an der Anordnung gemäß Fig. 6 erzielte Strömungsverhältnisse, qualitativ dargestellt,
Fig. 7b eine ungünstige Gasströmung entlang der Werkstückoberfläche, wie sie erfindungsgemäß zu vermeiden ist,
Fig. 8 eine Schnittdarstellung einer bevorzugten Ausführungsvariante einer Einlaß-/Absaugöffnungs- Anordnung, sei dies zusätzlich als Elektrode geschaltet, wie für einen Plasmaätz- oder -CVD-Prozeß, oder elektrisch neutral betrieben, wie für einen pyrolytischen CVD- Prozeß,
Fig. 9 einen Schnitt gemäß Linie VI-VI durch die Platte gemäß Fig. 6.
In Fig. 1 ist schematisch und prinzipiell das erfindungsgemäße Vorgehen in einer hier nicht dargestellten Behandlungskammer dargestellt.
Es ist an einer Berandungsfläche 1 des Kammerreaktionsvolumens VK eine Anordnung von Einlaßöffnungen 3 für Arbeitsgas Gin vorgesehen durch die das für den reaktiven Behandlungsprozeß benötigte Arbeitsgas eintritt. Weiter sind Absaugöffnungen 5 vorgesehen, an denen aus dem Volumen VK, Gas mit Reaktionsprodukten abgesaugt wird. Es sind die Einlaßöffnungen 3 und Absaugöffnungen 5 einander unmittelbar benachbart. Die Hauptströmungsrichtungen einander benachbarter Einlaß- bzw. Absaugöffnungen 3, 5 sind im wesentlichen parallel.
Zum Ausdruck "unmittelbar benachbart": Wenn von einer betrachteten Öffnung 3 oder 5 der einen Art (z. B. Einlaßöffnung) auf diesbezüglich konzentrischen Kreisen, gegebenenfalls Kugelflächen, der Abstand vergrößert wird, so ist die eine oder sind die weiteren Öffnungen, auf die zuerst gestoßen wird, anderer Art, d. h. 5 oder 3 (z. B. Absaugöffnungen).
Das Kammer- bzw. Prozeßvolumen VK wird erfindungsgemäß nur im Nahbereich zu den Einlaß-/Absaugöffnungs- Paaren 3, 5 zum Anordnen der erfindungsgemäß zu behandelnden Oberfläche ausgenützt.
In Fig. 1 ist gestrichelt, bezüglich der Oberfläche 1 eingetragen, welcher Volumenteil VP erfindungsgemäß ausgenützt wird. Rein qualitativ eingetragen, sind zwischen Paaren von Einlaß- und Absaugöffnungen entstehende U-Strömungen dargestellt. Wie weit das U- förmige Gasströmungsfeld sich benachbarter Öffnungen 3, 5 in den Prozeßraum hinein erstreckt, hängt im wesentlichen ab von:
  • - den Gaseinlaßverhältnissen, d. h. letztendlich dem Impuls des eingedüsten Gases,
  • - den Druckverhältnissen in der Kammer, den Gasabsaugverhältnissen, d. h. dem abgesaugten Volumen- oder Massestrom.
Als Richtlinie bei Vakuumprozessen lassen sich Änderungen dieser Größe bis ca. zum doppelten Öffnungs-Abstand 2d registrieren.
In Fig. 1a ist eine Einlaßöffnung 3 und eine Absaugöffnung 5 sowie die dazwischen herrschende Gasströmung qualitativ dargestellt bezüglich einer zu behandelnden Oberfläche 6. Werden die Einlaßverhältnisse und/oder die Absaugverhältnisse verändert, indem beispielsweise der Impuls des an der Öffnung 3 ausgegebenen Gases erhöht wird, und/oder der an der Absaugleitung 5 rückgesaugte Volumen- bzw. Massestrom, so ergibt sich dann, wenn die zu behandelnde Oberfläche 6 mit ihrem den beiden Öffnungen 3 und 5 gegenüberliegenden Bereich 6a, der vorliegende Erfindung folgend von den beiden Öffnungen 3, 5 beabstandet ist, eine Behandlungswirkungsänderung w, wie sie qualitativ dargestellt ist: Im wesentlichen stellt sich an dem den Öffnungen gegenüberliegenden Bereich 6a eine Änderung der Behandlungswirkung w ein, sei diese der Ätz- bzw. Sputterrate oder der Beschichtungsrate, und zwar im wesentlichen nur in diesem Bereich und nicht in den benachbarten der Oberfläche 6.
Gemäß Fig. 2 kann nun die Behandlungswirkungs-Verteilung durch Variation der Paarabstände d an der hier ebenen Fläche 1 geändert werden oder durch Variation der Einlaß-Verhältnisse, der Absaug-Verhältnisse, wie der Einlaßförderleistung, der Absaugleistung. In Fig. 2 ist eine Variation der Öffnungsabstände d dargestellt. Strichpunktiert ist qualitativ eine Fläche 7 eingetragen, auf der, genähert, gleiche lokale Behandlungswirkungen auftreten. Durch gezielte Variation der lokalen Paarabstände d, und/oder wie erwähnt, durch Variation von Einlaß- bzw. Absaugöffnungsdurchmessern ⌀₃ und ⌀₅ bzw. der pro Zeiteinheit zugeführten bzw. abgesaugten Gasmasse in, out, bzw. des Einlaßimpulses, lassen sich im erfindungsgemäß ausgenützten Volumenteil Vp erwünschte Wirkungsverteilungen einstellen und, entsprechend, eine zu behandelnde Werkstückoberfläche, nach einer erwünschten Behandlungswirkungsverteilung an seiner Oberfläche, im genannten Volumenteil Vp, wie bei 9 angedeutet, anordnen.
In Fig. 3 sind beispielsweise vier mögliche Anordnungen von Einlaßöffnungen 3 bzw. Absaugöffnungen 5 dargestellt. Gemäß Fig. 3a sind jeweils einer Einlaßöffnung 3, auf einem diesbezüglich konzentrischen Kreis, mehrere Absaugöffnungen 5 vorgesehen. Diese Öffnungen bilden bezüglich des strichpunktiert eingetragenen Bereiches 6a erfindungsgemäß gekoppelt betriebene Einlaß- bzw. Absaugöffnungen.
In Fig. 3b sind die Verhältnisse umgekehrt, einer zentralen Absaugöffnung 5 sind, auf einem konzentrischen Kreis, mehrere Einlaßöffnungen 3 zugeordnet die gemeinsam erfindungsgemäß die Behandlungswirkung w an dem Oberflächenbereich 6a beeinflussen.
In Fig. 3c ist, beispielsweise zur Oberflächenbehandlung von kreisförmigen Substraten, ein Ausschnitt aus der Öffnungsanordnung dargestellt, gebildet durch radial angeordnete Reihen von Einlaßöffnungen 3 bzw. ihnen zugeordneten Absaugöffnungen 5. Das Strömungsbild wird damit im wesentlichen azimutal wie gestrichelt eingetragen, jeweils zwei einander benachbarte Öffnungsreihen wirken im wesentlichen auf einen sektorförmigen Bereich 6a.
In Fig. 3d sind, ebenfalls beispielsweise zur Oberflächenbehandlung kreisförmiger Substrate anstelle einzelner Lochöffnungen Einlaßschlitze 3a bzw. Absaugschlitze 5a azimutal angeordnet, womit ein im wesentlichen radiales Strömungsbild erzeugt wird und wobei sich benachbarte Öffnungen 3a bzw. 5a auf ringförmige Oberflächenbereiche 6a einwirken.
Dank des erfindungsgemäßen Vorgehens, womit die durch die Einlaßöffnung und die Absaugöffnung bewirkten Strömungen an der zu behandelnden Oberfläche zur Geltung gebracht werden, ist es möglich, durch gezielte Auslegung deren Strömungebilder bzw. -felder gezielte Beschichtungswirkungsverteilungen wie Ätz- oder Sputterraten-Verteilung oder Beschichtungsratenverteilung an der zu behandelnden Werkstückoberfläche zu erzielen.
In Fig. 4 ist schematisch der Längsschnitt durch eine gemäß der vorliegenden Erfindung aufgebaute Vakuumbehandlungskammer dargestellt. Es handelt sich dabei, als Beispiel, um eine Plasma-CVD-Oberflächenbehandlungskammer mit RF-Plasmaerzeugung. In dieser Anordnung ist das erfindungsgemäße Vorgehen nur gezielt in einem wohl definierten Bereich des Reaktionsvolumens ausgenützt.
Wie beispielsweise aus der EP-A-03 22 466 für Plasma- CVD bekannt, wird aus einer Anordnung 13 im wesentlichen gleich verteilter, gleicher Einlaßöffnungen 4, aus einer Arbeitsgasverteilkammer 12, einem Substrat 10 gegenüberliegend, letzterem Arbeitsgas mit Reaktionsgas zugeführt A. Der Verteilkammer 12 wird Gas durch eine Leitung 16 zugeführt.
Beispielsweise, wie in Fig. 5 dargestellt, im Peripheriebereich bezüglich des Substrates 10, sind Paare von Einlaßöffnungen 3 und Absaugöffnungen 5 vorgesehen, bezüglich der Oberfläche des Substrates 10 gemäß der vorliegenden Erfindung beabstandet.
Es entspricht die Anordnung der Öffnungspaare 3, 5 der vorliegenden Erfindung, während die Gaseindüsöffnungen 4 im Zentrumsbereich und die im Peripheriebereich der Behandlungskammer 14 angeordneten Absaugöffnungen 18 dem Stande der Technik entsprechen.
Die erfindungsgemäß angeordneten Absaugöffnungen 5 sowie die gemäß Stand der Technik angeordneten, peripheren Absaugöffnungen 18 kommunizieren mit einer Gassammelkammer 20, angeschlossen an eine Rücksaugleitung 22. Die Einlaßöffnungen 4 gemäß Stand der Technik und die gemäß vorliegender Erfindung vorgesehenen Einlaßöffnungen 3 kommunizieren ihrerseits mit der Gasverteilkammer 12. Die Anordnung mit den Enlaßöffnungen 3 und 4 sowie den Absaugöffnungen 5 ist, wie schematisch bei 24 dargestellt, elektrisch bezüglich der Wandung der Kammer 14 isoliert und wird im speziell betrachteten Fall von einem RF- bzw. HF- Generator 26 betrieben. Das Substrat 10 und die Wand der Kammer 14 liegen beispielsweise auf Massepotential. Ohne weiteres kann aber das Substrat 10 auf DC-Potential gespannt sein.
Wie nun ersichtlich, ist der Abstand der Substratoberfläche im Zentralbereich von den Eindüsöffnungen 4 wesentlich größer als im Peripheriebereich von den Öffnungspaaren 3, 5. Während im erstgenannten Bereich, wegen des dort großen Abstandes innerhalb des Plasmaraumes, zwischen der als RF-Elektrode wirkenden Einlaß-/ Absaugöffnungs-Anordnung und dem geerdeten Substrat 10, sich eine nicht beherrschbare im wesentlichen radial nach außen abnehmende Ratenverteilung von zugeführtem, frischem Reaktionsgas und gasförmigen Reaktionsprodukten an der Substratoberfläche einstellt, wird im erfindungsgemäß bestückten Peripheriebereich diese Rate durch die dort realisierten Einlaß-/Absaugöffnungs- Paarabstände bezüglich des Abstandes zur Substratoberfläche beherrschbar. Dort ist die Substratoberfläche erfindungsgemäß nur so weit von den Öffnungspaaren 3, 5 entfernt, daß die durch die Öffnungen 3, 5 bewirkte, lokale U-Strömung am dort gelegenen Bereich 6a entlangstreicht.
Damit wird im dort ausgenützten Reaktionsraum, der wesentlich weniger tief ist als im Zentralbereich, die erwähnte Rate erfindungsgemäß beeinflußbar.
In Fig. 5 ist schematisch eine Aufsicht auf die Anordnung der Einlaßöffnungen 4 und der erfindungsgemäß vorgesehenen Öffnungspaare 3, 5 an der Behandlungskammer gemäß Fig. 4 dargestellt.
Fig. 6 zeigt in analoger Darstellung zu Fig. 4, eine Behandlungskammer für einen Oberflächenreaktionsprozeß, insbesondere eine erfindungsgemäße Kammer für pyrolytische CVD-Beschichtung. Der Prozeß kann je nach Prozeßanforderungen bei atmosphärischen Druckverhältnissen oder im Vakuum ausgeführt werden.
Ein zu beschichtendes Substrat 31 ruht auf einer beheizten Trägeroberfläche, die, wie schematisch angedeutet, beispielsweise mittels einer Elektroheizung 33, zur Zuführung der Reaktionsenergie an die Substratoberfläche 32, beheizt ist. Der zu beschichtenden Oberfläche 32 des Substrates 31 gegenüberliegend, ist eine Anordnung verteilter Einlaßöffnungen 3 und Absaugöffnungen 5 vorgesehen, wobei, im dargestellten Beispiel, die Abstände d zwischen sich benachbarten Einlaß- und Absaugöffnungen 3, 5 gleich sind.
Das Substrat 31 ist auf einer zur Ausmündungs- bzw. Einmündungsebene E der Öffnungen 3, 5 äquidistanten Ebene gehaltert, und zwar bezüglich der Ebene E auf einem Abstand, der den erfindungsgemäßen Anforderungen bezüglich Bereichs-selektiven Änderungen aufgrund von Einlaß- und/oder Absaug-Verhältnisänderungen genügt.
Wie aus Fig. 7a weiter ersichtlich, ergibt sich lokal an der Oberfläche des Substrates 31 eine Arbeitsgaszufuhr bzw. Reaktionsproduktabfuhr, die durch die Anordnung der Öffnungspaare gezielt beeinflußt werden kann und insbesondere über der Oberfläche homogen ausgebildet werden kann, auch bei sehr ausgedehnten Substratoberflächen.
Wie in Fig. 7b dargestellt, läßt sich eine solche Beeinflussung dann lokal nicht mehr vornehmen, wenn das zugeführte Arbeitsgas über längere Substratoberflächenbereiche hinwegstreicht, und zunehmend verbraucht wird.
Zudem ergibt sich durch die Strömungsausnutzung nach der vorliegenden Erfindung eine wesentliche Verbesserung des Wirkungsgrades bezüglich zugeführter Arbeitsgasmenge: Praktisch das gesamte, dem Reaktionsraum zugeführte Arbeitsgas gelangt in den unmittelbaren Bereich der heißen Substratoberfläche und reagiert dort während gemäß Fig. 7b, die einmal in diesen Bereich des Substrates gelangte Gasmenge zunehmend verbraucht, an dieser Oberfläche verbleibt.
In den Fig. 8 und 9 ist eine bevorzugte Realisationsvariante für die Gaseinlaß- und -absaugöffnungen dargestellt.
Die Anordnung mit den Einlaß-/Absaugöffnungen 3, 5 umfaßt eine Außenplatte 35 mit, gemäß Fig. 9, kleindurchmesserigen Einlaßöffnungen 3 und größer dimensionierten Absaugöffnungen 5. Die Einlaßöffnungen 3 kommunizieren mit einer in einer Platte 37 eingearbeiteten Nut 39, welche sich in konzentrischen, radial verbundenen Kreisen über die Fläche der Platte 37 erstreckt oder auch beispielsweise mäanderförmig ausgebildet sein kann.
Die von der Nut 39 ausgenommenen Partien 41 der Platte 37 liegen dichtend an der Außenplatte 35 an. In diese Partien 41 sind die Absaugöffnungen 5 als Bohrungen 5a eingearbeitet. Der durch die Nut 39 gebildeten Verteilkammer wird frisches Arbeitsgas zentral durch die Leitung 43 zugeführt. Die Bohrungen 5a ihrerseits kommunizieren mit einer Gassammelkammer 45, die über eine Leitung 47 mit einer Rücksaugquelle in bekannter Art und Weise verbunden ist.
Es versteht sich von selbst, daß die Nut 39 auch in die Außenplatte 35 eingearbeitet sein kann.
Durch die Ausbildung der Verteilkammer als Nut 39 wird eine einfache Möglichkeit geschaffen, die Anordnung von erfindungsgemäß beabstandeten Öffnungspaaren zu realisieren. Es kann an einer Kammer die eine Öffnungsanordnung gemäß Fig. 8 und 9 leicht durch eine andere ersetzt werden, je nach den erwünschten, auszunützenden Strömungsverhältnissen bei der Werkstückbehandlung.
Selbstverständlich kann die ganze Anordnung, wie sie in den Fig. 8 und 9 dargestellt ist, im Falle des Einsatzes in einem Plasma-Vakuumbehandlungsprozeß, elektrisch auf Potential gelegt werden oder als elektrisch geerdete Elektrode gegen ein auf Potential gelegtes Werkstück arbeiten.

Claims (11)

1. Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes, bei dem in eine Behandlungskammer ein Arbeitsgas aus mindestens einer Einlaßöffnung eingelassen wird und durch mindestens eine Absaugöffnung Gas aus der Behandlungskammer abgesaugt wird, wobei die Öffnungen einander benachbart über einem Oberflächenbereich der zu behandelnden Oberfläche liegen mit im wesentlichen dazu senkrechten Öffnungsachsen, dadurch gekennzeichnet, daß der Abstand zwischen den Öffnungen, der Abstand zwischen den Öffnungen und dem Oberflächenbereich, der Gaseinlaß und die Gasabsaugung so gewählt werden, daß eine Änderung des Gaseinlasses und/oder der Gasabsaugung eine Änderung der Behandlungswirkung selektiv in dem Oberflächenbereich ergeben.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß mindestens eine Einlaßöffnung und, ihr benachbart, mehrere Absaugöffnungen vorgesehen werden, und die Gasabsaugung auf die mehreren Absaugöffnungen zur Wirkung gebracht wird, derart, daß der Bereich, in dem die Änderung selektiv erfolgt, der der Einlaßöffnung und den mehreren Absaugöffnungen gegenüberliegende Oberflächenbereich ist.
3. Verfahren nach einem der Ansprüche 1 oder 2, dadurch gekennzeichnet, daß mindestens eine Absaugöffnung und, ihr benachbart, mehrere Einlaßöffnungen vorgesehen werden und der Gaseinlaß auf die mehreren Einlaßöffnungen zur Wirkung gebracht wird, derart, daß der Bereich, in dem die Änderung selektiv erfolgt, der der einen Absaug- und den mehreren Einlaßöffnungen gegenüberliegene Oberflächenbereich ist.
4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß mehrere der Einlaß- und/oder Absaugöffnungen vorgesehen werden, und die Verteilung der Behandlungswirkung durch die Wahl der jeweiligen Abstandsgrößen und des jeweiligen Gaseinlasses oder der Gasabsaugung eingestellt wird.
5. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, daß es als CVD-Verfahren, insbesondere pyrolytisches CVD-Verfahren, oder als reaktives PVD-Verfahren durchgeführt wird.
6. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, daß es mittels elektrischer DC- oder AC-Entladung durchgeführt wird.
7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, daß zusätzlich eine DC-Bias-Spannung an das Werkstück angelegt wird.
8. Behandlungskammer für reaktive Oberflächenbehandlung eines Werkstückes, mit einer Halterung zur Positionierung der zu behandelnden Oberfläche des Werkstückes in der Kammer, mindestens einer der positionierten Oberfläche gegenüberliegenden, mit einer Gasfördereinrichtung verbundenen Einlaßöffnung für ein Arbeitsgas, mindestens einer mit einer Gasabsaugeinrichtung verbundenen Absaugöffnung für Gas, die der Einlaßöffnung unmittelbar benachbart ist, wobei die Öffnungsachsen im wesentlichen senkrecht zu einem den Öffnungen gegenüberliegenden Oberflächenbereich des Werkstücks stehen, dadurch gekennzeichnet, daß die Halterung bezüglich der Öffnungen (3, 5) sowie die Einstellung der Gasfördereinrichtung und der Gasabsaugeinrichtung so gewählt sind, daß eine Änderung mindestens einer dieser Einstellungen selektiv in dem Oberflächenbereich (6a) der Oberfläche (6) eine Änderung der Behandlungswirkung (w) bewirkt.
9. Behandlungskammer nach Anspruch 8, dadurch gekennzeichnet, daß mindestens eine Einlaßöffnung (3) und ihr unmittelbar benachbart, mehrere Absaugöffnungen (5) vorgesehen sind, und daß die Absaugöffnungen (5) mit im wesentlichen gleichwirkenden Gasabsaugeinrichtungen verbunden sind.
10. Behandlungskammer nach einem der Ansprüche 8 oder 9, dadurch gekennzeichnet, daß mindestens eine Auslaßöffnung (5) und ihr unmittelbar benachbart, mehrere Einlaßöffnungen (3) vorgesehen sind, und daß die Einlaßöffnungen mit im wesentlichen gleichwirkenden Fördereinrichtungen verbunden sind.
11. Behandlungskammer nach einem der Ansprüche 9 bis 10, dadurch gekennzeichnet, daß mehrere der Einlaßöffnungen (3) und/oder Absaugöffnungen (5) vorgesehen sind, wobei die Einlaßöffnungen (3) und/oder Absaugöffnungen (5) jeweils unterschiedlich ausgebildet sind, und/oder jeweils unterschiedlich betriebene Fördereinrichtungen oder Absaugeinrichtungen zugeschaltet sind zur gezielten Beeinflussung der Behandlungswirkungsverteilung auf der zu behandelnden Oberfläche.
DE4011933A 1990-04-12 1990-04-12 Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür Expired - Fee Related DE4011933C2 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE4011933A DE4011933C2 (de) 1990-04-12 1990-04-12 Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
AT91105383T ATE132203T1 (de) 1990-04-12 1991-04-05 Verfahren zur reaktiven oberflächenbehandlung
DE59107139T DE59107139D1 (de) 1990-04-12 1991-04-05 Verfahren zur reaktiven Oberflächenbehandlung
EP91105383A EP0452745B1 (de) 1990-04-12 1991-04-05 Verfahren zur reaktiven Oberflächenbehandlung
JP3166530A JPH04228575A (ja) 1990-04-12 1991-04-11 工作物の反応性表面処理法とその処理室
US08/067,392 US5422139A (en) 1990-04-12 1993-05-25 Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4011933A DE4011933C2 (de) 1990-04-12 1990-04-12 Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür

Publications (2)

Publication Number Publication Date
DE4011933C1 true DE4011933C1 (de) 1991-11-28
DE4011933C2 DE4011933C2 (de) 1996-11-21

Family

ID=6404337

Family Applications (2)

Application Number Title Priority Date Filing Date
DE4011933A Expired - Fee Related DE4011933C2 (de) 1990-04-12 1990-04-12 Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
DE59107139T Expired - Fee Related DE59107139D1 (de) 1990-04-12 1991-04-05 Verfahren zur reaktiven Oberflächenbehandlung

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE59107139T Expired - Fee Related DE59107139D1 (de) 1990-04-12 1991-04-05 Verfahren zur reaktiven Oberflächenbehandlung

Country Status (5)

Country Link
US (1) US5422139A (de)
EP (1) EP0452745B1 (de)
JP (1) JPH04228575A (de)
AT (1) ATE132203T1 (de)
DE (2) DE4011933C2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009013854B4 (de) 2008-03-19 2019-05-02 Tokyo Electron Ltd. Schauerkopf und Substratbearbeitungsvorrichtung

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
FR2727693A1 (fr) * 1994-12-06 1996-06-07 Centre Nat Rech Scient Reacteur pour le depot de couches minces en phase vapeur (cvd)
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
TW294820B (en) * 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
KR0160390B1 (ko) * 1995-10-09 1999-02-01 김광호 반도체 식각공정의 가스분사기구
TW347460B (en) * 1995-11-29 1998-12-11 Applied Materials Inc Flat bottom components and flat bottom architecture for fluid and gas systems
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
DE19727857C1 (de) * 1997-06-30 1999-04-29 Fraunhofer Ges Forschung Plasmarektor mit Prallströmung zur Oberflächenbehandlung
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6013316A (en) * 1998-02-07 2000-01-11 Odme Disc master drying cover assembly
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
JP3965258B2 (ja) * 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
AU2001283944A1 (en) * 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100808741B1 (ko) * 2002-01-24 2008-02-29 스미토모 세이미츠 고교 가부시키가이샤 오존 처리 장치
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
DE10217806A1 (de) 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
KR20060120707A (ko) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Cvd 막 특성들의 개선을 위한 에지 플로우 면판
KR20050062269A (ko) * 2003-12-20 2005-06-23 엘지.필립스 엘시디 주식회사 양면 반사형 액정표시장치
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
US20070045239A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Apparatus and method for processing a microfeature workpiece using a plasma
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
FR2943928B1 (fr) 2009-04-02 2012-04-27 Saint Gobain Ct Recherches Structure filtrante a base de sic a proprietes thermomecaniques ameliorees
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP6119408B2 (ja) * 2013-05-09 2017-04-26 ソニー株式会社 原子層堆積装置
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021248303A1 (zh) * 2020-06-09 2021-12-16 江苏菲沃泰纳米科技股份有限公司 镀膜设备和应用
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
DE3020815A1 (de) * 1980-06-02 1981-12-10 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zur herstellung von duennen schichten auf substraten durch chemische umwandlung von gasen
EP0254654A1 (de) * 1986-07-22 1988-01-27 Nihon Shinku Gijutsu Kabushiki Kaisha Verfahren zum Beschichten unter Anwendung einer CVD-Beschichtungstechnik
EP0283007A2 (de) * 1987-03-17 1988-09-21 Fujitsu Limited Vorrichtung zur Beschichtung durch Abscheidung aus der Gasphase mit perforierter Düse
EP0303508A2 (de) * 1987-08-14 1989-02-15 Applied Materials, Inc. Eingangsverteiler und Verfahren zur Steigerung der Gasdissoziation und zur PECVD von dielektrischen Filmen
US4817558A (en) * 1986-07-10 1989-04-04 Kabushiki Kaisha Toshiba Thin-film depositing apparatus
FR2623524A1 (fr) * 1987-11-20 1989-05-26 Lami Philippe Perfectionnement au procede et au dispositif de depot metallique sur un echantillon
EP0322466A1 (de) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD-(plasmaverstärkte chemische Dampfabscheidung) Verfahren zum Abscheiden von Wolframschichten oder Wolfram enthaltenden Schichten mit In situ-Erzeugung von Wolframfluorid

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5610932A (en) * 1979-07-09 1981-02-03 Mitsubishi Electric Corp Plasma treating apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH0333058Y2 (de) * 1987-06-26 1991-07-12
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
JPH07116610B2 (ja) * 1987-12-18 1995-12-13 富士通株式会社 熱処理装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
DE3020815A1 (de) * 1980-06-02 1981-12-10 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zur herstellung von duennen schichten auf substraten durch chemische umwandlung von gasen
US4817558A (en) * 1986-07-10 1989-04-04 Kabushiki Kaisha Toshiba Thin-film depositing apparatus
EP0254654A1 (de) * 1986-07-22 1988-01-27 Nihon Shinku Gijutsu Kabushiki Kaisha Verfahren zum Beschichten unter Anwendung einer CVD-Beschichtungstechnik
EP0283007A2 (de) * 1987-03-17 1988-09-21 Fujitsu Limited Vorrichtung zur Beschichtung durch Abscheidung aus der Gasphase mit perforierter Düse
EP0303508A2 (de) * 1987-08-14 1989-02-15 Applied Materials, Inc. Eingangsverteiler und Verfahren zur Steigerung der Gasdissoziation und zur PECVD von dielektrischen Filmen
FR2623524A1 (fr) * 1987-11-20 1989-05-26 Lami Philippe Perfectionnement au procede et au dispositif de depot metallique sur un echantillon
EP0322466A1 (de) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD-(plasmaverstärkte chemische Dampfabscheidung) Verfahren zum Abscheiden von Wolframschichten oder Wolfram enthaltenden Schichten mit In situ-Erzeugung von Wolframfluorid

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009013854B4 (de) 2008-03-19 2019-05-02 Tokyo Electron Ltd. Schauerkopf und Substratbearbeitungsvorrichtung

Also Published As

Publication number Publication date
DE59107139D1 (de) 1996-02-08
JPH04228575A (ja) 1992-08-18
EP0452745A1 (de) 1991-10-23
DE4011933C2 (de) 1996-11-21
US5422139A (en) 1995-06-06
ATE132203T1 (de) 1996-01-15
EP0452745B1 (de) 1995-12-27

Similar Documents

Publication Publication Date Title
DE4011933C1 (de)
DE19856307C1 (de) Vorrichtung zur Erzeugung eines freien kalten Plasmastrahles
EP0881865B1 (de) Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
EP1394283B1 (de) Verfahren und Vorrichtung zur grossflächigen Beschichtung von Substraten bei Atmosphärendruckbedingungen
DE3216465A1 (de) Verfahren und vorrichtung zur gasfuehrung fuer lp cvd prozsse in einem rohrreaktor
DE3102174A1 (de) Plasmareaktionsvorrichtung zur behandlung von halbleitern u.dgl.
DD274830A1 (de) Vorrichtung zur gasphasenbearbeitung von scheibenfoermigen werkstuecken
EP0282836A2 (de) Verfahren und Vorrichtung zum Aufstäuben hochohmiger Schichten durch Katodenzerstäubung
EP0886880A1 (de) Verfahren und anlage zur beschichtung von werkstücken
EP1194611B1 (de) Anlage und verfahren zur vakuumbehandlung bzw. zur pulverherstellung
WO2009127540A1 (de) Vorrichtung zum behandeln einer inneren oberfläche eines werkstücks
DE102004029081A1 (de) Vorrichtung zur Bearbeitung eines Substrates mittels mindestens eines Plasma-Jets
EP1872637B1 (de) Vorrichtung und verfahren zur plasmabeschichtung
EP2915901B1 (de) Vorrichtung zur Plasmaprozessierung mit Prozessgaszirkulation in multiplen Plasmen
EP3455390B1 (de) Gasinjektor für reaktionsbereiche
DD271776A1 (de) Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
DE19727857C1 (de) Plasmarektor mit Prallströmung zur Oberflächenbehandlung
EP1253621B1 (de) Vorrichtung zur Erzeugung eines Niedertemperatur-Plasmas
EP0257620B1 (de) Verfahren und Vorrichtung zum Ausbilden einer Schicht durch plasmachemischen Prozess
DE102013107659B4 (de) Plasmachemische Beschichtungsvorrichtung
DE19960092A1 (de) Beschichtungsverfahren
DE102008062619B4 (de) Mikrowellenplasmaquelle und Verfahren zur Bildung eines linear langgestreckten Plasma bei Atmosphärendruckbedingen
DE4316919C2 (de) Reaktor für CVD-Behandlungen
DE102020124030B4 (de) Vorrichtung, System und Verfahren zur plasmaunterstützten chemischen Gasphasenabscheidung
WO1993011275A1 (de) Verfahren und vorrichtung zur hartstoffbeschichtung von substratkörpern

Legal Events

Date Code Title Description
8100 Publication of patent without earlier publication of application
D1 Grant (no unexamined application published) patent law 81
8363 Opposition against the patent
8366 Restricted maintained after opposition proceedings
8305 Restricted maintenance of patent after opposition
D3 Patent maintained restricted (no unexamined application published)
8339 Ceased/non-payment of the annual fee