DE3939473C2 - - Google Patents

Info

Publication number
DE3939473C2
DE3939473C2 DE3939473A DE3939473A DE3939473C2 DE 3939473 C2 DE3939473 C2 DE 3939473C2 DE 3939473 A DE3939473 A DE 3939473A DE 3939473 A DE3939473 A DE 3939473A DE 3939473 C2 DE3939473 C2 DE 3939473C2
Authority
DE
Germany
Prior art keywords
layer
substrate
silicon
thin film
thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE3939473A
Other languages
English (en)
Other versions
DE3939473A1 (de
Inventor
Hiroshi Natori Miyagi Jp Miura
Masafumi Sendai Miyagi Jp Kumano
Kouichi Miyagi Jp Ohtaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Research Institute of General Electronics Co Ltd
Ricoh Co Ltd
Original Assignee
Ricoh Research Institute of General Electronics Co Ltd
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP30476688A external-priority patent/JPH02150017A/ja
Application filed by Ricoh Research Institute of General Electronics Co Ltd, Ricoh Co Ltd filed Critical Ricoh Research Institute of General Electronics Co Ltd
Publication of DE3939473A1 publication Critical patent/DE3939473A1/de
Application granted granted Critical
Publication of DE3939473C2 publication Critical patent/DE3939473C2/de
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/912Charge transfer device using both electron and hole signal carriers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree

Description

Die Erfindung betrifft eine Dünnschichtanordnung mit mindestens einer Halbleiterschicht nach dem Oberbegriff des Anspruchs 1 bzw. 7 und ein Verfahren zu dessen Herstellung, wobei die Dünnschichtenanordnung der Gattung nach aus dem Artikel "Stress-enhanced" carrier mobility in zone melting recrystallized polycrystalline Si-films on SiO₂-coated substrates" von Tsaur, Fan und Geis, erschienen in Appl. Phys. Lett., 1982, Nr. 40(4), S. 322-324, bekannt ist.
Derartige Dünnschichtanordnungen, im folgenden auch Dünnschicht-Halbleiter genannt, werden verwendet, um verschiedene Büro-Automatisations- Einrichtungen, wie eine groß bemessene Bildabtast- und Treibereinrichtung sowie eine Anzeige- und Treibereinrichtung, aufzubauen.
Bekanntlich wird üblicherweise eine Dünnschicht durch ein Plasma-CVD- (Gasphasenabscheidungs-)Verfahren, durch ein thermisches CVD-Verfahren, ein optisches CVD-Verfahren, ein LP-CVD-Verfahren, ein MO-CVD-Verfahren, ein Sputter-Verfahren oder ein Vakuum-Aufdampfverfahren erzeugt. Bei jedem dieser Verfahren hat die erzeugte Dünnschicht eine Kristallstruktur, welche derjenigen des Substrats ähnlich ist. Wenn daher das Substrat aus Glas oder Keramik hergestellt ist, welche Materialien im allgemeinen als ein elektrisches Isoliermaterial verwendet werden, kann keine einkristalline Dünnschicht durch eines der vorerwähnten Verfahren auf dem Substrat ausgebildet werden, sondern statt dessen wird auf dem Substrat eine polykristalline oder amorphe Dünnschicht ausgebildet.
Um eine einkristalline Dünnschicht bei dem Glas- oder Keramiksubstrat zu erhalten, ist daher ein Zonenschmelz-Rekristallisations-(ZMR)Verfahren vorgeschlagen worden, bei welchem die polykristalline oder amorphe Siliziumdünnschicht durch Schmelzen und Rekristallisieren der polykristallinen oder amorphen Silizium-Dünnschicht umgewandelt wird. Bei diesem ZMR-Verfahren ist es vorteilhaft, daß eine unveränderliche Kristallrichtung infolge der Anisotropie der Oberflächenenergie der Siliziumdünnschicht zum Zeitpunkt des Schmelzens und der Rekristallisation auf der kristallisierten Schichtoberfläche überwiegend angeordnet ist.
Beispiele von Heizeinrichtungen zum Schmelzen der Siliziumschicht entsprechend den ZMR-Verfahren sind (1) ein Hochfrequenz- Heizverfahren mit Suszeptoren aus Kohlenstoff, (2) ein Infrarot-Heizverfahren und (3) ein Band-Heizverfahren. Nach jedem dieser Verfahren ist die polykristalline oder amorphe Dünnschicht auf dem Substrat auf eine Temperatur zu erhitzen, welche höher als der Schmelzpunkt der Dünnschicht ist. Wenn daher eine Silizium-Dünnschicht zu behandeln ist, muß die Heiztemperatur höher sein als 1412°C, dem Silizium- Schmelzpunkt. Bei einem solchen Hochtemperatur- Prozeß, welcher für eine Rekristallisation der Dünnschicht erforderlich ist, kommt es während eines Einkristall-Aufwachsens zu einem thermischen Ungleichgewicht, was zur Folge hat, daß Kristalldefekte in der einkristallinen Dünnschicht erzeugt werden und/oder das Substrat durch die Hitze verformt wird. Beispielsweise werden Haar- oder Mikrorisse an der Oberfläche des Substrats aus Kristallglas als einer der vorerwähnten Kristalldefekte oder -fehler erzeugt. Wenn eine Siliziumschicht mit derartigen Mikrorissen bei einem Transistor oder bei anderen elektronischen Bauelementen verwendet wird, ist die Ansprechempfindlichkeit beeinträchtigt, und es kann keine zuverlässige Funktion erreicht werden.
Es wird angenommen, daß die Haar- oder Mikrorisse aus folgendem Grund erzeugt werden. Der tragende Teil (das Substrat), welcher aus Quarzglas hergestellt ist, hat einen Wärmeausdehnungskoeffizienten von etwa 5 × 10-7/°C, während Silizium einen Wärmeausdehnungskoeffizienten von etwa 40 × 10-7/°C hat. Daher ist die Ausdehnung und die Kontraktion von Silizium größer als diejenige des Quarzsubstrats bei dem Aufheizen auf die hohe Temperatur bzw. beim Abkühlen auf die Raumtemperatur. Jedoch ist die Dicke der Siliziumschicht sehr dünn (0,5 µm bis 1 µm) im Vergleich zu der Dicke des Quarzglas- Substrats (0,5 mm bis 1 mm). Daher werden die Ausdehnung und Kontraktion der Siliziumschicht in der Richtung parallel zu der Schicht- und Substratoberfläche durch das Quarzglas- Substrat eingeschränkt, so daß eine Spannung erzeugt wird und in der Siliziumschicht verbleibt, wodurch sich dann Haar- oder Mikrorisse in der Siliziumschicht bilden.
Um den vorerwähnten Schwierigkeiten vorzubeugen, ist vorgeschlagen worden, die Siliziumschicht in eine Anzahl gesonderter Inseln in einer Größe von 25 × 25 µm bis 100 × 100 µm aufzuteilen, um so die Risseerzeugung zu vermeiden. Wenn jedoch die Inseln der Siliziumschicht entsprechend groß ausgebildet werden, wird es schwierig, eine Rissebildung in den einzelnen Inseln zu vermeiden. Daher ist die Fläche der Siliziumschicht begrenzt und so klein, daß eine größer bemessene Siliziumschicht nicht erhalten werden kann; folglich ist eine Realisierung von elektronischen Elementen mit einer hohen Dichte verhindert, da die Fläche zum Ausbilden der Dünnschicht begrenzt ist. Die vorstehend geschilderte Schwierigkeit ist nicht auf den Fall beschränkt, bei welchem das Substrat aus Quarzglas hergestellt ist, sondern unvermeidbar, wenn der Wärmeausdehnungskoeffizient des Substratmaterials sich von demjenigen des Siliziums unterscheidet.
Wie vorstehend aufgeführt, wird bei dem ZMR-Verfahren die Siliziumdünnschicht durch (1) ein Hochfrequenz-Heizverfahren in Suszeptoren aus Kohlenstoff, (2) durch ein Infrarot-Heizverfahren oder (3) ein Bandheizverfahren erhitzt und geschmolzen. Ebenso muß bei dem ZMR-Verfahren das geschmolzene Silizium langsam abkühlen, wenn es sich verfestigt, um eine rekristallisierte Dünnschicht hoher Güte zu erhalten. Bei jedem der vorerwähnten Verfahren (1) bis (3) wird das Substrat ebenfalls auf den Schmelzpunkt von Silizium von 1412°C erhitzt, um so die Abkühlgeschwindigkeit der Siliziumschicht zu verlangsamen.
Daher muß das Substrat aus einem Material hergestellt sein, welches bei dieser Temperatur beständig ist. Wenn ferner gefordert wird, daß das Substrat transparent ist, ist das Material für das Substrat auf Quarz beschränkt, welches einen Erweichungspunkt bei 1650°C hat.
Es ist vorgeschlagen worden, einen Dünnschicht-Transistor, welcher eine Siliziumdünnschicht auf einem vorherbestimmten Substrat aufweist, entsprechend der jüngsten Entwicklung von großen elektronischen Einrichtungen zu verwenden, wie beispielsweise bei einem eindimensionalen Photosensor, welcher zum Lesen und Abtasten von Bildern hinsichtlich seiner Größe verlängert ist, einer Abtasteinrichtung eines Bildscanners, welcher einen zweidimensionalen Photosensor mit einer großen Abtastfläche aufweist, und einer Treibereinrichtung eines Bilddisplays, bei welchem ein Flüssigkristall-, ein Elektrochrom- oder ein Elektrolumineszenz-Material verwendet wird. Die Siliziumdünnschicht eines derartigen Transistors wird üblicherweise aus amorphem oder polykristallinem Silizium hergestellt, da aus diesen Materialien verhältnismäßig leicht ein großes Substrat gebildet werden kann.
Es wird daher gefordert, die vorerwähnte Einrichtung zu schaffen, welche hochschnell und zuverlässig arbeitet. Folglich muß die funktionelle Qualität des Dünnschichttransistors, welcher eine Treiberschaltung der Einrichtung darstellt, verbessert werden. Jedoch liegt die Beweglichkeit des Dünnschichttransistors aus amorphem Silizium bei etwa 0,1 bis 1,0 cm²/V · s. Ebenso liegt die Beweglichkeit des Dünnschichttransistors aus polykristallinem Silizium bei etwa 1,0 bis 10 cm²/V · s. Eine derartige Beweglichkeit des Transistors aus amorphem oder polykristallinem Silizium ist weit von derjenigen des Transistors aus einkristallinem Silizium entfernt, dessen Beweglichkeit mehr 600 cm²/V · s ist. Folglich muß ein Verfahren entwickelt werden, um eine einkristalline Siliziumdünnschicht auf einem großen Substrat auszubilden.
Im gattungsgemäßen Stand der Technik ist eine Dünnschichtenanordnung mit mindestens einer Halbleiterschicht, aus einem Substrat, einer einkristallinen Siliziumschicht und einer Zwischenschicht, die zwischen dem Substrat und der einkristallinen Siliziumschicht angeordnet ist, bekannt. Dabei ist das Substrat, ein Quarzsubstrat, mit einer SiO₂-Beschichtung versehen, auf dem eine rekristallisierte ehemals polykristalline Siliziumschicht angeordnet ist. Hierdurch soll die Bildung von thermisch bedingten Spannungen in der Schichtenanordnung vermindert werden und die Beweglichkeit der Elektronen verbessert werden, was mit einer verbesserten Schaltgeschwindigkeit der aus der bekannten Anordnung bestehenden Bauelemente verbunden ist. Zwar bedeutet diese bekannte Schichtenanordnung einen gewissen Fortschritt, jedoch reichen die erzielten Vorteile bei weitem nicht aus, um den Anforderungen der aktuellen Bürotechnik zu genügen. Die Schnelligkeit der entsprechend hergestellten Bauelemente und deren Lebensdauer genügt den technologischen Standards nicht.
Auch der Aufsatz von Lyon et al.: "Microstrain in laser-crystallized silicon islands on fused silica" in: Appl. Phys. Lett., 1982, Nr. 40(4), S. 316-318, beschäftigt sich mit thermisch bedingten Spannungen in Halbleiterstrukturen. Dabei sind die Halbleiterstrukturen bereits mittels eines Laserstrahles rekristallisiert worden. Durch die bekannte Laser- Rekristallisation bilden sich einzelne einkristalline Inseln innerhalb der rekristallisierten Siliziumschicht. Dadurch ergibt sich der Zustand, daß Verspannungen nicht mehr über den gesamten rekristallisierten Kristall auftreten, sondern ausschließlich in den Bereichen zwischen einzelnen in der betreffenden Siliziumschicht ausgebildeten Kristallinseln. Verspannungen treten hier in erheblichem Maße lokal insbesondere zwischen den einzelnen einkristallinen Inseln auf. Auch der Grund für eine herabgesetzte Beweglichkeit der Leitungselektronen ist in den einzelnen Grenzschichten zwischen benachbarten einkristallinen Inseln zu sehen. Die bekannte Verfahrensweise sorgt demnach lediglich dafür, daß die Spannungen nicht mehr integral über die gesamte Schicht anliegen, sondern gewisse Spannungslinien entlang der Grenzschichten zwischen den vorhandenen einkristallinen Zonen verlaufen. In Richtung Haltbarkeit der entsprechend hergestellten Bauelemente und der Beweglichkeit der Elektronen bzw. der Schnelligkeit der entsprechend hergestellten Bauelemente sind die gemäß der bekannten Verfahrensweise zu erzielenden Ergebnisse ebenfalls nur von begrenztem Wert.
Auch der Aufsatz von Hawkins et al. mit dem Titel "Growth of single- crystal silicon islands on bulk fused silica by CO₂ laser annealing" in App. Phys. Lett., 1982, Nr. 40(4), S. 319-321, beschäftigt sich mit einer entsprechenden Thematik. Die daraus bekannte Schichtenanordnung sowie die daraus bekannte Verfahrensweise stimmen im Prinzip mit dem aus dem Aufsatz von Lyon et al. bekannten überein. Entsprechende Nachteile bestehen auch hier.
Die vorliegende Erfindung stellt sich die Aufgabe, ausgehend von dem gattungsgemäßen Stand der Technik eine Dünnschichtenanordnung mit mindestens einer Halbleiterschicht vorzuschlagen, die die vorstehend aufgeführten Nachteile nicht aufweist; insbesondere soll die Aufgabe gelöst werden, eine Schichtenanordnung und ein Verfahren zu deren Herstellung vorzuschlagen, die geeignet ist, zur Konzeption von schnellen und zuverlässig arbeitenden Halbleiterbauelementen verarbeitet zu werden, die in der Regel bei der Herstellung von Büromaschinen Anwendung finden.
Erfindungsgemäß wird eine entsprechende Dünnschichtenanordnung mit den Merkmalen des Patentanspruchs 1 bzw. 7 zur Lösung der genannten Aufgabe vorgeschlagen. Das Verfahren zur Herstellung dieser Dünnschichtanordnung besteht erfindungsgemäß aus den in Anspruch 8 aufgeführten Merkmalen.
Vorteilhafte Ausgestaltungen der erfindungsgemäßen Schichtenanordnung gehen aus den Unteransprüchen hervor.
Die vorerwähnten Schwierigkeiten sollen demnach beseitigt werden und ein Dünnschicht-Halbleiter mit einer einkristallinen Silizium-Dünnschicht geschaffen werden, wobei der Halbleiter auf einem groß bemessenen Substrat ausgebildet werden kann, ohne Kristalldefekte oder -fehler, wie Haar- oder Mikrorisse, zu erzeugen.
Es wäre von Vorteil, eine Schichtenanordnung zu schaffen, bei der verschiedene Arten von Materialien als Substrat verwendet werden können, ohne auf Quarz in dem Prozeß bei dem vorerwähnten ZMR-Verfahren beschränkt zu sein, welches zum Erzeugen eines einkristallinen Siliziums für eine elektronische Dünnschicht-Einrichtung angewendet wird. Ferner sollte eine neue Technik geschaffen werden, um ein elektronisches Isoliermaterial für das Substrat verwenden zu können, wobei das Material einen Schmelzpunkt unter demjenigen von Silizium aufweist. Darüber hinaus soll gemäß der Erfindung ein Verfahren zum Herstellen einer Halbleiter- Stapel- bzw. Schichtstruktur geschaffen werden.
Gemäß der Erfindung wird eine Dünnschichtenanordnung mit mindestens einer Halbleiterschicht vorgeschlagen, die ein Substrat, eine einkristalline Siliziumschicht und eine Zwischenschicht aufweist, welche zwischen dem Substrat und der Siliziumschicht angeordnet ist und einen Wärmeausdehnungskoeffizienten hat, welcher zwischen demjenigen des Substrats und der Siliziumschicht liegt. Durch die Zwischenschicht wird eine Beanspruchung in ihrer Wirkung gemildert, welche in der Siliziumschicht während des Hochtemperaturprozesses durch das ZMR-Verfahren erzeugt wird und es wird verhindert, daß Verunreinigungen von dem Substrat in die Siliziumschicht diffundieren.
Gemäß der Erfindung kann auch eine Dünnschichtenanordnung, im folgenden auch Dünnschicht-Halbleiter genannt, geschaffen werden, welcher ein Substrat, das einen Erweichungs- oder einen Schmelzpunkt aufweist, welcher niedriger als der Schmelzpunkt von Silizium (1412°C) ist, eine thermische Dämpfungspufferschicht, die einen Erweichungs- oder Schmelzpunkt hat, welcher höher als der Schmelzpunkt von Silizium ist, und eine einkristalline Silizium-Dünnfilmschicht aufweist.
Gemäß der Erfindung kann auch ein Verfahren zum Erzeugen einer Dünnschichtenanordnung geschaffen werden, bei welcher in einem Schritt (a) eine thermische Pufferschicht in Form einer Dünnschicht, welche aus einem Material mit einem Schmelz- oder einem Erweichungspunkt hergestellt worden ist, welcher höher als der Schmelzpunkt von Silizium ist, auf einem Substrat aus einem Material mit einem Schmelz- oder einem Erweichungspunkt erzeugt wird, welcher niedriger als der Schmelzpunkt von Silizium ist, bei einem Schritt (b) eine Siliziumschicht aus einer polykristallinen Silizium- Dünnschicht oder einer amorphen Silizium-Dünnschicht auf der thermischen Pufferschicht ausgebildet wird, bei einem Schritt (c) eine Oberflächenschutzschicht aus einem Material mit einem Schmelz- oder einem Erweichungspunkt, welcher höher als der Schmelzpunkt von Silizium ist, auf der Siliziumschicht ausgebildet wird, bei einem Schritt (d) mit einem Laserstrahl die Dünnschichtenanordnung bestrahlt wird, welche sich aus dem Substrat, der thermischen Pufferschicht, der Siliziumschicht und der Oberflächenschutzschicht von der Seite der Oberflächenschutzschicht her gesehen zusammensetzt, um das Siliziumschichtmaterial in eine einkristalline Silizium- Dünnschicht durch Schmelzen und Rekristallisieren der Siliziumschicht umzuwandeln, welche aus einer polykristallinen oder einer amorphen Silizium-Dünnschicht hergestellt ist, und bei einem Schritt (e) die Oberflächenschutzschicht von der Dünnschichtenanordnung entfernt wird.
Das Substrat wird aus einem Material hergestellt, welches entsprechend groß ausgebildet werden kann und einen Schmelzpunkt hat, welcher tiefer als 1412°C ist. Beispiele für ein derartiges Material sind Glas, Borsilikatglas, Bleiglas und Alumino- Silikatglas sowie Keramik. Es kann auch ein Material gewählt werden, welches einen Wärmeausdehnungskoeffizienten hat, welcher nahe bei demjenigen von einkristallinem Silizium liegt. Es kann auch ein transparentes Material ausgewählt werden, wenn der Halbleiter als ein Photosensor zu verwenden ist. Die Dicke des Substrats liegt gewöhnlich bei etwa 0,3 mm bis 5 mm, vorzugsweise bei etwa 0,5 mm bis 1,5 mm.
Die thermische Pufferschicht ist aus einem Material hergestellt, welches einen Schmelz- oder einen Erweichungspunkt hat, der höher als 1412°C ist. Vorteilhafte Beispiele des thermischen Pufferschichtmaterials als elektrisches Isoliermaterial sind SiO₂, Al₂O₃, TiO₂, ZrO₂, Si₃N₄, BN und eine Kombination dieser Materialien. Vorteilhafte Beispiele für das thermische Puffermaterial als ein elektrisch leitendes Material sind Kohlenstoffverbindungen, wie TiC und SiC. Üblicherweise ist das thermische Puffermaterial aus einem elektrischen Isoliermaterial oder aus einer Kombination aus elektrisch leitendem Material und einem darauf aufgebrachten Isoliermaterial hergestellt.
Vorzugsweise wird ein Material für die thermische Pufferschicht gewählt, welches einen Wärmeausdehnungskoeffizienten hat, welcher nahe bei demjenigen von einkristallinem Silizium liegt. Vorzugsweise wird auch ein Material gewählt, welches eine hohe Affinität bezüglich der Siliziumschicht hat. Von diesem Gesichtspunkt her sollte ein Material verwendet werden, welches ein Element wie Si oder O einschließt.
Die rekristallisierte, einkristalline Siliziumschicht besteht zuerst aus einer polykristallinen oder einer amorphen Schicht. Diese Anfangsschicht kann auf der thermischen Pufferschicht entweder durch einen Plasma-CVD-Prozeß, einen thermischen CVD-Prozeß, einen Photo-CVD-Prozeß, einen LP- CVD-Prozeß, einen MOCVD-Prozeß, einen Sputter-Prozeß und durch einen Aufdampfprozeß im Vakuum erzeugt werden. Die Dicke dieser Anfangsschicht beträgt etwa 0,1 µm bis 5 µm, vorzugsweise etwa 0,1 µm bis 1,5 µm.
Um die rekristallisierte, einkristalline Siliziumschicht zu erhalten, muß die anfängliche Schicht aus polykristallinem oder amorphem Silizium vollständig geschmolzen werden. Die geschmolzene Schicht muß dann zwischen der thermischen Pufferschicht und der Oberflächenschutzschicht gehalten werden.
Die Oberflächenschutzschicht ist aus einem Isoliermaterial hergestellt. Die Oberflächenschutzschicht kann eine Einschichtstruktur aus SiO₂ oder Si₃N₄ oder eine Zweischicht- Struktur aus SiO₂ und Si₃N₄ sein. Die Schutzschicht wird auf der polykristallinen oder amorphen Schicht ebenfalls durch den Plasma-CVD-Prozeß, den thermischen CVD-Prozeß, den Photo- CVD-Prozeß, den LP-CVD-Prozeß, den MOCVD-Prozeß, den Sputterprozeß oder durch das Aufdampfverfahren im Vakuum ausgebildet. Die Dicke der Oberflächenschutzschicht beträgt etwa 0,5 µm bis 5 µm, vorzugsweise 1,0 µm bis 2,0 µm. Diese Oberflächenschutzschicht wird üblicherweise durch Ätzen entfernt, nachdem das einkristalline Silizium kristallisiert ist.
Die polykristalline oder amorphe Siliziumschicht wird durch das ZMR-Verfahren, bei welchem ein Laserstrahl als Heizmittel verwendet wird, in ein einkristallines Silizium umgewandelt. Ein Laserstrahl muß als Heizmittel verwendet werden, wenn die vorerwähnten Materialien in dem Rekristallisationsprozeß verwendet sind.
Die Vorteile der vorerwähnten Dünnschicht-Halbleiterstruktur gemäß der Erfindung liegen darin, daß eine groß bemessene Dünnschicht erhalten werden kann, ohne daß Mikro- oder Haarrisse erzeugt werden, durch welche die Dünnschichtqualität beeinflußt wird, und daß verhindert ist, daß Verunreinigungen von dem Substrat in die Siliziumschicht diffundieren, wodurch die speziellen Eigenschaften des Dünnschicht-Halbleiters verbessert werden. Ein weiterer Vorteil der Erfindung besteht darin, daß verschiedene Materialien als elektrisches Substrat verwendbar sind. Dieser Vorteil liegt nicht einfach darin, daß Materialien außer Quarz als das Substrat verwendet werden können. Dieser Vorteil, daß der Bereich, aus welchem das Substratmaterial ausgewählt werden kann, größer geworden ist, wird nachstehend noch näher beschrieben.
Die Dicke des gesamten Dünnschicht-Halbleiters entspricht beinahe der Substratdicke, so daß der Halbleiter durch eine Ausdehnung und Kontraktion des Substrats infolge von Wärme, welche von dem Halbleiter erzeugt worden ist, stark beeinflußt wird. Der Wärmeausdehnungskoeffizient von einkristallinem Silizium beträgt etwa 5 × 10-7/°C, während derjenige von Quarz etwa 5 × 10-7/°C ist. Wegen einer derart großen Differenz der thermischen Ausdehnungskoeffizienten verbleibt eine Spannung in der einkristallinen Silizium-Dünnschicht, so daß Haar- oder Mikrorisse erzeugt werden können, um die Beanspruchung in der Dünnschicht zu entspannen, und/oder um die Schichten aus den zwei Materialien zu trennen. Jedoch können gemäß der Erfindung außer Quarz verschiedene Materialien als Substrat verwendet werden, so daß ein Material mit einem Wärmekoeffizienten gewählt werden kann, der nahe bei demjenigen der Siliziumschicht liegt, um so die Entstehung von Mikrorissen in der rekristallisierten Silizium- Dünnschicht zu vermeiden.
Gemäß der Erfindung kann auch eine einkristalline Silizium- Dünnschicht auf einem großen Substrat ausgebildet werden, indem ein Material wie Glas verwendet wird, welches großflächig erzeugt werden kann. Auch können durch Steuern der Rekristallisationsbedingung eine Anzahl einkristalliner Siliziumflächen verschiedener Kristallrichtungen auf demselben Substrat erhalten werden. Daher kann beispielsweise ein Bildleseelement und ein Anzeigeelement oder TFT auf dem gemeinsamen Substrat ausgebildet werden, so daß ein qualitativ hochwertiger und klein bemessener Sensor und eine Anzeigeeinrichtung, ein Bildscanner oder eine Anzeigeeinrichtung mit Hilfe einer TFT-Ansteuer- oder Treiberschaltung realisiert werden können.
Wie vorstehend erwähnt, kann mit dem Dünnschicht-Halbleiter gemäß der Erfindung eine groß bemessene Bildabtast- und Ansteuer- bzw. Treibereinrichtung einer Büroautomations- Einrichtung oder eine Anzeige- und Ansteuereinrichtung auf einem Substrat realisiert werden, was sehr nützlich ist und den Erfordernissen auf dem Gebiet der Informations-Verarbeitungsrechner genügt. Gemäß der Erfindung wird ein Laserstrahl als Heizeinrichtung verwendet, so daß es möglich wird, einen Teil der Mehrschichtenanordnung, welcher zu schmelzen und zu rekristallisieren ist, selektiv zu bestrahlen. Ein weiterer Vorteil der Erfindung liegt darin, daß das Substrat aus einem Material mit einem Schmelzpunkt hergestellt werden kann, welcher niedriger als derjenige von Silizium (1412°C) ist, indem eine thermische Pufferschicht zwischen dem Substrat und der Silizium-Dünnschicht angeordnet wird, so daß verhindert werden kann, daß durch einen Wärmestoß oder durch Wärmeübertragung die Silizium-Dünnschicht von dem Substrat her beeinflußt wird. Die thermische Pufferschicht ist aus einem Material mit einem Schmelzpunkt hergestellt, welcher höher als derjenige von Silizium (1412°C) ist.
Nachfolgend wird die Erfindung anhand von bevorzugten Ausführungsformen unter Bezugnahme auf die Zeichnungen im einzelnen erläutert. Es zeigt
Fig. 1 ein sogenanntes Schliffbild eines Dünnschicht- Halbleiters gemäß der Erfindung,
Fig. 2 im Schnitt eine schematische Darstellung einer Einrichtung, welche in einem ZMR-Prozeß verwendet wird, um rekristallisiertes Silizium herzustellen,
Fig. 3 eine Darstellung, anhand welcher eine Temperaturverteilung in einer Abtastrichtung eines in der Einrichtung der Fig. 2 angeordneten Substrats erläutert wird,
Fig. 4 ein Schliffbild einer ersten Ausführungsform der Erfindung,
Fig. 5 ein Schliffbild einer zweiten Ausführungsform der Erfindung,
Fig. 6 ein Schliffbild einer dritten Ausführungsform der Erfindung,
Fig. 7 ein Schliffbild einer vierten Ausführungsform der Erfindung,
Fig. 8a bis 8c Ansichten, anhand welcher ein Prozeß zur Ausbildung einer Zwischenschicht gemäß der vierten Ausführungsform der Erfindung erläutert wird, wobei jeweils nacheinander ein anderer Schritt des Herstellungsprozesses dargestellt ist,
Fig. 9 eine Kurvendarstellung einer Beziehung zwischen der Entstehung von Haarrissen und einem Inselbereich einer Siliziumschicht gemäß dem Stand der Technik,
Fig. 10 eine Darstellung, anhand welcher eine Temperaturänderung einer Dünnschichtenanordnung gemäß der Erfindung erläutert wird,
Fig. 11 ein Schliffbild eines Dünnschicht-Halbleiters gemäß der Erfindung,
Fig. 12 eine schematische Darstellung eines chemischen Niederdruck-Bedampfungsprozesses zum Erzeugen der SiO₂-Schicht und einer polykristallinen Siliziumschicht gemäß einer fünften Ausführungsform der Erfindung,
Fig. 13a eine Draufsicht auf eine polykristalline Siliziumschicht gemäß der fünften Ausführungsform der Erfindung, welche in Form von Streifen ausgebildet ist,
Fig. 13b eine Schnittansicht der Dünnschichtenanordnung einschließlich der polykristallinen Siliziumschicht der Fig. 13a und
Fig. 14 eine schematische Darstellung eines Laser-ZMR- Systems, das zum Erzeugen der fünften Ausführungsform der Erfindung verwendet ist.
Die wesentliche Struktur des Dünnschicht-Halbleiters gemäß der Erfindung wird nachstehend anhand von Fig. 1 beschrieben. Ein Substrat 201 ist aus einem dielektrischen Material, wie Quarzglas oder Keramik hergestellt. Die Dicke des Substrats 201 beträgt etwa 0,3 mm bis 5 mm, vorzugsweise 0,5 mm bis 1,5 mm.
Eine Zwischenschicht 202 weist eine einzige Schicht aus elektrisch isolierendem Material auf, dessen Zusammensetzung sich mit zunehmendem Abstand von der Substratoberfläche ändert. Alternativ kann die Zwischenschicht 202 eine Anzahl Schichten aus verschiedenem Material aufweisen, wobei zumindest die Schicht, welche mit der Siliziumschicht 203 in Kontakt kommt, aus einem Isoliermaterial hergestellt ist. Beispiele für das Isoliermaterial der Zwischenschicht sind SiO₂, Si₃N₄, SiON, ZrO₂, TiO₂. Beispiele für das Material des leitenden Anteils der Zwischenschicht sind SiC und TiC. Bekanntlich muß, wenn das elektrisch leitende Material verwendet wird, eine elektrische Isolierschicht zwischen der leitenden und der Silizium-Schicht angeordnet sein. Diese Schichten können entweder durch einen Plasma-CVD-Prozeß, einen thermischen CVD-Prozeß, einen optischen CVD-Prozeß, einen LP-CVD-Prozeß, einen MO-CVD-Prozeß, ein Sputter-Verfahren, einen ECR-Prozeß, einen Aufdampfprozeß im Vakuum oder einen Ionenimplantationsprozeß ausgebildet werden. Unter dem Gesichtspunkt, die in der Schicht verbleibende Spannung zu lösen, sollte die Zwischenschicht so dick wie möglich sein. In der Praxis beträgt die Dicke der Zwischenschicht etwa 2 µm oder mehr, vorzugsweise 5 µm bis 10 µm.
Die Zwischenschicht kann eine Anzahl einfach gestapelter, ebener Schichten aufweisen, wie nachstehend anhand der zweiten und dritten, in Fig. 5 bzw. 6 dargestellten Ausführungsformen beschrieben wird, oder die Zwischenschicht kann wellenförmige Schichten aufweisen, wie in Fig. 8c dargestellt ist, wobei diese Schichten in der Weise ausgebildet werden, daß zuerst eine zusammenhängende Schicht 803 und dann eine nicht-zusammenhängende Schicht 802 auf einem Substrat 804 ausgebildet werden, wie in Fig. 8a dargestellt ist; dann wird eine Heizeinrichtung 805 von links nach rechts bewegt, wie in Fig. 8b dargestellt ist, so daß die nicht-zusammenhängende Schicht 802 und ein oberer Teil der zusammenhängenden Schicht 803 geschmolzen werden, welche anschließend wieder fest werden, wodurch eine Zwischenschicht, wie in Fig. 8c dargestellt, geschaffen ist.
Eine bevorzugte Form der Zwischenschicht wird in der Weise angeordnet, daß nahe der Grenzfläche des dielektrischen Substrats der Wärmeausdehnungskoeffizient der Zwischenschicht derselbe ist wie derjenige des Substratmaterials oder nahe bei diesem liegt, während nahe der Grenzfläche der Silizium-Dünnschicht der Wärmeausdehnungskoeffizient der Zwischenschicht derselbe ist wie derjenige der Siliziumschicht oder nahe bei dieser liegt, und daß in dem Teil zwischen den zwei Grenzbereichen der Wärmeausdehnungskoeffizient der Zwischenschicht sich kontinuierlich von demjenigen des Substrats zu dem von Silizium ändert.
Um eine Trennung der Schichten für den Fall zu vermeiden, daß eine Spannung in den Schichten erzeugt wird, wird die Zwischenschicht vorzugsweise aus einem Material hergestellt, welches Affinitäten sowohl zu dem Substrat als auch dem einkristallinen Silizium hat, und deshalb als ein Element der Verbindung zumindest Sauerstoff oder Stickstoff enthält.
Eine polykristalline oder amorphe Siliziumschicht 203 wird auf der Zwischenschicht 202 entweder durch den Plasma- CVD-Prozeß, den optischen CVD-Prozeß, den MO-CVD-Prozeß, den LP-CVD-Prozeß, das Sputter-Verfahren oder den ECR- Prozeß ausgebildet. Die Dicke dieser polykristallinen oder amorphen Schicht liegt bei etwa 0,1 µm bis 5 µm, vorzugsweise bei 0,1 µm bis 1,5 µm.
Eine Oberflächenschutzschicht (eine Deckschicht) 204 wird aus einem für diesen Zweck üblichen dielektrischen Material hergestellt. Vorzugsweise ist die Oberflächenschutzschicht aus SiO₂, Si₃N₄ oder aus einer Kombination aus SiO₂ und Si₃N₄ hergestellt, um eine Doppelschichtstruktur zu schaffen. Die Oberflächenschutzschicht wird auf der polykristallinen oder amorphen Siliziumschicht entweder durch den Plasma- CVD-Prozeß, den thermischen CVD-Prozeß, den optischen CVD- Prozeß, den MO-CVD-Prozeß, den LP-CVD-Prozeß, das Sputter- Verfahren, den ECR-Prozeß oder durch Aufdampfen im Vakuum ausgebildet. Die Dicke der Oberflächenschicht liegt im allgemeinen bei etwa 0,5 µm bis 5 µm, vorzugsweise bei 1,0 bis 2,0 µm.
Nachdem die Mehrschichtenanordnung der vorstehend beschriebenen Struktur gebildet ist, wird die polykristalline oder amorphe Siliziumschicht durch den ZMR-Prozeß geschmolzen und rekristallisiert, um die Schicht in eine einkristalline Siliziumschicht umzuwandeln. Eine Heizquelle der Heizeinrichtung des ZMR-Prozesses, wie beispielsweise eine Band-Heizeinrichtung, die Hochfrequenz-Heizeinrichtung oder eine Lampen- Heizeinrichtung usw. wird entlang der Schichtoberfläche bewegt, um so die polykristalline oder amorphe Siliziumschicht zu schmelzen und zu rekristallisieren, um eine einkristalline Silizium-Dünnschicht zu erzeugen.
In Fig. 2 ist schematisch ein Hochfrequenz-Heizsystem dargestellt, das in dem vorerwähnten ZMR-Prozeß verwendet wird. In Fig. 3 ist eine Temperaturverteilung über die verschiedenen Schichten in dem vorerwähnten ZMR-Prozeß dargestellt.
In Fig. 2 ist die vorerwähnte Mehrschichtenanordnung 301 beweglich auf einem Kohlenstoff-Suszeptor 304 angeordnet, welcher in einem Behälter 302 untergebracht ist, welcher von einer Hochfrequenzwicklung 303 umgeben ist. Ferner sind ein Einschub 305, eine Reaktionsgas-Zuführleitung 306 sowie Reaktionsgas 307 vorgesehen.
In Fig. 9 ist ein Mikroriß-Erzeugungsverhältnis als Funktion der Fläche der nach dem Stand der Technik hergestellten Silizium-Inseln dargestellt. Auf der Ordinate ist die mittlere Anzahl von Rissen aufgetragen, welche in 1 mm² erzeugt worden ist, während auf der Abszisse die Fläche der Inseln der Siliziumschicht aufgetragen ist. Wie aus dem Diagramm zu ersehen ist, nimmt die Rißdichte entsprechend zu, wenn die Inselfläche erweitert wird. In der vorerwähnten Struktur gemäß der Erfindung sind dagegen die Probleme aufgrund von Rissen, welche in der groß bemessenen Siliziumdünnschicht erzeugt worden sind, beseitigt.
Verschiedene Ausführungsformen der Erfindung werden nachstehend im einzelnen beschrieben.
Beispiel 1
Eine erste Ausführungsform der Erfindung wird anhand von Fig. 4 beschrieben. Ein Substrat 404 ist aus 0,5 mm dickem Quarz hergestellt. Eine Zwischenschicht 403 ist auf dem Quarzsubstrat 404 aufgebracht. Diese Zwischenschicht 403 ist aus SiOxNy hergestellt, welche so angesetzt ist, daß deren Zusammensetzung nahe bei der Grenzfläche an der Quarzoberfläche derjenigen von SiO₂ nahe kommt, während sie nahe der Grenzfläche an der Silizium-Dünnschichtoberfläche nahe bei derjenigen von Si₃N₄ liegt. Eine derartige Dünnschicht aus SiOxNy wird durch einen Plasma-CVD-Prozeß erzeugt, bei welchem sich das Reaktionsgas und die Gasströmungsgeschwindigkeit während der Schichtaufwachszeit ändern. Die Dicke der Dünnschicht aus SiOxNy beträgt etwa 5 µm.
Danach wird eine polykristalline Siliziumschicht 402 auf der Zwischenschicht 403 mit Hilfe des LP-CVD-Prozesses erzeugt. Die Dicke der polykristallinen Siliziumschicht beträgt etwa 5 µm. Hierauf wird eine Oberflächenschutzschicht (Deckschicht) 401 aus SiO₂ auf der polykristallinen Schicht 402 mit Hilfe des thermischen CVD-Prozesses aufgebracht. Die Dicke der Deckschicht 401 beträgt etwa 1,5 µm. Die Parameter für die Durchführung des Prozesses, bei dem die einzelnen Schichten übereinander angeordnet werden, sind in der nachstehenden Tabelle 1 wiedergegeben.
Tabelle 1 (Schichtbedingung)
Die nach dem vorstehend beschriebenen Verfahren erzeugte Mehrschichtenanordnung wird durch das ZMR-System mit Hilfe der in Fig. 2 dargestellten Hochfrequenz-Heizeinrichtung erhitzt, um die polykristalline Silizium-Dünnschicht in eine einkristalline Silizium-Dünnschicht umzuwandeln.
In Fig. 3 ist ein Temperaturprofil der des auf dem Kohlenstoff- Suszeptors 304 angeordneten Schichtenfolge 301 unter der Voraussetzung wiedergegeben, daß die hochfrequente elektrische Leistung 9,7 kW ist. Das Substrat wird mit einer Abtastgeschwindigkeit von 0,1 mm/s bewegt, wodurch das in Fig. 3 wiedergegebene Temperaturprofil erhalten wird, so daß die polykristalline Siliziumschicht in eine einkristalline Siliziumdünnschicht umgewandelt wird.
Die Zwischenschicht 403, die aus einer SiOxNy-Dünnschicht besteht, hat einen Wärmeausdehnungskoeffizienten βbuf = 4 × 10-7 (1/°C) nahe der Grenzfläche der Quarzglasoberfläche, deren Wärmeausdehnungskoeffizient beinahe gleich demjenigen von SiO₂ ist. Die Zusammensetzung der Zwischenschicht 403 ändert sich, so daß die SiOxNy-Dünnschicht einen Wärmeausdehnungskoeffizienten βbuf = 25 × 10-7 (1/°C) nahe der Grenzfläche der Siliziumschichtoberfläche hat, der beinahe gleich demjenigen von Si₃N₄ ist. Insbesondere die Zusammensetzung der Zwischenschicht 403 ist so angesetzt, daß nahe der Grenzfläche der Quarzglasoberfläche der Wärmeausdehnungskoeffizient βbuf ≒ βsub ist, wobei βsub der Wärmeausdehnungskoeffizient des Quarzglas-Substrats ist und ungefähr gleich 5,6 × 10-7 (1/°C) ist, während nahe der Grenzfläche der Silizium-Dünnschichtoberfläche der Wärmeausdehnungskoeffizient βbuf ≒ βsi ist, wobei βsi der Wärmeausdehnungskoeffizient von Silizium ist und annähernd gleich dem Wärmeausdehnungskoeffizienten von SiOxNy = 25 × 10-7 (1/°C) ist.
Beispiel 2
Eine zweite Ausführungsform des Dünnschicht-Halbleiters gemäß der Erfindung wird anhand von Fig. 5 beschrieben. Ein Substrat 505 ist aus 0,5 mm dickem Quarz hergestellt. Eine erste Zwischenschicht 504, welche aus SiO₂ 2,5 µm dick hergestellt ist, ist auf dem Substrat 505 durch einen Plasma- CVD-Prozeß ausgebildet. Danach wird eine zweite Zwischenschicht 503, welche 1,5 µm dick ist und aus Si₃N₄ besteht, auf der ersten Zwischenschicht 504 ebenfalls mittels des Plasma-CVD-Prozesses hergestellt.
Danach wird eine polykristalline Siliziumschicht 502 in einer Dicke von 0,45 µm auf den Zwischenschichten 504 und 503 durch den Plasma-CVD-Prozeß ausgebildet. Schließlich wird eine Deckschicht 501 auf der polykristallinen Siliziumschicht 502 in der Weise ausgebildet, daß erstens eine dünne Schicht aus SiO₂ mit einer Dicke von 1,0 µm mittels des Plasma-CVD-Prozeß aufgebracht wird und zweitens eine dünne Schicht aus Si₃N₄ mit einer Dicke von 0,5 µm durch das Sputter- Verfahren aufgebracht wird. Die Parameter, um die Schichten der zweiten Ausführungsform übereinander anzuordnen, sind in der nachstehenden Tabelle 2 wiedergegeben.
Tabelle 2 (Schichtparameter)
Die Mehrschichtenanordnung, die, wie vorstehend erwähnt, auf dem Substrat ausgebildet ist, wird in das ZMR-System der Fig. 2 eingebracht, das mit einer Hochfrequenz-Heizeinrichtung ausgestattet ist. Das Substrat wird auf dem Kohlenstoff- Suszeptor 304, welcher die Heizquelle ist, mit einer Abtastgeschwindigkeit von 0,75 mm/s bewegt, wodurch die polykristalline Silizium-Schicht 502 in eine einkristalline Dünnschicht umgewandelt wird. Mittels des vorstehend beschriebenen Prozesses wird die zweite Ausführungsform gemäß der Erfindung erzeugt.
Die erste Zwischenschicht 504 aus SiO₂, welche auf der Quarzsubstratseite angeordnet ist, hat einen Wärmeausdehnungskoeffizienten βbuf = 3 × 10-7 (1/°C), und die zweite Zwischenschicht 503 aus Si₃N₄, welche auf der Siliziumschichtseite angeordnet ist, hat einen thermischen Wärmeausdehnungskoeffizient βbuf = 29 × 10-7 (1/°C).
Beispiel 3
Eine dritte Ausführungsform des Dünnschicht-Halbleiters gemäß der Erfindung wird nachstehend anhand von Fig. 6 beschrieben. Ein Substrat 606 ist aus 1,0 mm dickem Quarz hergestellt. Eine erste Zwischenschicht 605, welche aus SiO₂ hergestellt ist und 2,0 µm dick ist, wird auf dem Substrat 606 mittels eines Plasma-CVD-Prozesses erzeugt. Danach wird eine zweite Zwischenschicht 604, welche aus SiON besteht und 2,5 µm dick ist, auf der ersten Zwischenschicht 605 ebenfalls mit Hilfe des Plasma-CVD-Prozeß erzeugt. Danach wird eine dritte Zwischenschicht 603, welche aus Si₃N₄ besteht und 2,0 µm dick ist, auf der zweiten Zwischenschicht 604 ebenfalls mit Hilfe des Plasma-CVD-Verfahrens ausgebildet.
Danach wird eine polykristalline Siliziumschicht 602 in einer Dicke von 0,5 µm auf der Zwischenschicht 603 mit Hilfe des LP-CVD-Verfahrens erzeugt. Schließlich wird eine Deckschicht (Oberflächenschutzschicht) 601 aus SiO₂ auf der polykristallinen Siliziumschicht 602 mittels des Plasma- CVD-Verfahrens ausgebildet. Die Dicke der Deckschicht aus SiO₂ beträgt etwa 1,5 µm.
Die Parameter, um die Schichten der dritten Ausführungsform übereinander anzuordnen, sind in der nachstehenden Tabelle 3 wiedergegeben.
Tabelle 3 (Schichtparameter)
Die Mehrschichtenanordnung, welche, wie vorstehend ausgeführt, auf dem Substrat ausgebildet ist, wird in das ZMR-System der Fig. 2 eingebracht, welche mit einer Hochfrequenz- Heizeinrichtung ausgestattet ist. Das Substrat wird auf dem Kohlenstoff-Suszeptor 304, welcher die Wärmequelle ist, mit einer Abtastgeschwindigkeit von 0,5 mm/s bewegt, wodurch die polykristalline Silizium-Dünnschicht 602 in eine einkristalline Dünnschicht umgewandelt wird.
Mit Hilfe des vorstehend beschriebenen Verfahrens wird die dritte Ausführungsform der Erfindung hergestellt.
Der Wärmeausdehnungskoeffizient der Zwischenschicht ist entsprechend festgesetzt, um sich von der Quarzsubstratseite zu der Siliziumschichtseite so zu ändern, daß die drei Zwischenschichten 605, 604 und 603 einen Wärmeausdehnungskoeffizienten βbuf von 3 × 10-6 (1/°C), 15 × 10-7 (1/°C) bzw. 29 × 10-7 (1/°C) haben.
Beispiel 4
Eine vierte Ausführungsform des Dünnschicht-Halbleiters gemäß der Erfindung wird anhand von Fig. 7 beschrieben. Ein Substrat 705 ist aus 0,5 mm dickem Quarz hergestellt. Eine Zwischenschicht 704 aus SiO₂ ist auf dem Substrat 705 mit Hilfe des Plasma-CVD-Verfahrens ausgebildet. Die Dicke der Zwischenschicht 704 liegt bei etwa 3,5 µm. Dann wird die Zwischenschicht 704 aus SiO₂ mittels einer Maske mit einem streifenförmigen Fenster abgedeckt. Stickstoffatome werden in die SiO₂-Zwischenschicht 704 über das streifenförmige Fenster der Maske durch ein Ionen-Implantationsverfahren implantiert, wie durch gestrichelte Linien dargestellt ist. Danach wird die Maske entfernt, und es wird eine 0,5 µm dicke, polykristalline Silizium-Dünnschicht 704 mittels eines ECR- Verfahrens auf der Zwischenschicht 704 ausgebildet.
Schließlich wird eine 1,0 µm dicke Deckschicht (Oberflächenschutzschicht) 701 auf der polykristallinen Siliziumschicht 702 ausgebildet. Die Deckschicht 701 ist eine Dünnschicht aus SiO₂, welche mittels eines ECR-Verfahrens aufgebracht wird.
Die Parameter, um die Schichten der vorerwähnten vierten Ausführungsform gemäß der Erfindung übereinander anzuordnen, sind in der nachstehenden Tabelle 4 wiedergegeben.
Tabelle 4 (Schichtparameter)
Die verschiedenen Schichten, welche, wie erwähnt, auf dem Substrat ausgebildet sind, werden in das ZMR-System eingebracht, das mit einer in Fig. 2 dargestellten Hochfrequenz-Heizeinrichtung ausgestattet ist. Das Substrat wird auf dem Kohlenstoff- Suszeptor 304, welcher die Heizquelle des ZMR-Systems ist, mit einer Abtastgeschwindigkeit von 0,5 mm/s in einer Richtung senkrecht zu den Streifen bewegt, wobei Stickstoffatome implantiert werden, wodurch das polykristalline Silizium in ein einkristallines Silizium umgewandelt wird. Mit Hilfe des vorstehend beschriebenen Verfahrens wird die vierte Ausführungsform der Erfindung erzeugt.
Im Hinblick auf den Wärmeausdehnungskoeffizienten der vorerwähnten Zwischenschicht 704 ist die Zusammensetzung der Schicht so vorgenommen, daß der Teil der Schicht, welcher aus SiO₂ besteht, einen Wärmeausdehnungskoeffizienten βbuf 4 × 10-7 (1/°C) hat, während der Teil, in welchen Stickstoffatome implantiert sind, einen Wärmeausdehnungskoeffizienten βbuf = 25 × 10-7 (1/°C) hat.
Während des Hochtemperatur-Prozesses des ZMR-Verfahrens diffundieren Stickstoffatome, welche in der Zwischenschicht implantiert sind, in die Zwischenschicht, so daß die Zusammensetzung der Schicht sich in der Weise ändert, daß der Wärmeausdehnungskoeffizient βbuf nahe der Grenzfläche der Siliziumschicht-Oberfläche annähernd gleich dem Wärmeausdehnungskoeffizienten βsi von Silizium ist, während der Koeffizient βbuf nahe der Grenzfläche der Quarzsubstrat- Oberfläche annähernd gleich dem Wärmeausdehnungskoeffizienten βbuf von Quarz ist.
Beispiel entsprechend dem Stand der Technik
Um eine herkömmliche Struktur mit der erfindungsgemäßen Struktur vergleichen zu können, wird eine herkömmliche Struktur des Dünnschicht-Halbleiters auf dem Quarzsubstrat, welches dasselbe ist wie bei der ersten Ausführungsform gemäß der Erfindung, unter der in der nachstehenden Tabelle 5 wiedergegebenen Bedingung ausgebildet. Die herkömmliche Struktur weist keine Zwischenschichten auf.
Tabelle 5 (Schichtbedingung)
Die Dünnschicht-Halbleiter gemäß der vorerwähnten ersten bis vierten Ausführungsform gemäß der Erfindung werden mit dem Dünnschicht-Halbleiter gemäß dem Stand der Technik nachstehend verglichen. Die erfindungsgemäßen Ausführungsformen und die Struktur gemäß dem Stand der Technik werden durch Vergleichen der Anzahl der Haar- oder Mikrorisse bewertet, welche in einer Flächeneinheit erzeugt worden sind (durchschnittliche Haarriß-Dichte). Das Ergebnis der Auswertung ist in der nachstehenden Tabelle 6 wiedergegeben.
Probe
durchschnittliche Haarriß-Dichte (pro mm²)
Stand der Technik
50
Beispiel 1 5
Beispiel 2 20
Beispiel 3 15
Beispiel 4 5
Wie aus der vorstehend wiedergegebenen Tabelle 6 zu ersehen ist, hat der Dünnschicht-Halbleiter gemäß der Erfindung eine geringere Anzahl an Haar- oder Mikrorissen in der Silizium- Dünnschicht als die herkömmliche Struktur. Dieser Effekt wird bei der Erfindung dadurch erhalten, daß zumindest eine Zwischenschicht zwischen der Siliziumschicht und dem Quarzglassubstrat angeordnet ist, so daß die Spannung, welche in der Silizium-Dünnschicht zurückbleibt, gelöst wird.
In Fig. 10 ist ein dreidimensionaler Graph wiedergegeben, welcher eine Temperaturverteilung in der Halbleiter-Mehrschichtenanordnung bei dem Prozeßablauf nach dem ZMR-Verfahren mit Hilfe eines Laserstrahls darstellt. Der Laserstrahl stammt beispielsweise von einem Argon-Laser mit einer Wellenlänge von 514,5 nm, welche nur von der Siliziumschicht absorbiert wird. Durch Bestrahlen der Schichten mit einem solchen Argon-Laserstrahl wird die Siliziumschicht erhitzt, so daß deren Temperatur auf ihren Schmelzpunkt ansteigt. Dadurch wird die Siliziumschicht geschmolzen und rekristallisiert, wie vorstehend ausgeführt ist.
Bei diesem Schmelz- und Rekristallisationsprozeß kann die Temperatur T₁ des Substrates unter der Siliziumschicht dadurch verhältnismäßig niedrig gehalten werden, so daß eine thermische Pufferschicht zwischen der Siliziumschicht und dem Substrat angeordnet wird. Die thermische Pufferschicht weist ein Material auf, welches einen entsprechenden optischen Absorptionskoeffizienten bezüglich der Wellenlänge des Laserstrahls und einen entsprechenden Wärmeübertragungskoeffizienten hat.
Beispiel 5
Eine fünfte Ausführungsform des Dünnschicht-Halbleiters gemäß der Erfindung wird nachstehend anhand von Fig. 11 bis 14 beschrieben. Ein Substrat 1201 (Fig. 11) weist eine Borsilikat-Glasplatte aus Corning #7740 (Handelsname) auf, welche beispielsweise 40,0 mm lang, 40,0 mm breit und 1,0 mm dick ist. Der Erweichungspunkt dieses Borsilikat-Glases liegt bei 820°C. Die Substratoberfläche, auf deren Seite die polykristalline Silizium-Dünnschicht ausgebildet ist, wird poliert, so daß die Unebenheit der Oberfläche unter 50 nm liegt. Das Glassubstrat 1201 wird nacheinander mittels der folgenden Schritte gereinigt:
  • (1) Das Glas wird mittels eines kochenden Waschmittels, das aus einer Mischlösung aus Schwefelsäure (98 Gewichts-%) und Wasserstoffperoxid (49 Gewichts-%) in einem Verhältnis von 1 : 1 besteht, 10 Minuten lang behandelt.
  • (2) Das Glas wird mittels eines kochenden Waschmittels, das aus einer Mischlösung aus Chlorwasserstoffsäure (36 Gewichts-%), Wasserstoffperoxid (49 Gewichts-%) und Wasser in einem Verhältnis von 1 : 1 : 4 besteht, 10 Minuten lang behandelt.
  • (3) Das Glas wird durch ein kochendes Waschmittel, das aus einer Mischlösung aus Salmiakgeist (40 Gewichts-%), Wasserstoffperoxid (49 Gewichts-%) und Wasser in einem Verhältnis von 1 : 1 : 4 besteht, 10 Minuten lang behandelt.
  • (4) Das Glas wird mittels eines kochenden Waschmittels, das aus einer Mischlösung aus Fluorwasserstoffsäure (20 Gewichts-%) und Wasser in einem Verhältnis von 1 : 10 besteht, 30 Sekunden lang behandelt.
Danach wird das Glas getrocknet, indem ein trocknendes Stickstoffgas darüber geblasen wird. Hierauf wird eine thermische Pufferschicht 1202 auf der gereinigten Oberfläche des Substrates 1201 ausgebildet. Die thermische Pufferschicht 1202 besteht aus einer hitzebeständigen SiO₂- Dünnschicht, welche auf dem Substrat mittels des in Fig. 12 schematisch dargestellten, chemischen Niederdruck-Bedampfungssystems (dem LP-CVD-System) aufgebracht wird.
In Fig. 12 sind eine Dünnschicht-Abscheidungskammer 336, ein Druckmesser 337, eine Vakuumpumpe 338 und eine Wicklung 339 dargestellt. Die SiO₂-Dünnschicht 1202 wird entsprechend der nachstehenden Schrittfolge ausgebildet.
  • (a) Das Borsilikat-Glassubstrat 1201 wird in die Abscheidungskammer 336 eingebracht, welche dann auf einen Druck von 3,33 Pa abgesaugt wird.
  • (b) Die Abscheidungskammer 336 wird auf einer Temperatur von 750 ± 1°C gehalten. In diesem Zustand werden Silan- Gas (SiH₄ ohne Verdünnung) mit einer Strömungsgeschwindigkeit von 1 ml/s und Stickstoffgas (N₂O ohne Verdünnung) mit einer Strömungsgeschwindigkeit von 50 ml/s in die Kammer eingebracht, so daß eine Dünnschicht aus SiO₂ auf dem Substrat 1201 erzeugt wird. Die Zeitdauer für die Abscheidung von SiO₂ beträgt 30 h. Während dieser Zeit wird die Abscheidungskammer auf einem Druck von 267±13 Pa gehalten.
  • (c) Nachdem eine vorherbestimmte Zeitspanne für die Abscheidung von SiO₂ verstrichen ist, wird die Zufuhr von Silan- und Stickstoffoxid-Gas gestoppt. Dann wird Stickstoffgas in die Kammer mit einer Strömungsgeschwindigkeit von 50 ml/s eingeleitet, so daß das Substrat mit einer Abkühlgeschwindigkeit von etwa 7°C/min in der Stickstoffgas-Atmosphäre auf eine Temperatur unter 25°C allmählich abgekühlt wird.
  • (d) Wenn die Temperatur in der Kammer unter 25°C liegt, wird das Substrat 1201 aus der Kammer herausgenommen, welche dann unter atmosphärischem Druck in einer Stickstoffgas- Atmosphäre angeordnet wird.
Die Dicke der Dünnschicht 1202 aus SiO₂, welche auf dem Substrat 1201 als eine thermische Pufferschicht abgeschieden ist, liegt im Bereich von 25 ± 1 µm. Danach wird eine polykristalline Silizium-Dünnschicht 1203 auf der thermischen Pufferschicht 1202 durch das in Fig. 12 dargestellte chemische Niederdruck-Abscheidungssystem entsprechend der folgenden Schrittfolge und den folgenden Bedingungen ausgebildet.
  • (e) Das Borsilikatglas-Substrat 1201, auf welchem die SiO₂-Dünnschicht 1202 aufgebracht ist, wird in die Dünnschicht- Abscheidungskammer gebracht. Die Kammer wird auf einen Druck unter 0,33 Pa evakuiert.
  • (f) Die Abscheidungskammer 336 wird auf einer Temperatur von 650 ± 1°C gehalten. In diesem Zustand werden Silan-Gas (SiH₄ ohne Verdünnung) mit einer Strömungsgeschwindigkeit von 5 ml/s und Stickstoffgas mit einer Strömungsgeschwindigkeit von 5 ml/s und Stickstoffgas mit einer Strömungsgeschwindigkeit von 50 ml/s in die Kammer eingeleitet, so daß eine Dünnschicht aus polykristallinem Silizium auf dem Substrat 1201 ausgebildet wird. Die Zeit für die Dünnschicht- Abscheidung beträgt 6 Minuten. Während dieser Zeit wird die Abscheidungskammer auf einem Druck von etwa 133,32±13,33 Pa gehalten.
  • (g) Nachdem eine vorherbestimmte Zeit für die Abscheidung von polykristallinem Silizium verstrichen ist, wird die Silangas-Zufuhr gestoppt. Dann wird das Substrat in der Stickstoffgas-Atmosphäre mit einer Abkühlgeschwindigkeit von etwa 7°C/min allmählich auf eine Temperatur unter 25°C abgekühlt.
  • (h) Wenn die Temperatur in der Kammer unter 25°C liegt, wird das Substrat 1201 aus der Kammer herausgenommen und in der Stickstoffgas-Atmosphäre unter atmosphärischem Druck angeordnet.
Die Dicke der polykristallinen Silizium-Dünnschicht 1203 liegt im Bereich von 300±20 nm. Mit Hilfe einer Röntgenstrahlen- Beugung wird festgestellt, daß die Dünnschicht- Oberfläche fast vollständig durch eine Kristallfläche einer (110)- Ebene gebildet ist und die Korngröße etwa 50 nm ist. Die polykristalline Silizium-Dünnschicht 1203 wird mittels einer Photo-Lithographie-Technik geätzt, um Streifen mit einer Breite von 100 µm zu haben.
Danach wird eine SiO₂-Dünnschicht 1204 auf der polykristallinen Silizium-Dünnschicht 1203 ausgebildet. Die SiO₂- Dünnschicht fungiert als eine Oberflächen-Schutzschicht zum Zeitpunkt des Schmelzens und Rekristallisierens der polykristallinen Siliziumschicht, wobei das in Fig. 12 dargestellte chemische Niederdruck-Abscheidungssystem verwendet wird. Bekanntlich wird dieselbe Einrichtung niemals gemeinsam für ein Abscheiden der Silizium-Dünnschicht und der SiO₂-Dünnschicht verwendet, um die Reinheit der Dünnschicht zu erhalten. Selbst wenn der Aufbau der Einrichtung zum Abscheiden jeder Dünnschicht dieselbe ist, wird für jede Dünnschicht eine andere separate Einrichtung desselben Aufbaus vorbereitet und verwendet. In der vorstehenden Erläuterung des Dünnschicht-Abscheidungsvorgangs wird jedoch zur Vereinfachung der Zeichnungen und der Erklärung dieselbe Einrichtung nach Fig. 12 wiederholt zum Aufbringen verschiedener Dünnschichten verwendet.
Die Oberflächenschutzschicht 1204 wird entsprechend der nachstehenden Schrittfolge und entsprechend der nachstehend wiedergegebenen Bedingungen ausgebildet.
  • (i) Das Borsilikatglas-Substrat 1201, auf welchem die Zwischenschicht 1202 und die polykristalline Siliziumschicht 1203 ausgebildet sind, wird in die Dünnschicht-Abscheidungskammer 336 eingebracht, welche dann unter einen Druck von 3,33 Pa evakuiert wird.
  • (j) Die Abscheidungskammer 336 wird auf einer Temperatur von 750° ± 1°C gehalten. In diesem Zustand werden Silangas (SiH₄ ohne Verdünnung) mit einer Strömungsgeschwindigkeit von 1 ml/s und Stickstoffoxidgas (N₂O ohne Verdünnung) mit einer Strömungsgeschwindigkeit von 50 ml/s in die Kammer eingeleitet, so daß eine Dünnschicht aus SiO₂ auf dem Substrat 1201 ausgebildet wird. Die Zeit der Abscheidung von SiO₂ beträgt 3 h. Während der Dünnschicht-Abscheidung wird die Kammer auf einem Druck von 267±13 Pa gehalten.
  • (k) Nachdem eine vorherbestimmte Zeit für das Abscheiden von SiO₂ verstrichen ist, werden die Silangas- und die Stickstoffoxidgas- Zufuhr gestoppt. Dann wird Stickstoffgas in die Kammer mit einer Strömungsgeschwindigkeit von 50 ml/s eingeleitet, so daß das Substrat in der Stickstoffgas- Atmosphäre mit einer Abkühlgeschwindigkeit von etwa 7°C/min allmählich auf eine Temperatur unter 25°C abgekühlt wird.
  • (l) Wenn die Temperatur in der Kammer unter 25°C liegt, wird das Substrat 1201 aus der Kammer herausgenommen, welche in einer Stickstoffgas-Atmosphäre unter atmosphärischem Druck angeordnet ist.
Die Dicke der Dünnschicht 1204 aus SiO₂, das auf dem Substrat 1201 als eine Oberflächenschutzschicht abgeschieden ist, liegt in einem Bereich von 2,3 ± 0,03 µm.
Der polykristalline Silizium-Dünnschicht-Halbleiter der gemäß dem vorstehend beschriebenen Verfahren hergestellt ist, wird durch ein in Fig. 14 schematisch dargestelltes Laser-ZMR- System geschmolzen und rekristallisiert, um so einen einkristallinen Silizium-Dünnschicht-Halbleiter zu erhalten.
In Fig. 14 sind eine Laserquelle 551, ein optisches Kondensorsystem 552 mit einer Zylinderlinse, ein X-Y-Tisch 553 und eine Steuereinrichtung 555 vorgesehen.
Der Laserstrahl zum Rekristallisieren der Siliziumschicht weist einen Argon-(AR-)Laser in dem vorerwähnten Verfahren der erfindungsgemäßen Ausführungsform auf. Der Laserstrahl ist so ausgebildet, daß er eine Ellipse (600 µm × 50 µm auf der Probe) beim Hindurchgehen durch zwei Zylinderlinsen bildet. Mit dem Argon-Laserstrahl wird die Probe (ein Mehrschichtenanordnung für einen Halbleiter) in der Weise bestrahlt, daß die Längsachse der Ellipse senkrecht zu den Streifen 1203′ von Fig. 13a der polykristallinen Siliziumschicht verläuft. Der Laserstrahl tastet die Probe entlang der Richtung der polykristallinen Siliziumstreifen 1203′ ab.
Wie in Fig. 13b dargestellt, ist die polykristalline Silizium- Streifenschicht 1203′ zwischen der Zwischenschicht 1202 und der Oberflächenschutzschicht 1204 angeordnet. Der Argonlaser muß eine Ausgangsleistung von 15 W haben, um das polykristalline Silizium unter der Bedingung, daß die Abtastgeschwindigkeit 0,5 mm/s ist, zu schmelzen und zu rekristallisieren. Die rekristallisierte Silizium-Dünnschicht weist einkristallines Silizium auf, welches keinen Kristallkornbereich hat, der über die ganzen Streifen hinausgeht. Ebenso ist die Richtung der Kristallfläche die (100)- Ebenenrichtung.
Entsprechend dem vorstehend beschriebenen Verfahren kann die fünfte Ausführungsform des Dünnschicht-Halbleiters gemäß der Erfindung gehalten werden. Auch amorphes Silizium kann in ähnlicher Weise wie das polykristalline Silizium bei den vorstehend beschriebenen Ausführungsformen mittels des ZMR-Verfahrens rekristallisiert werden.

Claims (8)

1. Dünnschichtenanordnung mit mindestens einer Halberleiterschicht, bestehend aus einem Substrat, einer dünnen einkristallinen Siliziumschicht und einer Zwischenschicht, die zwischen dem Substrat und der einkristallinen Silziumschicht angeordnet ist, dadurch gekennzeichnet, daß die Zwischenschicht (202) einen Wärmeausdehnungskoeffizienten hat, welcher zwischen dem des Substrats (201) und dem der einkristallinen Siliziumschicht (203) liegt.
2. Dünnschichtenanordnung nach Anspruch 1, dadurch gekennzeichnet, daß die Zwischenschicht (202) einen Wärmeausdehnungskoeffizienten hat, welche nahe einer Grenzfläche der Substrat- Oberfläche nahe bei demjenigen des Substrats (201) liegt, während der Wärmeausdehnungskoeffizient der Zwischenschicht (202) nahe einer Grenzfläche der Siliziumschicht-Oberfläche nahe bei demjenigen von Silizium liegt.
3. Dünnschichtenanordnung nach Anspruch 2, dadurch gekennzeichnet, daß das Substrat (404) aus Quarz hergestellt ist und daß die Zwischenschicht (403) aus einer einzigen Schicht besteht und eine Zusammensetzung aufweist, welche nahe der Grenzfläche der Substrat- Oberfläche nahe bei derjenigen von SiO₂ liegt, während die Zusammensetzung nahe der Grenzfläche der Siliziumschicht-Oberfläche nahe derjenigen von Si₃N₄ ist.
4. Dünnschichtenanordnung nach Anspruch 2, dadurch gekennzeichnet, daß das Substrat (505) aus Quarz hergestellt ist und daß die Zwischenschicht eine zweilagige Struktur aufweist, welche aus einer auf dem Substrat (201; 404) ausgebildeten SiO₂- Schicht (504) und einer auf der SiO₂-Schicht (504) ausgebildeten Si₃N₄-Schicht (503) besteht.
5. Dünnschichtenanordnung nach Anspruch 2, dadurch gekennzeichnet, daß das Substrat (606) aus Quarz hergestellt ist und daß die Zwischenschicht eine dreilagige Struktur aufweist, welche aus einer auf dem Substrat (606) ausgebildeten SiO₂-Schicht (605), einer auf der SiO₂-Schicht ausgebildeten SiON-Schicht (604) und einer auf der SiON-Schicht (604) ausgebildeten Si₃N₄-Schicht (603) besteht.
6. Dünnschichtenanordnung nach Anspruch 2, dadurch gekennzeichnet, daß das Substrat (705) aus Quarz hergestellt ist und die Zwischenschicht eine SiO₂-Schicht (704) aufweist, in welche Stickstoffatome in einem Teil der Grenzfläche zur Siliziumschicht- Oberfläche implantiert sind.
7. Dünnschichtenanordnung mit mindestens einer Halbleiterschicht, bestehend aus einem Substrat, einer dünnen, einkristallinen Siliziumschicht und einer Zwischenschicht, welche zwischen dem Substrat und der Siliziumschicht angeordnet ist, gekennzeichnet dadurch, daß der Erweichungspunkt und der Schmelzpunkt des Substrats (201) niedriger als der Schmelzpunkt von Silizium (1412°C) ist, und daß die Zwischenschicht (202) als thermische Pufferschicht einen Erweichungspunkt bzw. Schmelzpunkt hat, welcher höher ist als der Schmelzpunkt von Silizium.
8. Verfahren zum Herstellen einer Dünnschichtenanordnung nach Anspruch 7, dadurch gekennzeichnet, daß
  • a) die thermische Pufferschicht in Form einer Dünnschicht auf dem Substrat (201; 404; 505; 606; 705) erzeugt wird;
  • b) die Siliziumschicht (203; 402; 502; 602; 702), die aus einer polykristallinen Silizium-Dünnschicht oder einer amorphen Silizium- Dünnschicht hergestellt ist, auf der thermischen Pufferschicht ausgebildet wird;
  • c) eine Oberflächenschutzschicht (204; 401; 501; 601; 701), welche aus einem Material mit einem Schmelzpunkt oder einem Erweichungspunkt hergestellt ist, der höher als der Schmelzpunkt von Silizium ist, auf der polykristallinen oder amorphen Silizium-Dünnschicht ausgebildet wird;
  • d) die Dünnschichtenanordnung, welche sich, von der Seite der Oberflächen- Schutzschicht her gesehen, aus dem Substrat, der thermischen Pufferschicht, der polykristallinen oder amorphen Siliziumschicht und der Oberflächen-Schutzschicht zusammensetzt, mit einem Laserstrahl bestrahlt wird, um so das polykristalline oder amorphe Silizium durch Schmelzen und Rekristallisieren der polykristallinen oder amorphen Silizium-Dünnschicht in ein einkristallines Silizium umzuwandeln, und
  • e) die Oberflächenschutzschicht von der Dünnschichtanordnung entfernt wird.
DE3939473A 1988-11-30 1989-11-29 Duennschicht-halbleiter und verfahren zu dessen herstellung Granted DE3939473A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP30476688A JPH02150017A (ja) 1988-11-30 1988-11-30 薄膜半導体
JP30476588 1988-11-30

Publications (2)

Publication Number Publication Date
DE3939473A1 DE3939473A1 (de) 1990-05-31
DE3939473C2 true DE3939473C2 (de) 1992-09-24

Family

ID=26564040

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3939473A Granted DE3939473A1 (de) 1988-11-30 1989-11-29 Duennschicht-halbleiter und verfahren zu dessen herstellung

Country Status (2)

Country Link
US (1) US5108843A (de)
DE (1) DE3939473A1 (de)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753542A (en) * 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US5962869A (en) * 1988-09-28 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor material and method for forming the same and thin film transistor
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
EP0459763B1 (de) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Dünnfilmtransistoren
US5254208A (en) * 1990-07-24 1993-10-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US6008078A (en) 1990-07-24 1999-12-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
JPH0824193B2 (ja) * 1990-10-16 1996-03-06 工業技術院長 平板型光弁駆動用半導体装置の製造方法
TW237562B (de) * 1990-11-09 1995-01-01 Semiconductor Energy Res Co Ltd
US6593978B2 (en) * 1990-12-31 2003-07-15 Kopin Corporation Method for manufacturing active matrix liquid crystal displays
JP3056813B2 (ja) 1991-03-25 2000-06-26 株式会社半導体エネルギー研究所 薄膜トランジスタ及びその製造方法
GB2276977B (en) * 1992-12-08 1996-09-18 Hughes Aircraft Co Thermal matched ic chip assembly and fabrication method
US6884698B1 (en) * 1994-02-23 2005-04-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with crystallization of amorphous silicon
JP3378078B2 (ja) * 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6867432B1 (en) * 1994-06-09 2005-03-15 Semiconductor Energy Lab Semiconductor device having SiOxNy gate insulating film
US5707744A (en) * 1995-12-26 1998-01-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
US5904573A (en) * 1996-03-22 1999-05-18 Taiwan Semiconductor Manufacturing Company,Ltd. PE-TEOS process
US5888630A (en) * 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Apparatus and method for unit area composition control to minimize warp in an integrated circuit chip package assembly
US6858898B1 (en) 1999-03-23 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6461899B1 (en) 1999-04-30 2002-10-08 Semiconductor Energy Laboratory, Co., Ltd. Oxynitride laminate “blocking layer” for thin film semiconductor devices
JP4774157B2 (ja) 2000-04-13 2011-09-14 株式会社リコー マルチビーム光源装置及び光走査装置
US7006271B2 (en) 2000-04-13 2006-02-28 Ricoh Company, Ltd. Optical scanning device and image forming apparatus
JP3898438B2 (ja) 2000-11-22 2007-03-28 株式会社リコー レンズのあおり装置
JP2002202472A (ja) 2000-12-28 2002-07-19 Ricoh Co Ltd 光走査装置及び画像形成装置
JP3453737B2 (ja) * 2001-01-18 2003-10-06 株式会社リコー 走査結像光学系・光走査装置および画像形成装置
US6947195B2 (en) * 2001-01-18 2005-09-20 Ricoh Company, Ltd. Optical modulator, optical modulator manufacturing method, light information processing apparatus including optical modulator, image formation apparatus including optical modulator, and image projection and display apparatus including optical modulator
SG160191A1 (en) 2001-02-28 2010-04-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US7423787B2 (en) 2001-03-01 2008-09-09 Ricoh Company, Ltd. Optical scanning module, device, and method, and imaging apparatus
US6657765B2 (en) 2001-03-01 2003-12-02 Ricoh Company, Ltd. Optical deflecting unit, optical scanning unit, image forming apparatus, and method of producing optical unit
US7001457B2 (en) * 2001-05-01 2006-02-21 Ricoh Company, Ltd. Crystal growth method, crystal growth apparatus, group-III nitride crystal and group-III nitride semiconductor device
US7758926B2 (en) * 2001-05-30 2010-07-20 Lg Display Co., Ltd. Amorphous silicon deposition for sequential lateral solidification
KR100885904B1 (ko) * 2001-08-10 2009-02-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레이저 어닐링장치 및 반도체장치의 제작방법
US6822775B2 (en) * 2001-08-23 2004-11-23 Ricoh Company, Ltd. Method and apparatus for polygon mirror scanning capable of performing a stable high speed polygon mirror rotation
JP4653374B2 (ja) * 2001-08-23 2011-03-16 セイコーエプソン株式会社 電気光学装置の製造方法
US6900915B2 (en) * 2001-11-14 2005-05-31 Ricoh Company, Ltd. Light deflecting method and apparatus efficiently using a floating mirror
US20030108749A1 (en) * 2001-12-06 2003-06-12 Sunder Ram Plastic substrates with polysiloxane coating for TFT fabrication
US6861104B2 (en) * 2002-05-22 2005-03-01 United Microelectronics Corp. Method of enhancing adhesion strength of BSG film to silicon nitride film
JP4054662B2 (ja) * 2002-07-17 2008-02-27 株式会社リコー 光走査装置
FR2844920B1 (fr) * 2002-09-24 2005-08-26 Corning Inc Transistor a couche mince de silicium et son procede de fabrication
EP1403918A1 (de) * 2002-09-25 2004-03-31 Corning Incorporated Halbleitervorrichtung und Herstellungsverfahren
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP4400855B2 (ja) * 2003-04-15 2010-01-20 株式会社リコー 光偏向装置、光偏向装置の製造方法、光偏向アレー、画像形成装置および画像投影表示装置
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
JP4363916B2 (ja) * 2003-06-27 2009-11-11 株式会社リコー 光偏向装置の駆動方法、光偏向装置、光偏向アレー、画像形成装置および画像投影表示装置
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6869866B1 (en) 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7238565B2 (en) 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7863197B2 (en) * 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
TWI467706B (zh) * 2009-04-09 2015-01-01 Ind Tech Res Inst 陶瓷基板及其製造方法
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
JP5673236B2 (ja) * 2010-03-17 2015-02-18 株式会社リコー 薄膜太陽電池及びその製造方法
WO2014124206A1 (en) * 2013-02-08 2014-08-14 Corning Incorporated Articles with anti-reflective high-hardness coatings and related methods
JP2015029031A (ja) 2013-07-02 2015-02-12 株式会社リコー 配線基板、及び配線基板の製造方法
TWI646670B (zh) * 2017-04-07 2019-01-01 國立交通大學 二維材料的製作方法
CN113684468A (zh) * 2021-07-14 2021-11-23 深圳市拉普拉斯能源技术有限公司 一种石英件保护层及其制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5143879A (de) * 1974-09-30 1976-04-14 Tokyo Shibaura Electric Co
US4180618A (en) * 1977-07-27 1979-12-25 Corning Glass Works Thin silicon film electronic device
JPS61256663A (ja) * 1985-05-09 1986-11-14 Agency Of Ind Science & Technol 半導体装置
US4795679A (en) * 1985-05-22 1989-01-03 North American Philips Corporation Monocrystalline silicon layers on substrates
US4732801A (en) * 1986-04-30 1988-03-22 International Business Machines Corporation Graded oxide/nitride via structure and method of fabrication therefor
US4847157A (en) * 1986-08-28 1989-07-11 Libbey-Owens-Ford Co. Glass coating method and resulting article

Also Published As

Publication number Publication date
DE3939473A1 (de) 1990-05-31
US5108843A (en) 1992-04-28

Similar Documents

Publication Publication Date Title
DE3939473C2 (de)
DE69133416T2 (de) Verfahren zum Kristallisieren eines Nicht-Einkristall Halbleiters mittels Heizen
DE1933690C3 (de) Verfahren zum Herstellen eines mindestens bereichsweise einkristallinen Films auf einem Substrat
DE69133440T2 (de) Halbleitervorrichtung zur Verwendung in einem Lichtventil und deren Herstellungsmethode
DE2819698C2 (de) Verfahren zum Herstellen eines Festkörpermikrobauelementes und Vorrichtung zur Anwendung bei diesem Verfahren
DE4019219C2 (de)
DE10017137A1 (de) Silizium-Aufbau und Verfahren zu dessen Herstellung
DE69826053T2 (de) Halbleitersubstrat und Verfahren zu dessen Herstellung
EP0475378A1 (de) Verfahren zur Herstellung von Substraten für elektronische, elektrooptische und optische Bauelemente
DE4109165A1 (de) Verfahren zur selektiven erwaermung eines films auf einem substrat
DE10228518B4 (de) Verfahren zum Kristallisieren von Polysilicium, Verfahren zum Herstellen eines Dünnschichttransistors unter Verwendung desselben sowie Verfahren zum Herstellen eines zugehörigen Flüssigkristalldisplays
DE3442790A1 (de) Verfahren zur herstellung von duennschichtkondensatoren
DE3231671C2 (de)
EP0372645A2 (de) Verfahren zur Herstellung eines Maskenträgers aus SiC für Strahlungslithographie-Masken
DE3540452C2 (de) Verfahren zur Herstellung eines Dünnschichttransistors
DE4445568A1 (de) Verfahren zur Herstellung eines Dünnfilmtransistors
DE69333592T2 (de) Methode zur Herstellung eines Dünnschicht-Transistors aus Polysilizium
DE4140555C2 (de)
EP0334110B1 (de) Verfahren zum Herstellen von polykristallinen Schichten mit grobkristallinem Aufbau für Dünnschichthalbleiterbauelemente wie Solarzellen
EP1116281B1 (de) Verfahren zur strukturierung von transparenten elektrodenschichten
DE1444430A1 (de) Verfahren zur Herstellung von Halbleiteranordnungen
DE3818504A1 (de) Verfahren und vorrichtung fuer die kristallisation duenner halbleiterschichten auf einem substratmaterial
DE4203603A1 (de) Verfahren zur herstellung eines halbleiterfilms
DE3824127C2 (de) Vorrichtung zur Wärmebehandlung der Oberfläche eines Substrates, insbesondere zum Kristallisieren von polykristallinem oder amorphem Substratmaterial
DE2738614A1 (de) Verfahren zum herstellen von halbleitersubstraten fuer integrierte halbleiterschaltkreise

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee