DE3479769D1 - Plasma reactor apparatus and method - Google Patents

Plasma reactor apparatus and method

Info

Publication number
DE3479769D1
DE3479769D1 DE8484106890T DE3479769T DE3479769D1 DE 3479769 D1 DE3479769 D1 DE 3479769D1 DE 8484106890 T DE8484106890 T DE 8484106890T DE 3479769 T DE3479769 T DE 3479769T DE 3479769 D1 DE3479769 D1 DE 3479769D1
Authority
DE
Germany
Prior art keywords
plasma reactor
reactor apparatus
plasma
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE8484106890T
Other languages
English (en)
Inventor
Georges J Gorin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CollabRx Inc
Original Assignee
CollabRx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24147560&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE3479769(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by CollabRx Inc filed Critical CollabRx Inc
Application granted granted Critical
Publication of DE3479769D1 publication Critical patent/DE3479769D1/de
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
DE8484106890T 1983-10-03 1984-06-15 Plasma reactor apparatus and method Expired DE3479769D1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/538,593 US4464223A (en) 1983-10-03 1983-10-03 Plasma reactor apparatus and method

Publications (1)

Publication Number Publication Date
DE3479769D1 true DE3479769D1 (en) 1989-10-19

Family

ID=24147560

Family Applications (1)

Application Number Title Priority Date Filing Date
DE8484106890T Expired DE3479769D1 (en) 1983-10-03 1984-06-15 Plasma reactor apparatus and method

Country Status (4)

Country Link
US (1) US4464223A (de)
EP (1) EP0139835B1 (de)
JP (1) JPS6079726A (de)
DE (1) DE3479769D1 (de)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH0644554B2 (ja) * 1984-03-28 1994-06-08 株式会社富士電機総合研究所 プラズマcvd装置
US4627904A (en) * 1984-05-17 1986-12-09 Varian Associates, Inc. Magnetron sputter device having separate confining magnetic fields to separate targets and magnetically enhanced R.F. bias
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
EP0203560A1 (de) * 1985-05-31 1986-12-03 Tegal Corporation Grabenätzverfahren an Hand eines Plasmas
JP2603217B2 (ja) * 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4786361A (en) * 1986-03-05 1988-11-22 Kabushiki Kaisha Toshiba Dry etching process
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
JPS6358834A (ja) * 1986-08-27 1988-03-14 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション スパッタリング装置
US4818359A (en) * 1986-08-27 1989-04-04 International Business Machines Corporation Low contamination RF sputter deposition apparatus
US4738761A (en) * 1986-10-06 1988-04-19 Microelectronics Center Of North Carolina Shared current loop, multiple field apparatus and process for plasma processing
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
JP2656511B2 (ja) * 1987-11-25 1997-09-24 株式会社日立製作所 プラズマエッチング装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
US4971667A (en) * 1988-02-05 1990-11-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5079031A (en) * 1988-03-22 1992-01-07 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for forming thin films
EP0343500B1 (de) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasmaätzvorrichtung
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US5041201A (en) * 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5045166A (en) * 1990-05-21 1991-09-03 Mcnc Magnetron method and apparatus for producing high density ionic gas discharge
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JP2859721B2 (ja) * 1990-08-07 1999-02-24 キヤノン株式会社 プラズマ処理装置
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP3119693B2 (ja) * 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
JP3122228B2 (ja) * 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
DE4233720C2 (de) * 1992-10-07 2001-05-17 Leybold Ag Einrichtung für die Verhinderung von Überschlägen in Vakuum-Zerstäubungsanlagen
US5686050A (en) * 1992-10-09 1997-11-11 The University Of Tennessee Research Corporation Method and apparatus for the electrostatic charging of a web or film
DE4301188C2 (de) * 1993-01-19 2001-05-31 Leybold Ag Vorrichtung zum Beschichten oder Ätzen von Substraten
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
DE4404077C2 (de) * 1994-02-09 1997-04-30 Licentia Gmbh Anordnung und Verfahren zum plasmagestützten Bearbeiten von Werkstücken
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
DE4438894C2 (de) * 1994-10-31 1999-12-09 Aeg Elektrofotografie Gmbh Vorrichtung zum Beschichten eines länglichen Werkstücks
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US5955174A (en) * 1995-03-28 1999-09-21 The University Of Tennessee Research Corporation Composite of pleated and nonwoven webs
AU715719B2 (en) 1995-06-19 2000-02-10 University Of Tennessee Research Corporation, The Discharge methods and electrodes for generating plasmas at one atmosphere of pressure, and materials treated therewith
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5835333A (en) * 1995-10-30 1998-11-10 Lam Research Corporation Negative offset bipolar electrostatic chucks
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
JP2000514600A (ja) 1996-07-03 2000-10-31 ティーガル コーポレイション 半導体ウェーハーをエッチングするための方法及びその装置
US6127277A (en) * 1996-07-03 2000-10-03 Tegal Corporation Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
US6258287B1 (en) * 1996-08-28 2001-07-10 Georgia Tech Research Corporation Method and apparatus for low energy electron enhanced etching of substrates in an AC or DC plasma environment
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
JP3704894B2 (ja) * 1997-07-07 2005-10-12 株式会社日立製作所 プラズマ処理方法及び装置
KR20010032824A (ko) * 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
EP1073779A4 (de) 1998-04-13 2007-05-30 Tokyo Electron Ltd Regduzierte impedanzkammer
US6287943B1 (en) * 1998-07-31 2001-09-11 Canon Kabushiki Kaisha Deposition of semiconductor layer by plasma process
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19948839A1 (de) 1999-10-11 2001-04-12 Bps Alzenau Gmbh Leitende transparente Schichten und Verfahren zu ihrer Herstellung
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4334723B2 (ja) * 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
JP2001297026A (ja) 2000-04-11 2001-10-26 Hitachi Ltd 複数のデータベースマネージメントシステムを有する計算機システム
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6749945B2 (en) * 2001-01-29 2004-06-15 The Board Of Regents For Oklahoma State University Advanced composite ormosil coatings
US6768856B2 (en) 2001-02-09 2004-07-27 Corning Incorporated High germanium content waveguide materials
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6537421B2 (en) 2001-07-24 2003-03-25 Tokyo Electron Limited RF bias control in plasma deposition and etch systems with multiple RF power sources
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7276409B2 (en) 2003-06-24 2007-10-02 Micron Technology, Inc. Method of forming a capacitor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
CN100490087C (zh) * 2003-11-11 2009-05-20 昭和电工株式会社 自由基产生方法、蚀刻方法以及用于这些方法的设备
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7776758B2 (en) 2004-06-08 2010-08-17 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
US7968273B2 (en) 2004-06-08 2011-06-28 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
WO2006009881A2 (en) * 2004-06-18 2006-01-26 Innovalight, Inc. Process and apparatus for forming nanoparticles using radiofrequency plasmas
JP4830288B2 (ja) * 2004-11-22 2011-12-07 富士電機株式会社 プラズマ制御方法およびプラズマ制御装置
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
US20090136785A1 (en) * 2007-01-03 2009-05-28 Nanosys, Inc. Methods for nanopatterning and production of magnetic nanostructures
WO2008085813A2 (en) * 2007-01-03 2008-07-17 Nanosys, Inc, Et Al. Methods for nanopatterning and production of nanostructures
WO2009108173A2 (en) * 2007-12-14 2009-09-03 Nanosys, Inc. Methods for formation of substrate elements
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
US8540889B1 (en) 2008-11-19 2013-09-24 Nanosys, Inc. Methods of generating liquidphobic surfaces
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
KR20200098737A (ko) * 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버에서 튜닝 전극을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법
JP2014187231A (ja) * 2013-03-25 2014-10-02 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11688588B1 (en) * 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2468174A (en) * 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
JPS5450440A (en) * 1977-09-29 1979-04-20 Cho Lsi Gijutsu Kenkyu Kumiai Plasma etching device
JPS5613480A (en) * 1979-07-13 1981-02-09 Hitachi Ltd Dry etching apparatus
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
DE3165961D1 (en) * 1980-05-12 1984-10-18 Fujitsu Ltd Method and apparatus for plasma etching
JPS5812347B2 (ja) * 1981-02-09 1983-03-08 日本電信電話株式会社 プラズマエッチング装置
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS57154833A (en) * 1981-03-20 1982-09-24 Toshiba Corp Etching method by reactive ion
JPS58186937A (ja) * 1982-04-26 1983-11-01 Hitachi Ltd ドライエツチング方法

Also Published As

Publication number Publication date
US4464223A (en) 1984-08-07
EP0139835B1 (de) 1989-09-13
EP0139835A2 (de) 1985-05-08
EP0139835A3 (en) 1986-08-20
JPH0469416B2 (de) 1992-11-06
JPS6079726A (ja) 1985-05-07
US4464223B1 (de) 1991-04-09

Similar Documents

Publication Publication Date Title
DE3479769D1 (en) Plasma reactor apparatus and method
DE3473776D1 (en) Etching method and apparatus
DE3378508D1 (en) Plasma deposition method and apparatus
GB2135159B (en) Plasma generator and method
DE3473648D1 (en) Weighing apparatus and method
GB2136258B (en) Method and apparatus for the heat-treatment of a plate-like member
GB2138548B (en) Well completion method and apparatus
GB2140942B (en) Sequence control method and apparatus
EP0140294A3 (en) Plasma processing method and apparatus for carrying out the same
GB2127067B (en) Well completion method and apparatus
EP0119075A3 (en) Faulty-memory processing method and apparatus
PT75426B (en) Method and filter-thickening apparatus
GB2119592B (en) Pulse-counting method and apparatus
IL70742A0 (en) Workpiece handling method and apparatus therefor
GB2155554B (en) Method of and apparatus for application
GB2139917B (en) Efg process and apparatus
GB2131001B (en) Currency-dispensing method and apparatus
AU3556684A (en) Surface-fill method and apparatus
DE3466765D1 (en) Method and apparatus for machining
GB8307963D0 (en) Method and apparatus
DE3477997D1 (en) Coating method and apparatus
GB2129356B (en) Billet-shearing method and apparatus
GB2141732B (en) Method and apparatus for gas production
GB2175112B (en) Sequence control method and apparatus
GB2142854B (en) Draw-bending apparatus and method

Legal Events

Date Code Title Description
8364 No opposition during term of opposition