DE112011103040T5 - Method for forming wafer vias in semiconductor structures using sacrificial material and semiconductor structures produced by these methods - Google Patents

Method for forming wafer vias in semiconductor structures using sacrificial material and semiconductor structures produced by these methods Download PDF

Info

Publication number
DE112011103040T5
DE112011103040T5 DE112011103040T DE112011103040T DE112011103040T5 DE 112011103040 T5 DE112011103040 T5 DE 112011103040T5 DE 112011103040 T DE112011103040 T DE 112011103040T DE 112011103040 T DE112011103040 T DE 112011103040T DE 112011103040 T5 DE112011103040 T5 DE 112011103040T5
Authority
DE
Germany
Prior art keywords
semiconductor structure
semiconductor
substrate
wafer
thin layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112011103040T
Other languages
German (de)
Inventor
Mariam Sadaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/879,637 external-priority patent/US20120061794A1/en
Priority claimed from FR1057676A external-priority patent/FR2965397A1/en
Application filed by Soitec SA filed Critical Soitec SA
Publication of DE112011103040T5 publication Critical patent/DE112011103040T5/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation

Abstract

Verfahren zum Herstellen von Halbleiterstrukturen schließen Aufbringen eines Opfermaterials in einer Kontaktloch-Aussparung, Ausbilden eines ersten Abschnitts einer Wafer-Durchkontaktierung in der Halbleiterstruktur sowie Austauschen des Opfermaterials gegen ein leitendes Material zum Ausbilden eines zweiten Abschnitts der Wafer-Durchkontaktierung ein Halbleiterstrukturen werden mit diesen Verfahren ausgebildet. Beispielsweise kann eine Halbleiterstruktur ein Opfermaterial in einer Kontaktloch-Aussparung sowie einen ersten Abschnitt einer Wafer-Durchkontaktierung enthalten, der auf die Kontaktloch-Aussparung ausgerichtet ist. Halbleiterstrukturen schließen Wafer-Durchkontaktierungen ein, die zwei oder mehr Abschnitte mit einer Grenze zwischen ihnen umfassen.Methods of fabricating semiconductor structures include depositing a sacrificial material in a via recess, forming a first portion of a wafer via in the semiconductor structure, and replacing the sacrificial material with a conductive material to form a second portion of the wafer via. Semiconductor structures are formed by these methods , For example, a semiconductor structure may include a sacrificial material in a via recess and a first portion of a wafer via aligned with the via recess. Semiconductor structures include wafer vias that include two or more portions with a boundary between them.

Description

Technisches GebietTechnical area

Die vorliegende Erfindung betrifft im Allgemeinen zum Herstellen von Halbleiterstrukturen, die Wafer-Durchkontaktierungen (through wafer interconnects) enthalten, sowie mit diesen Verfahren hergestellt Halbleiterstrukturen.The present invention generally relates to the fabrication of semiconductor structures containing through wafer interconnects, as well as to semiconductor structures fabricated by these methods.

Hintergrundbackground

Halbleiterstrukturen werden während der Herstellung von Vorrichtungen, bei denen Halbleitermaterialien eingesetzt werden (d. h., Halbleitervorrichtungen), ausgebildet und schließen diese ein, so beispielsweise elektronische Signalverarbeitungsvorrichtungen, Speichervorrichtungen, photoelektrische Vorrichtungen (beispielsweise Leuchtdioden (LED), Laserdioden, Solarzellen usw.), elektromechanische Mikro- und Nanovorrichtungen usw. Bei derartigen Halbleiterstrukturen ist es häufig erforderlich oder vorteilhaft, eine Halbleiterstruktur elektrisch und/oder strukturell mit einer anderen Vorrichtung oder Struktur (beispielsweise einer anderen Halbleiterstruktur) zu verbinden. Die Prozesse, bei denen Halbleiterstrukturen mit einer anderen Vorrichtung oder Struktur verbunden werden, werden häufig als Prozesse dreidimensionaler Integration bezeichnet.Semiconductor structures are formed and include those used during the fabrication of devices using semiconductor materials (ie, semiconductor devices), such as electronic signal processing devices, memory devices, photoelectric devices (eg, light emitting diodes (LED), laser diodes, solar cells, etc.), electromechanical micro and nanodevices, etc. In such semiconductor structures, it is often necessary or advantageous to electrically and / or structurally connect one semiconductor structure to another device or structure (eg, another semiconductor structure). The processes in which semiconductor structures are connected to another device or structure are often referred to as three-dimensional integration processes.

Die dreidimensionale Integration von zwei oder mehr Halbleiterstrukturen kann eine Reihe von Vorteilen für mikroelektronische Einsatzzwecke mit sich bringen. Beispielsweise können sich durch dreidimensionale Integration mikroelektronischer Komponenten Verbesserungen der elektrischen Leistung sowie des Stromverbrauchs bei gleichzeitiger Verringerung des Platzbedarfs für die Vorrichtung ergeben (siehe beispielsweise P. Garrou et al. ”The Handbook of 3D Integration”, Wiley-VCH (2008) ).The three-dimensional integration of two or more semiconductor structures can provide a number of advantages for microelectronic applications. For example, three-dimensional integration of microelectronic components may result in improvements in electrical performance as well as power consumption while reducing the footprint of the device (see, for example, US Pat P. Garrou et al. "The Handbook of 3D Integration", Wiley-VCH (2008) ).

Die dreidimensionale Integration von Halbleiterstrukturen kann durch die Anbringung eines Halbleiterchips an einem oder mehreren weiteren Halbleiterchip/s (d. h., D2D (die-to-die)), eines Halbleiterchips an einem oder mehreren Halbleiterwafer/n (d. h., D2W (die-to-wafer)) sowie eines Halbleiterwafers an einem oder mehreren zusätzlichen Halbleiterwafer/n (d. h., W2W (wafer-to-wafer)) oder einer Kombination daraus stattfinden. Häufig sind die einzelnen Halbleiterchips oder Wafer relativ dünn und können nur schwer mit Einrichtungen zum Verarbeiten der Chips oder Wafer gehandhabt werden. Die sogenannten ”Träger”-Chips oder -Wafer können an den eigentlichen Chips oder Wafern angebracht werden, die die aktiven und passiven Komponenten funktionaler Halbleitervorrichtungen enthalten. Die Träger-Chips oder -Wafer enthalten üblicherweise keine aktiven oder passiven Komponenten einer herzustellenden Halbleitervorrichtung. Diese Träger-Chips und Wafer werden hier als ”Trägersubstrate” bezeichnet. Durch die Trägersubstrate nimmt die Gesamtdicke der Chips oder Wafer zu, und die Handhabung der Chips bzw. Wafer mittels der Bearbeitungseinrichtungen, die die dazu dienen, die aktiven und/oder passiven Komponenten an den daran angebrachten Chips oder Wafern zu bearbeiten, die die aktiven und passiven Komponenten einer darauf herzustellenden Halbleitervorrichtung enthalten, wird erleichtert.The three-dimensional integration of semiconductor structures may be accomplished by attaching a semiconductor chip to one or more other semiconductor die (s) (ie, D2D (die-to-die)), a semiconductor die to one or more semiconductor wafers (ie, D2W (the die-to-die). wafer) as well as a semiconductor wafer on one or more additional semiconductor wafers (ie W2W (wafer-to-wafer)) or a combination thereof. Often, the individual semiconductor chips or wafers are relatively thin and are difficult to handle with devices for processing the chips or wafers. The so-called "carrier" chips or wafers may be attached to the actual chips or wafers that contain the active and passive components of functional semiconductor devices. The carrier chips or wafers typically do not contain any active or passive components of a semiconductor device to be fabricated. These carrier chips and wafers are referred to herein as "carrier substrates." Through the carrier substrates, the total thickness of the chips or wafers increases, and the handling of the chips or wafers by means of the processing devices that serve to process the active and / or passive components on the attached chips or wafers, the active and the passive components of a semiconductor device to be fabricated thereon is facilitated.

Es ist bekannt, dass hier als ”Wafer-Durchkontaktierungen” (through wafer interconnects) bzw. ”TWI” bezeichnete Strukturen zum Herstellen elektrischer Verbindungen zwischen aktiven Komponenten in einer Halbleiterstruktur und leitenden Strukturen einer anderen Vorrichtung oder Struktur eingesetzt werden, an der die Halbleiterstruktur angebracht wird. Wafer-Durchkontaktierungen sind leitende Kontaktlöcher, die durch wenigstens einen Teil einer Halbleiterstruktur hindurch verlaufen.It is known that structures referred to herein as "through wafer interconnects" or "TWI" are employed for establishing electrical connections between active components in a semiconductor structure and conductive structures of another device or structure to which the semiconductor structure is attached becomes. Wafer vias are conductive vias that extend through at least a portion of a semiconductor structure.

Kurze ZusammenfassungShort Summary

In einigen Ausführungsformen schließt die vorliegende Erfindung Verfahren zum Herstellen einer Halbleiterstruktur ein. Ein Opfermaterial kann in wenigstens einer Kontaktloch-Aussparung vorhanden sein, die sich teilweise durch eine Halbleiterstruktur hindurch erstreckt. Ein erster Abschnitt wenigstens einer Wafer-Durchkontaktierung kann in der Halbleiterstruktur ausgebildet werden. Der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung kann mit der wenigstens einen Kontaktloch-Aussparung fluchtend bzw. auf sie ausgerichtet sein. Das Opfermaterial in der wenigstens einen Kontaktloch-Aussparung kann durch leitendes Material ersetzt werden, um einen zweiten Abschnitt der wenigstens einen Wafer-Durchkontaktierung auszubilden, der in elektrischem Kontakt mit dem ersten Abschnitt der wenigstens einen Wafer-Durchkontaktierung ist.In some embodiments, the present invention includes methods of fabricating a semiconductor structure. A sacrificial material may be present in at least one via hole that extends partially through a semiconductor structure. A first portion of at least one wafer via may be formed in the semiconductor structure. The first portion of the at least one wafer via may be aligned with the at least one via recess. The sacrificial material in the at least one via hole recess may be replaced with conductive material to form a second portion of the at least one wafer via in electrical contact with the first portion of the at least one wafer via.

Die vorliegende Erfindung schließt des Weiteren zusätzliche Ausführungsformen von Verfahren zum Herstellen von Halbleiterstrukturen ein. Bei diesem Verfahren ist ein Opfermaterial in wenigstens einer Kontaktloch-Aussparung vorhanden, die sich in eine Oberfläche einer Halbleiterstruktur hinein erstreckt. Eine Schicht aus Halbleitermaterial kann über der Oberfläche der Halbleiterstruktur vorhanden sein, und wenigstens eine Vorrichtungsstruktur kann unter Verwendung der Schicht aus Halbleitermaterial hergestellt werden. Es wird ein erster Abschnitt wenigstens einer Wafer-Durchkontaktierung ausgebildet, der sich durch die Schicht aus Halbleitermaterial hindurch erstreckt. Die Halbleiterstruktur kann von einer der Schicht aus Halbleitermaterial gegenüber liegenden Seite derselben her verdünnt werden. Das Opfermaterial kann in der wenigstens einen Kontaktloch-Aussparung in der Halbleiterstruktur entfernt werden, und der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung kann in der Kontaktloch-Aussparung freigelegt werden, wobei leitendes Material in der Kontaktloch-Aussparung vorhanden sein kann, um einen zweiten Abschnitt der wenigstens einen Wafer-Durchkontaktierung auszubilden.The present invention further includes additional embodiments of methods for fabricating semiconductor structures. In this method, a sacrificial material is present in at least one contact hole recess extending into a surface of a semiconductor structure. A layer of semiconductor material may be present over the surface of the semiconductor structure, and at least one device structure may be fabricated using the layer of semiconductor material. A first portion of at least one wafer via extending through the layer of semiconductor material is formed. The semiconductor structure may be thinned by a side of the semiconductor material layer opposite thereto. The sacrificial material may be removed in the at least one via hole in the semiconductor structure, and the first portion of the at least one Wafer via may be exposed in the via recess, wherein conductive material may be present in the via recess to form a second portion of the at least one wafer via.

In weiteren Ausführungsformen schließt die vorliegende Erfindung Halbleiterstrukturen ein, die mit hier offenbarten Verfahren hergestellt werden. In einigen Ausführungsformen enthält eine Halbleiterstruktur beispielsweise ein Opfermaterial in wenigstens einer Kontaktloch-Aussparung, die sich von einer Oberfläche der Halbleiterstruktur teilweise durch die Halbleiterstruktur hindurch erstreckt, ein Halbleitermaterial, das über der Oberfläche der Halbleiterstruktur angeordnet ist, sowie wenigstens eine Halbleiterstruktur, die wenigstens einen Abschnitt des Halbleitermaterials umfasst, das über der Oberfläche der Halbleiterstruktur angeordnet ist. Ein erster Abschnitt wenigstens einer Wafer-Durchkontaktierung erstreckt sich durch das über der Oberfläche der Halbleiterstruktur angeordnete Halbleitermaterial hindurch, und der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung ist mit der wenigstens einen Aussparung fluchtend.In further embodiments, the present invention includes semiconductor structures fabricated by methods disclosed herein. For example, in some embodiments, a semiconductor structure includes a sacrificial material in at least one via recess extending from a surface of the semiconductor structure partially through the semiconductor structure, a semiconductor material disposed over the surface of the semiconductor structure, and at least one semiconductor structure having at least one Section of the semiconductor material disposed over the surface of the semiconductor structure. A first portion of at least one wafer via extends through the semiconductor material disposed over the surface of the semiconductor structure, and the first portion of the at least one wafer via is in alignment with the at least one recess.

In weiteren Ausführungsformen schließt die vorliegende Erfindung Halbleiterstrukturen ein, die eine aktive Fläche, eine hintere Fläche, wenigstens einen Transistor, der sich in der Halbleiterstruktur zwischen der aktiven Fläche und der hinteren Fläche befindet, sowie wenigstens eine Wafer-Durchkontaktierung umfassen, die sich von der aktiven Fläche oder/und der hinteren Fläche wenigstens teilweise durch die Halbleiterstruktur hindurch erstreckt. Die wenigstens eine Wafer-Durchkontaktierung enthält einen ersten Abschnitt, einen zweiten Abschnitt sowie eine erkennbare Grenze zwischen einer Mikrostruktur des ersten Abschnitts und einer Mikrostruktur des zweiten Abschnitts.In further embodiments, the present invention includes semiconductor structures including an active area, a back surface, at least one transistor located in the semiconductor structure between the active area and the back surface, and at least one wafer via extending from the active surface and / or the rear surface extends at least partially through the semiconductor structure. The at least one wafer via includes a first portion, a second portion, and a detectable boundary between a microstructure of the first portion and a microstructure of the second portion.

Kurze Beschreibung der verschiedenen Ansichten in den ZeichnungenBrief description of the different views in the drawings

Obwohl die Patentbeschreibung mit Patentansprüchen abschließt, die im Einzelnen darlegen und eindeutig beanspruchen, was als Ausführungsformen der Erfindung betrachtet wird, werden die Vorteile von Ausführungsformen der Erfindung möglicherweise aus der Beschreibung bestimmter Beispiele von Ausführungsformen der Erfindung beim Lesen in Verbindung mit den beigefügten Zeichnungen leichter verständlich, wobei:Although the specification concludes with claims that specifically set forth and distinctly claim what is considered to be embodiments of the invention, the advantages of embodiments of the invention may be more readily understood from the description of certain examples of embodiments of the invention when read in conjunction with the accompanying drawings , in which:

1 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer Halbleiterstruktur ist; 1 is a simplified sectional side view of a portion of a semiconductor structure;

2 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem Kontaktloch-Aussparungen teilweise durch die Halbleiterstruktur in 1 hindurch ausgebildet werden; 2 is a simplified side sectional view of a portion of another semiconductor structure that may be formed by partially including via holes through the semiconductor structure 1 be formed through;

3 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem ein dielektrisches Material an oder über freiliegenden Flächen der Halbleiterstruktur in 2 in den Kontaktloch-Aussparungen darin aufgebracht wird; 3 is a simplified side sectional view of a portion of another semiconductor structure that may be formed by depositing a dielectric material on or over exposed areas of the semiconductor structure 2 is applied in the contact hole recesses therein;

4 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem ein Material, wie beispielsweise Polysilizium, in den Kontaktloch-Aussparungen der Halbleiterstruktur in 3 aufgebracht wird; 4 is a simplified side sectional view of a portion of another semiconductor structure that may be formed by forming a material, such as polysilicon, in the via holes of the semiconductor structure 3 is applied;

5 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer gebondeten Halbleiterstruktur ist, die ausgebildet werden kann, indem eine weitere Halbleiterstruktur an die Halbleiterstruktur in 4 gebondet wird; 5 is a simplified sectional side view of a portion of a bonded semiconductor structure that may be formed by attaching another semiconductor structure to the semiconductor structure in FIG 4 is bonded;

6 eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem die andere Halbleiterstruktur in der gebondeten Halbleiterstruktur in 5 verdünnt wird; 6 is a simplified side sectional view of a portion of another semiconductor structure that may be formed by forming the other semiconductor structure in the bonded semiconductor structure 5 is diluted;

7 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem Transistoren und flache Graben-Isolierstrukturen in und/oder an der gebondeten Halbleiterstruktur in 6 hergestellt werden; 7 FIG. 4 is an enlarged view of a portion of another semiconductor structure that may be formed by incorporating transistors and shallow trench isolation structures in and / or on the bonded semiconductor structure 6 getting produced;

8 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem eine Schicht aus dielektrischen Material über der Halbleiterstruktur in 7 aufgebracht wird, und indem Abschnitte von Wafer-Durchkontaktierung durch die Halbleiterstruktur in 7 geschaffen werden; 8th FIG. 4 is an enlarged view of a portion of another semiconductor structure that may be formed by forming a layer of dielectric material over the semiconductor structure in FIG 7 is applied, and by portions of wafer via through the semiconductor structure in 7 be created;

9 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem eine oder mehrere Schicht/en, die elektrisch leitende Strukturen enthält/enthalten, über einer Oberfläche der Halbleiterstruktur in 8 hergestellt wird/werden; 9 FIG. 4 is an enlarged view of a portion of another semiconductor structure that may be formed by depositing one or more layers containing electrically conductive structures over a surface of the semiconductor structure in FIG 8th is / are produced;

10 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem die Halbleiterstruktur in 9 an ein Trägersubstrat gebondet wird; 10 is an enlarged view of a portion of another semiconductor structure that can be formed by the semiconductor structure in 9 bonded to a carrier substrate;

11 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem Polysilizium-Material aus Kontaktloch-Aussparungen der Halbleiterstruktur in 10 entfernt wird; 11 is an enlarged view of a portion of another semiconductor structure that may be formed by polysilicon material from contact hole recesses of the semiconductor structure in 10 Will get removed;

12 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem leitendes Material in den Kontaktloch-Aussparungen der. Halbleiterstruktur in 11 aufgebracht wird, um zusätzliche Abschnitte von Wafer-Durchkontaktierungen darin auszubilden; 12 is an enlarged view of a portion of another semiconductor structure that may be formed by conductive material in the contact hole recesses of. Semiconductor structure in 11 is applied to form additional portions of wafer vias therein;

13 eine vergrößerte Ansicht eines Abschnitts einer weiteren Halbleiterstruktur ist, die ausgebildet werden kann, indem das Trägersubstrat von der Halbleiterstruktur in 12 entfernt wird und leitende Kontakthöcker über freiliegenden Enden der Wafer-Durchkontaktierungen darin aufgebracht werden; 13 FIG. 4 is an enlarged view of a portion of another semiconductor structure that may be formed by placing the carrier substrate from the semiconductor structure in FIG 12 is removed and conductive bumps are applied over exposed ends of the wafer vias therein;

14 bis 16 weitere Verfahren darstellen, die eingesetzt werden können, um eine Halbleiterstruktur wie die in 10 dargestellte zu einer Halbleiterstruktur wie der in 11 dargestellten zu verarbeiten; und 14 to 16 represent other methods that can be used to form a semiconductor structure like the one shown in FIG 10 illustrated to a semiconductor structure as in 11 to be processed; and

17 bis 20 weitere Verfahren darstellen, die eingesetzt werden können, um eine Halbleiterstruktur wie die in 10 dargestellte zu einer Halbleiterstruktur wie der in 11 dargestellten zu verarbeiten. 17 to 20 represent other methods that can be used to form a semiconductor structure like the one shown in FIG 10 illustrated to a semiconductor structure as in 11 to be processed.

Ausführliche BeschreibungDetailed description

Die vorliegende Beschreibung enthält spezifische Details, wie beispielsweise Materialtypen und Bearbeitungsbedingungen, um eine gründliche Beschreibung von Ausführungsformen der vorliegenden Offenbarung sowie Umsetzungsformen derselben zu ermöglichen. Ein Fachmann weiß jedoch, dass die Ausführungsformen der vorliegenden Offenbarung ohne Einsatz dieser spezifischen Details und in Verbindung mit herkömmlichen Herstellungsmethoden umgesetzt werden können. Des Weiteren bildet die vorliegende Beschreibung keinen vollständigen Prozessfluss zum Herstellen einer Halbleitervorrichtung bzw. eines Halbleitersystems. Nur diejenigen Prozessvorgänge und Strukturen, die zum Verständnis der Ausführungsformen der vorliegenden Erfindung erforderlich sind, werden hier ausführlich beschrieben. Die hier beschriebenen Materialien können mit jeder beliebigen geeigneten Methode ausgebildet (d. h., abgeschieden oder gezüchtet) werden, die Aufschleudern (spin-coating), Rakelbeschichtung (blanket coating), Bridgeman- und Czochralski-Prozesse, CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition) oder PVD (physical vapor deposition) einschließt, jedoch nicht darauf beschränkt ist. Obwohl die hier beschriebenen und dargestellten Materialien als Schichten ausgebildet werden können, sind die Materialien nicht auf Schichten beschränkt und können in anderen dreidimensionalen Formen ausgebildet werden.The present description includes specific details, such as material types and machining conditions, to enable a thorough description of embodiments of the present disclosure, as well as forms of implementation thereof. However, one skilled in the art will appreciate that the embodiments of the present disclosure can be practiced without the use of these specific details and in connection with conventional manufacturing techniques. Furthermore, the present description does not form a complete process flow for manufacturing a semiconductor device or a semiconductor system. Only those process operations and structures required to understand the embodiments of the present invention are described in detail herein. The materials described herein can be formed (ie, deposited or grown) by any suitable method, spin coating, blanket coating, Bridgeman and Czochralski processes, CVD (chemical vapor deposition), PECVD ( plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition) or PVD (physical vapor deposition) includes, but is not limited to. Although the materials described and illustrated herein may be formed as layers, the materials are not limited to layers and may be formed in other three-dimensional shapes.

Die hier verwendeten Begriffe ”horizontal” und ”vertikal” definieren relative Positionen von Elementen oder Strukturen in Bezug auf eine Hauptebene bzw. -fläche einer Halbleiterstruktur (d. h., Wafer, Chip, Substrat usw.) unabhängig von der Ausrichtung der Halbleiterstruktur und sind rechtwinklige Dimensionen, die in Bezug auf die Ausrichtung der beschriebenen Struktur verstanden werden. Der hier verwendete Begriff ”vertikal” steht für ein Dimension im Wesentlichen senkrecht zu der Hauptfläche einer Halbleiterstruktur und schließt diese ein, und der Begriff ”horizontal” steht für eine Abmessung im Wesentlichen parallel zu der Hauptfläche der Halbleiterstruktur.As used herein, the terms "horizontal" and "vertical" define relative positions of elements or structures with respect to a major plane of a semiconductor structure (ie, wafer, chip, substrate, etc.) regardless of the orientation of the semiconductor structure and are rectangular dimensions which are understood in terms of the orientation of the structure described. As used herein, the term "vertical" refers to and includes a dimension substantially perpendicular to the major surface of a semiconductor structure, and the term "horizontal" refers to a dimension substantially parallel to the major surface of the semiconductor structure.

Der hier verwendete Begriff ”Halbleiterstruktur” steht für eine Struktur, die bei der Ausbildung einer Halbleitervorrichtung eingesetzt wird, und schließt diese ein. Zu Halbleiterstrukturen gehören beispielsweise Chips und Wafer (z. B. Trägersubstrate und Vorrichtungssubstrate) sowie Baugruppen oder zusammengesetzte Strukturen, die zwei oder mehr Chips und/oder Wafer einschließen, die dreidimensional integriert sind.The term "semiconductor structure" as used herein means and includes a structure used in the formation of a semiconductor device. Semiconductor structures include, for example, chips and wafers (eg, carrier substrates and device substrates) as well as assemblies or composite structures that include two or more chips and / or wafers that are integrated three-dimensionally.

Halbleiterstrukturen schließen des Weiteren fertige Halbleiterstrukturen sowie Zwischenstrukturen ein, die bei der Herstellung von Halbleitervorrichtungen ausgebildet werden. Halbleiterstrukturen können leitende, halbleitende und/oder nichtleitende Materialien umfassen.Semiconductor structures further include finished semiconductor structures as well as intermediate structures formed in the fabrication of semiconductor devices. Semiconductor structures may include conductive, semiconducting and / or non-conductive materials.

Der hier verwendete ”bearbeitete Halbleiterstruktur” steht für jede beliebige Halbleiterstruktur, die eine oder mehrere wenigstens teilweise ausgebildete Halbleiterstruktur/en enthält, und schließt diese ein Bearbeitete Halbleiterstrukturen sind eine Teilgruppe von Halbleiterstrukturen, und alle bearbeiteten Halbleiterstrukturen sind Halbleiterstrukturen.The "processed semiconductor structure" as used herein includes and includes any semiconductor structure that includes one or more at least partially formed semiconductor structures. Machined semiconductor structures are a subset of semiconductor structures, and all processed semiconductor structures are semiconductor structures.

Der hier verwendete Begriff ”gebondete Halbleiterstruktur” steht für jede beliebige Struktur, die zwei oder mehr Halbleiterstrukturen enthält, die aneinander angebracht sind, und schließt diese ein. Gebondete Halbleiterstrukturen sind eine Teilgruppe von Halbleiterstrukturen, und alle gebondeten Halbleiterstrukturen sind Halbleiterstrukturen. Des Weiteren sind gebondete Halbleiterstrukturen, die eine oder mehrere verarbeitete Halbleiterstruktur/en enthalten, ebenfalls bearbeitete Halbleiterstrukturen.As used herein, the term "bonded semiconductor structure" means any structure that includes two or more semiconductor structures attached to each other and includes them. Bonded semiconductor structures are a subset of semiconductor structures, and all bonded semiconductor structures are semiconductor structures. Furthermore, bonded semiconductor structures containing one or more processed semiconductor structures are also processed semiconductor structures.

Der hier verwendete Begriff ”Vorrichtungsstruktur” steht für jeden beliebigen Abschnitt einer bearbeiteten Halbleiterstruktur, der ein Abschnitt einer aktiven oder einer passiven Komponente einer an oder in der Halbleiterstruktur auszubildenden Halbleitervorrichtung ist, diese einschließt oder bildet, und schließt diesen ein Vorrichtungsstrukturen enthalten beispielsweise aktive und passive Komponenten integrierter Schaltungen, wie beispielsweise Transistoren, Wandler, Kondensatoren, Widerstände, Leiterbahnen, Kontaktlöcher sowie leitende Kontaktstellen.As used herein, the term "device structure" refers to any portion of a processed semiconductor structure that includes a portion of an active or a passive component and device structures including, and including, semiconductor devices formed in the semiconductor structure include, for example, active and passive components of integrated circuits, such as transistors, transducers, capacitors, resistors, traces, vias, and conductive pads.

Der hier verwendete Begriff ”Wafer-Durchkontaktierung” bzw. ”TWI” steht für jedes beliebige leitende Kontaktloch, das durch wenigstens einen Abschnitt einer ersten Halbleiterstruktur hindurch verläuft und dazu dient, eine strukturelle und/oder elektrische Verbindung zu der ersten Halbleiterstruktur und einer zweiten Halbleiterstruktur über eine Grenzfläche zwischen der ersten Halbleiterstruktur und der zweiten Halbleiterstruktur herzustellen, und schließt dieses ein. Wafer-Durchkontaktierungen werden in der Technik auch mit anderen Begriffen, so beispielsweise als „Halbleiter-Kontaktlöcher (through silicon vias) oder „Substrat-Durchkontaktlöcher” (through substrate vias – TSV) sowie ”Wafer-Kontaktloch” bzw. ”TWV” bezeichnet. TWI verlaufen üblicherweise durch eine Halbleiterstruktur hindurch in einer Richtung senkrecht zu der im Allgemeinen planen Hauptfläche der Halbleiterstruktur (d. h., in einer Richtung parallel zu der Z-Achse).The term "wafer via" or "TWI" as used herein means any conductive via extending through at least a portion of a first semiconductor structure and serving to provide structural and / or electrical connection to the first semiconductor structure and a second semiconductor structure via an interface between the first semiconductor structure and the second semiconductor structure, and includes this. Wafer vias are also referred to in the art by other terms, such as "through silicon vias" or "through substrate vias" (TSV), and "wafer via" or "TWV". TWI typically pass through a semiconductor structure in a direction perpendicular to the generally planar major surface of the semiconductor structure (i.e., in a direction parallel to the Z axis).

Der hier verwendete Begriff ”aktive Fläche” steht, wenn er in Verbindung mit einer bearbeiteten Halbleiterstruktur verwendet wird, für eine freiliegende Hauptfläche der bearbeiteten Halbleiterstruktur, die bearbeitet worden ist oder bearbeitet werden wird, um eine oder mehrere Vorrichtungsstrukturen in und/oder an der freiliegenden Hauptfläche der verarbeiteten Halbleiterstruktur auszubilden, und schließt diese ein.As used herein, the term "active area", when used in conjunction with a processed semiconductor structure, refers to one or more device structures in and / or at the exposed major surface of the processed semiconductor structure that has been processed or processed Form the main surface of the processed semiconductor structure, and includes these.

Der hier verwendete Begriff ”hintere Fläche” steht, wenn er in Zusammenhang mit einer bearbeiteten Halbleiterstruktur verwendet wird, für eine freiliegende Hauptfläche der bearbeiteten Halbleiterstruktur an einer einer aktiven Fläche der Halbleiterstruktur gegenüberliegenden Seite der bearbeiteten Halbleiterstruktur und schließt diese ein.The term "back surface" as used herein in connection with a processed semiconductor structure stands for and includes an exposed major surface of the processed semiconductor structure on an opposite side of the processed semiconductor structure from an active surface of the semiconductor structure.

Der hier verwendete Begriff ”III-V-Halbleitermaterial” steht für jedes beliebige Material, das aus einem oder mehreren Elementen aus Gruppe IIIA des Periodensystems (B, Al, Ga, In und Ti) und einem oder mehreren Element/en aus Gruppe VA des Periodensystems (N, P, As, Sb und Bi) besteht und dieses einschließt.The term "III-V semiconductor material" as used herein means any material consisting of one or more Group IIIA elements of the Periodic Table (B, Al, Ga, In, and Ti) and one or more Group VA elements Periodic table (N, P, As, Sb and Bi) and includes this.

Der hier verwendete Begriff ”Wärmeausdehnungskoeffizient” steht, wenn er in Bezug auf ein Material oder eine Struktur verwendet wird, für den durchschnittlichen linearen Wärmeausdehnungskoeffizienten des Materials bzw. der Struktur bei Raumtemperatur.As used herein, the term "thermal expansion coefficient", when used in relation to a material or structure, stands for the average linear thermal expansion coefficient of the material or structure at room temperature.

In einigen Ausführungsformen schließt die vorliegende Erfindung, wie weiter unten ausführlicher erläutert, Verfahren zum Herstellen von Halbleiterstrukturen ein, die eine oder mehrere Wafer-Durchkontaktierung/en enthalten. Die Wafer-Durchkontaktierungen können zwei oder mehr Abschnitte enthalten, die in separaten Prozessen ausgebildet werden.In some embodiments, as further explained below, the present invention includes methods of fabricating semiconductor structures that include one or more wafer via (s). The wafer vias may contain two or more portions that are formed in separate processes.

1 ist eine vereinfachte, als Schnitt ausgeführte Seitenansicht eines Abschnitts einer ersten Halbleiterstruktur 100. Die erste Halbleiterstruktur 100 kann eine Schicht bzw. ein Substrat aus Material 102 umfassen. Das Material 102 kann beispielsweise ein keramisches Material, wie z. B. ein Oxid (z. B. Siliziumdioxid (SiO2) oder Aluminiumoxid (Al2O3)) oder ein Nitrid (z. B. Siliziumnitrid (Si3N4) oder Bornitrid (BN)) umfassen. In einem weiteren Beispiel kann das erste Halbleitermaterial 100 ein Halbleitermaterial, wie beispielsweise Silizium (Si), Germanium (Ge), ein III-V-Halbleitermaterial usw., umfassen. Des Weiteren kann das Material 102 einen Einkristall aus Halbleitermaterial oder eine Epitaxie-Schicht aus Halbleitermaterial umfassen. In einem nichteinschränkenden Beispiel kann das Material 102 der ersten Halbleiterstruktur 100 einen Einkristall aus massivem Silizium-Material umfassen. 1 is a simplified sectional side view of a portion of a first semiconductor structure 100 , The first semiconductor structure 100 may be a layer or a substrate of material 102 include. The material 102 For example, a ceramic material, such as. For example, an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )) or a nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)). In another example, the first semiconductor material 100 a semiconductor material such as silicon (Si), germanium (Ge), III-V semiconductor material, etc. Furthermore, the material 102 a single crystal of semiconductor material or an epitaxial layer of semiconductor material. In a non-limiting example, the material 102 the first semiconductor structure 100 comprise a single crystal of solid silicon material.

2 stellt eine weitere Halbleiterstruktur 110 dar, die ausgebildet werden kann, indem in der Halbleiterstruktur 100 in 1 Kontaktloch-Aussparungen 112 geschaffen werden. Die Kontaktloch-Aussparungen 112 können dazu dienen, Abschnitte von Wafer-Durchkontaktierungen auszubilden, wie dies weiter unten ausführlich erläutert wird. Die Kontaktloch-Aussparungen 112 können sich, wie in 2 gezeigt, von einer ersten Hauptfläche 104 der Halbleiterstruktur 110 aus in das Material 102 derselben hinein und wenigstens teilweise durch dieses hindurch erstrecken. In einigen Ausführungsformen können die Kontaktloch-Aussparungen 112 nichtdurchgehende Kontaktloch-Aussparungen umfassen, die sich nur teilweise durch das Material 102 der Halbleiterstruktur 110 hindurch erstrecken. 2 represents another semiconductor structure 110 which can be formed by in the semiconductor structure 100 in 1 Via recesses 112 be created. The contact hole recesses 112 may serve to form portions of wafer vias, as discussed in more detail below. The contact hole recesses 112 can, as in 2 shown from a first major surface 104 the semiconductor structure 110 out into the material 102 extend into it and at least partially therethrough. In some embodiments, the contact hole recesses 112 noncontinuous via holes which extend only partially through the material 102 the semiconductor structure 110 extend through.

Die Kontaktloch-Aussparungen 112 können eine im Allgemeinen zylindrische Querschnittsform oder jede beliebige andere Querschnittform haben. Die Kontaktloch-Aussparungen 112 können eine durchschnittliche Querschnittsabmessung (z. B. Durchschnittsdurchmesser) von ungefähr 1 μm oder weniger, oder ungefähr 10 μm oder weniger, oder auch 50 μm oder weniger haben. Des Weiteren können die Kontaktloch-Aussparungen 112 ein durchschnittliches Seitenverhältnis (d. h., das Verhältnis der durchschnittlichen Höhe zu der durchschnittlichen Querschnittsabmessung) in einem Bereich haben, der von ungefähr 0,5 bis ungefähr 10,0 reicht.The contact hole recesses 112 may have a generally cylindrical cross-sectional shape or any other cross-sectional shape. The contact hole recesses 112 may have an average cross-sectional dimension (eg, average diameter) of about 1 μm or less, or about 10 μm or less, or even 50 μm or less. Furthermore, the contact hole recesses 112 an average aspect ratio (ie, the ratio of the average height to the average cross-sectional dimension) in a range ranging from about 0.5 to about 10.0.

3 stellt eine weitere Halbleiterstruktur 120 dar, die ausgebildet werden kann, indem ein dielektrisches Material 122 an den Oberflächen des Materials 102 in den Kontaktloch-Aussparungen 112 aufgebracht wird. Das dielektrische Material 122 kann als nicht einschränkendes Beispiel ein keramisches Material, wie beispielsweise ein Oxid (z. B. Siliziumdioxid (SiO2) oder Aluminiumoxid (Al2O3)) oder ein Nitrid (z. B. Siliziumnitrid (Si3N4) oder Bornitrid (BN)) oder ein Oxinitrid (z. B. Siliziumoxinitrid) umfassen. Das dielektrische Material 122 kann in situ an oder in den freiliegenden Flächen des Materials 102 in den Kontaktloch-Aussparungen 112 ausgebildet werden. In weiteren Ausführungsformen kann das dielektrische Material 122 über den freiliegenden Flächen des Materials 102 in den Kontaktloch-Aussparungen 112 abgeschieden werden. In einem speziellen, nichteinschränkenden Beispiel kann das Material 102 massives Siliziummaterial umfassen, das dielektrische Material 122 kann Siliziumoxid umfassen, und das dielektrische Material 122 kann ausgebildet werden, indem die freiliegenden Flächen des Materials 102 in den Kontaktloch-Aussparungen 112 oxidiert werden. In einigen Ausführungsformen kann das dielektrische Material 122 auch über der ersten Hauptfläche 104 der Halbleiterstruktur 110 (2) abgeschieden werden, wie dies in 3 dargestellt ist. 3 represents another semiconductor structure 120 which can be formed by a dielectric material 122 on the surfaces of the material 102 in the contact hole recesses 112 is applied. The dielectric material 122 As a non-limiting example, a ceramic material such as an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )) or a nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride ( BN)) or an oxynitride (eg, silicon oxynitride). The dielectric material 122 may be in situ on or in the exposed areas of the material 102 in the contact hole recesses 112 be formed. In further embodiments, the dielectric material 122 over the exposed surfaces of the material 102 in the contact hole recesses 112 be deposited. In a specific, non-limiting example, the material 102 solid silicon material, the dielectric material 122 may include silicon oxide, and the dielectric material 122 can be formed by exposing the exposed surfaces of the material 102 in the contact hole recesses 112 be oxidized. In some embodiments, the dielectric material 122 also over the first main area 104 the semiconductor structure 110 ( 2 ) are deposited, as in 3 is shown.

Die Kontaktloch-Aussparungen 112 (3) können, wie unter Bezugnahme auf 4 zu sehen ist, mit einem Opfermaterial 132 gefüllt werden. Das Opfermaterial 132 kann ein Material umfassen, das letztendlich entfernt wird und durch ein anderes Material ersetzt wird, wie dies weiter unten erläutert wird. Das Opfermaterial 132 kann beispielsweise polykristallines Silizium-Material umfassen. Das heißt, das Opfermaterial 132 kann Silizium mit einer Mikrostruktur umfassen, die eine Vielzahl von Zwischenbindungs-Kristallkörnern aus Silizium enthält, die in der Mikrostruktur beliebig ausgerichtet sind. Ein derartiges Silizium-Material wird in der Technik allgemein als ”Polysilizium”-Material bezeichnet. In weiteren Ausführungsformen kann das Opfermaterial 132 ein beliebiges anderes Material umfassen, das relativ zu dem Material 102 (und dem optionalen dielektrischen Material 122) selektiv geätzt werden kann, so beispielsweise ein keramisches Material, ein Halbleitermaterial (z. B. polykristallines SiGe), ein Polymer-Material, ein Metall usw. In einigen Ausführungsformen kann das Opfermaterial 132 ein zusätzliches dielektrisches Material oder mehrere zusätzliche Materialien, wie beispielsweise ein Oxid, Nitrid oder Oxinitrid (z. B. Siliziumdioxid), umfassen. Das Opfermaterial 132 kann eine Zusammensetzung haben, die so ausgewählt wird, dass die Atome des Opfermaterials 132 bei Bearbeitung der Halbleiterstruktur bei Temperaturen über ungefähr 400°C, denen die Halbleiterstruktur bei der Herstellung von Transistoren oder anderen Vorrichtungsstrukturen ausgesetzt sein kann, wie dies weiter unten ausführlicher beschrieben wird, nicht nennenswert in umgebende Bereiche einer Halbleiterstruktur hinein diffundieren oder dass die Halbleiterstruktur nicht nachteilig beeinflusst, wenn die Atome während derartige Prozesse bei höheren Temperaturen in nennenswerter Menge in die umgebende Strukturen diffundieren sollten. In einigen Ausführungsformen kann das Opfermaterial 132 einen Wärmeausdehnungskoeffizienten haben, der ungefähr 40% eines Wärmeausdehnungskoeffizienten beträgt, den das Material 102 aufweist, ungefähr 20% eines Wärmeausdehnungskoeffizienten beträgt, den das Material 102 aufweist, oder auch ungefähr 5% eines Wärmeausdehnungskoeffizienten beträgt, den das Material 102 aufweist. Des Weiteren kann das Opfermaterial 132 in einigen Ausführungsformen ein Material umfassen, das einen Wärmeausdehnungskoeffizienten hat, der ungefähr 5,0 × 10–6°C–1 oder weniger, ungefähr 3,0 × 10–6°C–1 oder weniger oder auch 1,0 × 10–6°C–1 oder weniger beträgt.The contact hole recesses 112 ( 3 ), as with reference to 4 can be seen with a sacrificial material 132 be filled. The sacrificial material 132 may include a material that is eventually removed and replaced with another material, as discussed below. The sacrificial material 132 For example, it may comprise polycrystalline silicon material. That is, the sacrificial material 132 may include silicon having a microstructure containing a plurality of inter-bonding crystal grains of silicon randomly aligned in the microstructure. Such a silicon material is commonly referred to in the art as "polysilicon" material. In other embodiments, the sacrificial material 132 comprise any other material relative to the material 102 (and the optional dielectric material 122 ) can be selectively etched, such as a ceramic material, a semiconductor material (eg, polycrystalline SiGe), a polymer material, a metal, etc. In some embodiments, the sacrificial material 132 an additional dielectric material or multiple additional materials, such as an oxide, nitride, or oxynitride (eg, silicon dioxide). The sacrificial material 132 may have a composition selected to be the atoms of the sacrificial material 132 when processing the semiconductor structure at temperatures above about 400 ° C, to which the semiconductor structure may be exposed in the fabrication of transistors or other device structures, as described in more detail below, do not significantly diffuse into surrounding regions of a semiconductor structure or adversely affect the semiconductor structure influenced by the fact that the atoms should diffuse in appreciable amount into the surrounding structures during such processes at higher temperatures. In some embodiments, the sacrificial material 132 have a coefficient of thermal expansion which is about 40% of a coefficient of thermal expansion of the material 102 is about 20% of a coefficient of thermal expansion, the material 102 or is about 5% of a coefficient of thermal expansion, the material 102 having. Furthermore, the sacrificial material 132 in some embodiments comprise a material having a coefficient of thermal expansion of about 5.0 × 10 -6 ° C. -1 or less, about 3.0 × 10 -6 ° C. -1 or less, or even 1.0 × 10 -4 . 6 ° C -1 or less.

Nachdem das Opfermaterial 132 in den Aussparungen 112 aufgebracht worden ist (3), kann die Oberfläche 134 der Halbleiterstruktur 130 planarisiert werden, um zu bewirken, dass wenigstens die freiliegenden Flächen des Opfermaterials 132 und die freiliegenden Fläche des Materials 102 an der Oberfläche 134 der Halbleiterstruktur 130 koplanar sind und die gleiche Ausdehnung haben. Das heißt, das Opfermaterial 132 kann beispielsweise unter Verwendung von CVD-Verfahren angepasst über der ersten Hauptfläche 104 (und dem optionalen dielektrischen Material 122) ausgebildet werden. Das Opfermaterial 132 kann in einer Dicke ausgebildet werden, durch die die Kontaktloch-Aussparungen 112 wenigstens im Wesentlichen vollständig mit dem Opfermaterial 132 gefüllt sind. Etwaiges überschüssiges Opfermaterial 132 (und optionales dielektrisches Material 132) kann dann entfernt werden, um die Oberfläche 134 der Halbleiterstruktur 130 zu planarisieren. Beispielsweise kann die Oberfläche 134 der Halbleiterstruktur 130 unter Verwendung eines chemischen Prozesses (beispielsweise eines chemischen Nass- oder Trocken-Ätzprozesses), eines mechanischen Prozesses (beispielsweise eines Schleif- oder Lapp-Prozesses) oder mit einem CMP-Prozess (chemical mechanical polishing process) planarisiert werden.After the sacrificial material 132 in the recesses 112 has been applied ( 3 ), the surface can be 134 the semiconductor structure 130 be planarized to cause at least the exposed surfaces of the sacrificial material 132 and the exposed surface of the material 102 on the surface 134 the semiconductor structure 130 are coplanar and have the same extent. That is, the sacrificial material 132 For example, it may be adjusted over the first major surface using CVD techniques 104 (and the optional dielectric material 122 ) be formed. The sacrificial material 132 can be formed in a thickness through which the contact hole recesses 112 at least substantially completely with the sacrificial material 132 are filled. Any excess sacrificial material 132 (and optional dielectric material 132 ) can then be removed to the surface 134 the semiconductor structure 130 to planarize. For example, the surface 134 the semiconductor structure 130 using a chemical process (for example, a chemical wet or dry etching process), a mechanical process (for example, a grinding or Lapp process), or planarization with a CMP process (chemical mechanical polishing process).

Nachdem das Opfermaterial 132, wie oben beschrieben, in den Aussparungen 112 aufgebracht worden ist, kann eine dünne Schicht aus Halbleitermaterial über der Fläche 134 der Halbleiterstruktur 130 aufgebracht werden. In einem nichteinschränkenden Beispiel kann eine dünne Schicht aus Halbleitermaterial über der Fläche 134 der Halbleiterstruktur 130 aufgebracht werden, wie dies im Folgenden unter Bezugnahme auf 5 und 6 beschrieben wird.After the sacrificial material 132 , as described above, in the recesses 112 may be applied, a thin layer of semiconductor material over the surface 134 the semiconductor structure 130 be applied. In a non-limiting example, a thin layer of semiconductor material may overlie the area 134 the semiconductor structure 130 be applied as below with reference to 5 and 6 is described.

6 stellt eine gebondete Halbleiterstruktur dar, die ausgebildet werden kann, indem eine weitere Halbleiterstruktur, die ein Substrat 142 umfasst, an die Oberfläche 134 der Halbleiterstruktur 130 in 4 gebondet wird. Das Substrat 142 kann ein Halbleitermaterial, wie beispielsweise Silizium (Si), Germanium (Ge), ein III-V-Halbleitermaterial usw. umfassen. Des Weiteren kann das Material des Substrats 152 einen Einkristall aus Halbleitermaterial oder eine Epitaxie-Schicht aus Halbleitermaterial umfassen. In einem nichteinschränkenden Beispiel kann das Material des Substrats 142 einen Einkristall aus massivem Silizium-Material umfassen. 6 FIG. 12 illustrates a bonded semiconductor structure that may be formed by forming a further semiconductor structure that is a substrate 142 includes, to the surface 134 the semiconductor structure 130 in 4 is bonded. The substrate 142 may comprise a semiconductor material such as silicon (Si), germanium (Ge), III-V semiconductor material, etc. Furthermore, the material of the substrate 152 a single crystal of semiconductor material or an epitaxial layer of semiconductor material. In a non-limiting example, the material of the substrate 142 comprise a single crystal of solid silicon material.

Das Substrat 142 kann mit einem Direktbond-Prozess an die Oberfläche 134 gebondet werden, bei dem das Substrat 142 direkt an die Halbleiterstruktur 130 (4) gebondet wird, indem direkt Atom- oder Molekularbindungen zwischen einer Bondfläche der Halbleiterstruktur 130 und einer Bondfläche des Substrats 142 entlang einer Bond-Grenzfläche zwischen ihnen ausgebildet werden. Das heißt, das Substrat 142 kann ohne Verwendung eines Klebstoffs oder eines anderen Zwischen-Bondmaterials zwischen dem Substrat 142 und der Halbleiterstruktur 130 direkt an die Halbleiterstruktur 130 gebondet werden. Die Eigenschaften der Atom- oder Molekularbindungen zwischen dem Substrat 142 und der Halbleiterstruktur 130 hängen von der Materialzusammensetzung des Substrats 142 und der Halbleiterstruktur 130 ab. So können bei einigen Ausführungsformen direkte Atom- oder Molekularbindungen beispielsweise zwischen Siliziumoxid oder Germaniumoxid und Silizium, Germanium, Siliziumoxid oder/und Germaniumoxid hergestellt werden.The substrate 142 can surface with a direct bond process 134 be bonded, at which the substrate 142 directly to the semiconductor structure 130 ( 4 ) is bonded directly by atomic or molecular bonds between a bonding surface of the semiconductor structure 130 and a bonding surface of the substrate 142 be formed along a bonding interface between them. That is, the substrate 142 can be achieved without the use of an adhesive or other intermediate bonding material between the substrate 142 and the semiconductor structure 130 directly to the semiconductor structure 130 be bonded. The properties of atomic or molecular bonds between the substrate 142 and the semiconductor structure 130 depend on the material composition of the substrate 142 and the semiconductor structure 130 from. Thus, in some embodiments, direct atomic or molecular bonds can be made, for example, between silicon oxide or germanium oxide and silicon, germanium, silica or / and germanium oxide.

Die Bondfläche des Substrats 142 kann in einem nichteinschränkenden Beispiel ein Oxidmaterial (z. B. (z. B. Siliziumdioxid (SiO2)) umfassen, und die Bondfläche der Halbleiterstruktur 130 kann wenigstens im Wesentlichen aus dem gleichen Oxidmaterial (beispielsweise Siliziumdioxid (SiO2)) bestehen. Bei diesen Ausführungsformen kann ein Prozess zum direkten Bonden einer Siliziumoxid- an einer Siliziumoxid-Fläche eingesetzt werden, um die Bondfläche des Substrats 142 an eine Bondfläche der Halbleiterstruktur 130 zu bonden. Bei diesen Ausführungsformen kann, wie in 5 gezeigt, ein Bondmaterial 148 (z. B. eine Schicht aus Oxid, wie beispielsweise Siliziumdioxid (SiO2)) zwischen dem Substrat 142 und der Halbleiterstruktur 130 (4) an einer Bond-Grenzfläche zwischen ihnen angeordnet sein. Das Bondmaterial 148 kann eine durchschnittliche Dicke von beispielsweise ungefähr 1000 Ångstrom haben.The bonding surface of the substrate 142 For example, in one non-limiting example, it may include an oxide material (eg, (eg, silicon dioxide (SiO 2 )), and the bond area of the semiconductor structure 130 may at least substantially consist of the same oxide material (for example, silicon dioxide (SiO 2 )). In these embodiments, a process of directly bonding a silicon oxide to a silicon oxide surface may be employed to form the bonding surface of the substrate 142 to a bonding surface of the semiconductor structure 130 to bond. In these embodiments, as shown in FIG 5 shown a bonding material 148 (For example, a layer of oxide, such as silicon dioxide (SiO 2 )) between the substrate 142 and the semiconductor structure 130 ( 4 ) may be disposed at a bonding interface between them. The bonding material 148 may have an average thickness of, for example, about 1000 angstroms.

Bei weiteren Ausführungsformen kann die Bondfläche des Substrats 142 ein Halbleitermaterial (z. B. Silizium) umfassen, und die Bondfläche der Halbleiterstruktur 130 kann wenigstens im Wesentlichen aus dem gleichen Halbleitermaterial (z. B. Silizium) bestehen. Bei diesen Ausführungsformen kann ein Prozess zum direkten Bonden einer Siliziumfläche an eine Silizium-Fläche (silicon-to-silicon surface direct-bonding process) eingesetzt werden, um die Bondfläche des Substrats 142 an einer Bondfläche der Halbleiterstruktur 130 zu bonden.In further embodiments, the bonding surface of the substrate 142 a semiconductor material (eg, silicon), and the bonding surface of the semiconductor structure 130 may at least substantially consist of the same semiconductor material (eg silicon). In these embodiments, a process for directly bonding a silicon surface to a silicon-to-silicon surface-direct-bonding ("") process may be used to form the bonding surface of the substrate 142 on a bonding surface of the semiconductor structure 130 to bond.

In einigen Ausführungsformen kann direkte Bindung zwischen der Bondfläche des Substrats 142 und der Bondfläche der Halbleiterstruktur 130 hergestellt werden, indem die Bondfläche des Substrats 142 und die Bondfläche der Halbleiterstruktur 130 jeweils so ausgebildet werden, dass sie relativ glatte Oberflächen aufweisen, und anschließend die Bondflächen zusammengefügt werden und während eines Wärmebehandlungsprozesses Kontakt zwischen den Bondflächen aufrechterhalten wird.In some embodiments, direct bonding may occur between the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 be prepared by the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 are each formed so that they have relatively smooth surfaces, and then the bonding surfaces are joined and maintained during a heat treatment process, contact between the bonding surfaces.

Beispielsweise können die Bondfläche des Substrats 142 und die Bondfläche der Halbleiterstruktur 130 jeweils so ausgebildet werden, dass sie einen quadratischen Mittelwert der Oberflächenrauigkeit (RRMS) von ungefähr 2,0 nm oder weniger, ungefähr 1,0 nm oder weniger oder auch ungefähr ein 0,25 nm oder weniger haben. In einigen Ausführungsformen können die Bondfläche des Substrats 142 und die Bondfläche der Halbleiterstruktur 130 jeweils so ausgebildet sein, dass sie einen quadratischen Mittelwert der Oberflächenrauigkeit (RRMS) zwischen ungefähr 0,25 nm und ungefähr 2,0 nm oder auch zwischen ungefähr 0,5 nm und ungefähr 1,0 nm haben.For example, the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 are each made to have a root mean square surface roughness (R RMS ) of about 2.0 nm or less, about 1.0 nm or less, or about 0.25 nm or less. In some embodiments, the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 each should be designed to have a root mean square surface roughness (R RMS ) of between about 0.25 nm and about 2.0 nm, or between about 0.5 nm and about 1.0 nm.

Der Wärmebehandlungsprozess kann umfassen, dass das Substrat 142 und die Halbleiterstruktur 130 über eine Zeit zwischen ungefähr zwei Minuten (2 min) und ungefähr fünfzehn Stunden (15 h) in einem Ofen auf eine Temperatur zwischen ungefähr 100°C und ungefähr 400°C erhitzt werden.The heat treatment process may include that of the substrate 142 and the semiconductor structure 130 for a period between about two minutes (2 minutes) and about fifteen hours (15 hours) in an oven to a temperature between about 100 ° C and about 400 ° C.

Die Bondfläche des Substrats 142 und die Bondfläche der Halbleiterstruktur 130 können, wie oben erwähnt, unter Verwendung eines mechanischen Polierprozesses oder/und eines chemischen Ätzprozesses jeweils so ausgebildet werden, dass sie relativ glatt sind. Beispielsweise kann ein CMP-Prozess (chemical mechanical polishing process) angewendet werden, um die Bondfläche des Substrats 142 und die Bondfläche der Halbleiterstruktur 130 jeweils zu planarisieren und/oder ihre Oberflächenrauigkeit zu verringern.The bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 may, as mentioned above, each be formed to be relatively smooth using a mechanical polishing process and / or a chemical etching process. For example, a CMP (chemical mechanical polishing process) can be applied to the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 each planarize and / or reduce their surface roughness.

Ein erster Abschnitt 144 des Substrats 142 kann von der Halbleiterstruktur 140 in 5 entfernt werden, so dass ein zweiter Abschnitt 146 des Substrats 142 über der Oberfläche 134 zurückbleibt und die gebondete Halbleiterstruktur 150 in 6 entsteht. Das heißt, der erste Abschnitt 144 des Substrats 142 kann von dem zweiten Abschnitt 146 des Substrats 142 getrennt werden. Die Halbleiterstruktur 150 in 6 enthält eine dünne Schicht aus Halbleitermaterial 152 über der Oberfläche 134. Die dünne Schicht aus Halbleitermaterial 152 wird durch den zweiten Abschnitt 144 (5) gebildet.A first section 144 of the substrate 142 can from the semiconductor structure 140 in 5 be removed, leaving a second section 146 of the substrate 142 above the surface 134 remains and the bonded semiconductor structure 150 in 6 arises. That is, the first section 144 of the substrate 142 can from the second section 146 of the substrate 142 be separated. The semiconductor structure 150 in 6 contains a thin layer of Semiconductor material 152 above the surface 134 , The thin layer of semiconductor material 152 is through the second section 144 ( 5 ) educated.

Es kann gemäß einem nichteinschränkenden Beispiel, wie unter erneuter Bezugnahme auf 5 zu sehen ist, der in der Industrie unter der Bezeichnung SMART-CUT® bekannte Prozess eingesetzt werden, um den ersten Abschnitt 144 des Substrats 142 von dem zweiten Abschnitt 146 des Substrats 142 zu trennen. Derartige Prozesse werden beispielsweise im US-Patent Nr. RE 39,484 von Bruel (erteilt am 6. Februar 2007), US-Patent Nr. 6,303,468 von Aspar et al. (erteilt am 16. Oktober 2001), US-Patent Nr. 6,335,258 von Aspar et al. (erteilt am 1. Januar 2002), US-Patent Nr. 6,756,286 von Moriceau et al. (erteilt am 29. Juni 2004), US-Patent Nr. 6,809,044 von Aspar et al. (erteilt am 26. Oktober 2004) sowie US-Patent Nr. 6,946,365 von Aspar et al. (20. September 2005) ausführlich beschrieben, wobei die Offenbarung all dieser Patente hiermit in ihrer Gesamtheit durch diesen Verweis einbezogen wird.It may, by way of non-limitative example, with reference to again 5 to see the process known in the industry under the name SMART-CUT ® , the first section 144 of the substrate 142 from the second section 146 of the substrate 142 to separate. Such processes are for example in U.S. Patent No. RE 39,484 by Bruel (issued on 6 February 2007), U.S. Patent No. 6,303,468 by Aspar et al. (granted on October 16, 2001), U.S. Patent No. 6,335,258 by Aspar et al. (granted on January 1, 2002), U.S. Patent No. 6,756,286 by Moriceau et al. (granted on June 29, 2004), U.S. Patent No. 6,809,044 by Aspar et al. (granted on October 26, 2004) as well U.S. Patent No. 6,946,365 by Aspar et al. (September 20, 2005), the disclosure of all of these patents being incorporated herein in their entirety by this reference.

Eine Vielzahl von Ionen (beispielsweise Wasserstoff, Helium oder Inertgas-Ionen) können in das Substrat 142 implantiert werden. Die Ionen können vor oder nach dem Anbringen des Substrats 142 an dem Halbleiter 130 in 4, wie es oben beschrieben ist, in das Substrat 142 implantiert werden. Beispielsweise können Ionen von einer Ionenquelle (nicht dargestellt), die an einer Seite des Substrats 142 positioniert ist, in das Substrat 142 implantiert werden. Ionen können in einer Richtung im Wesentlichen senkrecht zu den Hauptflächen des Substrats 142 in das Substrat 142 implantiert werden. Die Tiefe, in der die Ionen in das Substrat implantiert werden, ist, wie in der Technik bekannt ist, wenigstens teilweise abhängig von der Energie, mit der die Ionen in das Substrat implantiert werden. Im Allgemeinen werden Ionen, die mit niedrigerer Energie implantiert werden, in vergleichsweise geringer Tiefe implantiert, während Ionen, die mit höherer Energie implantiert werden, vergleichsweise tiefer implantiert werden.A variety of ions (eg, hydrogen, helium, or inert gas ions) may be introduced into the substrate 142 be implanted. The ions may be before or after attaching the substrate 142 on the semiconductor 130 in 4 as described above into the substrate 142 be implanted. For example, ions from an ion source (not shown) attached to one side of the substrate 142 is positioned in the substrate 142 be implanted. Ions may be in a direction substantially perpendicular to the major surfaces of the substrate 142 in the substrate 142 be implanted. The depth at which the ions are implanted into the substrate, as known in the art, is at least partially dependent on the energy with which the ions are implanted into the substrate. In general, ions implanted at lower energy are implanted at a relatively shallow depth, while ions implanted at higher energies are implanted relatively more deeply.

Ionen können in das Substrat 142 mit einer vorgegebenen Energie implantiert werden, die so ausgewählt wird, dass die Ionen in einer vorteilhaften Tiefe in dem Substrat 142 implantiert werden. Die Ionen können in einem speziellen nichteinschränkenden Beispiel in dem Substrat 142 in einer ausgewählten Tiefe so angeordnet werden, dass die durchschnittliche Dicke T des zweiten Abschnitts 146 des Substrats 142 ungefähr 300 nm oder weniger oder auch ungefähr 100 nm oder weniger beträgt. Zwangsläufig können, wie in der Technik bekannt ist, wenigstens einige Ionen in anderen Tiefen als der gewünschten Implantationstiefe implantiert werden, und eine Kurve der Konzentration der Ionen als Funktion der Tiefe in das Substrat 142 hinein von einer Oberfläche des Substrats 142 aus kann im Allgemeinen eine glockenförmige (symmetrische oder asymmetrische) Kurve bilden, die ein Maximum in einer vorteilhaften Implantationstiefe hat.Ions can enter the substrate 142 be implanted with a given energy, which is selected so that the ions at an advantageous depth in the substrate 142 be implanted. The ions may in a particular non-limiting example in the substrate 142 be arranged at a selected depth so that the average thickness T of the second section 146 of the substrate 142 is about 300 nm or less, or about 100 nm or less. Inevitably, as known in the art, at least some ions may be implanted at depths other than the desired implantation depth, and a plot of the concentration of ions as a function of depth into the substrate 142 in from a surface of the substrate 142 generally, a bell-shaped (symmetrical or asymmetric) curve can be formed which has a maximum in an advantageous implantation depth.

Nach Implantation in das Substrat 142 können die Ionen eine Bruchfläche (fracture plane) 143 (in 5 als eine unterbrochene Linie dargestellt) in dem Substrat 142 bilden. Die Bruchfläche 143 kann eine Schicht bzw. einen Bereich in dem Substrat 142 umfassen, der auf die Ebene maximaler Ionen-Konzentration in dem Substrat 142 ausgerichtet (beispielsweise um sie herum zentriert) ist. Die Bruchfläche 143 kann eine Schwächezone innerhalb des Substrats 142 bilden, entlang der das Substrat 142 in einem darauffolgenden Prozess gespalten bzw. gebrochen werden kann. Das Substrat 142 kann entlang der Bruchfläche 143 gespalten oder gebrochen werden, indem das Substrat 142 erhitzt wird, eine mechanische Kraft auf das Substrat 142 ausgeübt wird oder anderweitig Energie auf das Substrat 142 wirkt.After implantation in the substrate 142 the ions can form a fracture plane (fracture plane) 143 (in 5 shown as a broken line) in the substrate 142 form. The fracture surface 143 may be a layer or a region in the substrate 142 which is at the level of maximum ion concentration in the substrate 142 aligned (centered around them, for example). The fracture surface 143 can be a weak zone within the substrate 142 form along which the substrate 142 can be split or broken in a subsequent process. The substrate 142 can along the fracture surface 143 split or broken by the substrate 142 is heated, a mechanical force on the substrate 142 is exercised or otherwise energy to the substrate 142 acts.

In weiteren Ausführungsformen kann der zweite Abschnitt 146 des Substrats 142 über der Oberfläche 134 der Halbleiterstruktur 130 in 4 geschaffen werden, indem eine relativ dicke Schicht aus Material (z. B. eine Schicht mit einer durchschnittlichen Dicke von mehr als ungefähr 300 Mikrometer), wie beispielsweise das Substrat 142, gebondet wird und anschließend das relativ dicke Substrat 142 von der Seite 149 her verdünnt wird, die der Oberfläche 134 gegenüberliegt. Beispielsweise kann das Substrat 142 unter Verwendung eines chemischen Prozesses (z. B. eines chemischen Trocken- oder Nass-Ätzprozesses), eines mechanischen Prozesses (z. B. eines Schleif- oder Lapp-Prozesses) oder mittels eines CMP-Prozesses verdünnt werden.In further embodiments, the second section 146 of the substrate 142 above the surface 134 the semiconductor structure 130 in 4 by providing a relatively thick layer of material (eg, a layer having an average thickness greater than about 300 microns), such as the substrate 142 , is bonded and then the relatively thick substrate 142 of the page 149 The surface is diluted 134 opposite. For example, the substrate 142 using a chemical process (eg, a dry or wet chemical etch process), a mechanical process (eg, a grinding or Lapp process), or by means of a CMP process.

In weiteren Ausführungsformen kann eine relativ dünne Schicht aus Halbleitermaterial (die dem zweiten Abschnitt 146 des Substrats 142 im Wesentlichen in Zusammensetzung und Aufbau gleicht) in situ über (beispielsweise auf) der Oberfläche 134 der Halbleiterstruktur 130 in 4 ausgebildet werden. Beispielsweise kann eine relativ dünne Schicht aus Siliziummaterial ausgebildet werden, indem Material, wie beispielsweise Silizium, über der Oberfläche 134 der Halbleiterstruktur 130 in 4 auf eine vorteilhafte Dicke aufgebracht wird.In further embodiments, a relatively thin layer of semiconductor material (the second portion 146 of the substrate 142 substantially similar in composition and construction) in situ over (for example on) the surface 134 the semiconductor structure 130 in 4 be formed. For example, a relatively thin layer of silicon material may be formed by exposing material, such as silicon, over the surface 134 the semiconductor structure 130 in 4 is applied to an advantageous thickness.

Nach dem Schaffen einer dünnen Schicht aus Halbleitermaterial 152 über der Oberfläche 134 der Halbleiterstruktur 130 in 3 kann/können eine oder mehrere Vorrichtungsstruktur/en an und/oder in der dünnen Schicht aus Halbleitermaterial 152 ausgebildet werden. Das heißt, eine oder mehrere Vorrichtungsstruktur/en kann/können unter Verwendung der dünnen Schicht aus Halbleitermaterial 152 ausgebildet werden. Bei einem nichteinschränkenden Beispiel kann eine Vielzahl von Transistoren unter Verwendung der dünnen Schicht aus Halbleitermaterial 152 hergestellt werden.After creating a thin layer of semiconductor material 152 above the surface 134 the semiconductor structure 130 in 3 may include one or more device structures on and / or in the thin layer of semiconductor material 152 be formed. That is, one or more device structures may under Use of the thin layer of semiconductor material 152 be formed. In a non-limiting example, a plurality of transistors may be formed using the thin layer of semiconductor material 152 getting produced.

7 stellt einen Abschnitt der gebondeten Halbleitervorrichtung 150 aus 6, der mit der unterbrochenen Linie 158 markiert ist, nach Bearbeitung der Halbleiterstruktur 150 zum Ausbilden der gebondeten und bearbeiteten Halbleiterstruktur 160 in 7 dar. Die Halbleiterstruktur 160 enthält einen oder mehrere Transistor/en 162. In 7 ist der Übersichtlichkeit nur ein Transistor 162 dargestellt. Jeder Transistor 162 kann, wie in 7 gezeigt, eine Source, die einen Source-Bereich 163A und einen Source-Kontakt 163B enthält, einen Drain, der einen Drain-Bereich 164A und einen Drain-Kontakt 164B enthält, sowie eine Gate-Struktur 165 enthalten. Der Source-Bereich 163A und der Drain-Bereich 164A können Bereiche der dünnen Schicht aus Halbleitermaterial 152 enthalten, die mit einem oder mehreren Dotierstoff/en dotiert worden ist, um diese Bereiche elektrisch leitend zu machen. Der Source-Bereich 163A und der Drain-Bereich 164A können durch einen Kanalbereich 166 voneinander getrennt sein, der einen undotierten Bereich der dünnen Schicht aus Halbleitermaterial 152 umfassen kann. Die Gate-Struktur 165 kann über dem Kanalbereich 166 in Querrichtung zwischen der Source und dem Drain des Transistors 162 angeordnet sein. Der Source-Kontakt 163B, der Drain-Kontakt 164B und die Gate-Struktur 165 können jeweils ein leitendes Material, wie beispielsweise ein oder mehrere Metall/e oder ein dotiertes Polysilizium-Material enthalten. Das leitende Material der Gate-Struktur 165 kann gegenüber, der dünnen Schicht aus Halbleitermaterial 152 durch ein dielektrisches Material oder mehrere dielektrische Materialien (z. B. ein Oxid, ein Nitrid, ein Oxinitrid usw.) elektrisch isoliert sein. 7 represents a portion of the bonded semiconductor device 150 out 6 that with the broken line 158 is marked, after processing the semiconductor structure 150 for forming the bonded and processed semiconductor structure 160 in 7 dar. The semiconductor structure 160 contains one or more transistors 162 , In 7 the clarity is only one transistor 162 shown. Every transistor 162 can, as in 7 shown a source that has a source area 163A and a source contact 163B contains a drain that has a drain area 164A and a drain contact 164B contains, as well as a gate structure 165 contain. The source area 163A and the drain area 164A can be areas of the thin layer of semiconductor material 152 which has been doped with one or more dopants to make these regions electrically conductive. The source area 163A and the drain area 164A can through a channel area 166 separated from each other, which is an undoped portion of the thin layer of semiconductor material 152 may include. The gate structure 165 can over the channel area 166 in the transverse direction between the source and the drain of the transistor 162 be arranged. The source contact 163B , the drain contact 164B and the gate structure 165 may each contain a conductive material, such as one or more metals, or a doped polysilicon material. The conductive material of the gate structure 165 can face, the thin layer of semiconductor material 152 by one or more dielectric materials (eg, an oxide, a nitride, an oxynitride, etc.).

Eine oder mehrere flache Graben-Isolierstruktur/en 168 kann/können, wie in 7 gezeigt, in der dünnen Schicht aus Halbleitermaterial 152 nahe an den Transistoren 162 und durch sie hindurch ausgebildet sein. Die flachen Graben-Isolierstrukturen 168 können ein dielektrisches Material umfassen und dazu dienen, jeden Transistor 162 gegenüber anderen Transistoren oder anderen Vorrichtungsstrukturen der Halbleiterstruktur 160 elektrisch zu isolieren. Die flachen Graben-Isolierstrukturen 168 können in einem nichteinschränkenden Beispiel ein dielektrisches Material, wie beispielsweise ein Oxid, ein Nitrid, ein Oxinitrid usw., umfassen. Die flachen Graben-Isolierstrukturen 168 können vertikal ausgerichtet (d. h., in einer Richtung senkrecht zu den Hauptflächen der Halbleiterstruktur 160, wie beispielsweise die Oberfläche 134) sein, wobei die Kontaktloch-Aussparungen 112 und das Opfermaterial 132 darin enthalten sind. Das heißt, die Kontaktloch-Aussparungen 112 und das Opfermaterial 132 können zueinander so positioniert sein, dass eine gerade Linie wenigstens im Wesentlichen senkrecht zu den Hauptflächen der Halbleiterstruktur 160, wie beispielsweise der Oberfläche 134, gezogen werden kann, die durch eine flache Graben-Isolierstruktur 168 und ein Volumen aus Opfermaterial 132 in einer der Kontaktloch-Aussparungen 112 verläuft.One or more shallow trench isolation structures 168 can / can, as in 7 shown in the thin layer of semiconductor material 152 close to the transistors 162 and be formed through them. The shallow trench isolation structures 168 may comprise a dielectric material and serve to each transistor 162 over other transistors or other device structures of the semiconductor structure 160 electrically isolate. The shallow trench isolation structures 168 For example, in one non-limiting example, they may include a dielectric material such as an oxide, a nitride, an oxynitride, etc. The shallow trench isolation structures 168 may be vertically aligned (ie, in a direction perpendicular to the major surfaces of the semiconductor structure 160 , such as the surface 134 ), wherein the contact hole recesses 112 and the sacrificial material 132 contained therein. That is, the contact hole recesses 112 and the sacrificial material 132 may be positioned to one another such that a straight line is at least substantially perpendicular to the major surfaces of the semiconductor structure 160 , such as the surface 134 , which can be pulled through a shallow trench isolation structure 168 and a volume of sacrificial material 132 in one of the contact hole recesses 112 runs.

Wie unter Bezugnahme auf 8 zu sehen ist, kann eine gebondete, bearbeitete Halbleiterstruktur 170 ausgebildet werden, indem eine Schicht aus dielektrischem Material 172 (z. B. ein dielektrisches Material einer Zwischenschicht) über einer freiliegenden Oberfläche 169 der Halbleiterstruktur 160 in 7 aufgebracht wird, in und/oder an der der eine oder die mehreren Transistor/en 162 und die flachen Graben-Isolierstrukturen 168 ausgebildet worden sind, und erste Abschnitte 174 von Wafer-Durchkontaktierungen darin ausgebildet werden.As with reference to 8th can be seen, a bonded, processed semiconductor structure 170 be formed by a layer of dielectric material 172 (eg, an interlayer dielectric material) over an exposed surface 169 the semiconductor structure 160 in 7 is applied, in and / or at the one or more transistor (s) 162 and the shallow trench isolation structures 168 have been formed, and first sections 174 wafer vias are formed therein.

Die Schicht aus dielektrischem Material 172 kann auf der Oberfläche 169 ausgebildet oder darüber abgeschieden werden und kann eine durchschnittliche Dicke haben, die ausreicht, um die Gate-Struktur 165 des Transistors 162 abzudecken, wie dies in 8 dargestellt ist. Die Schicht aus dielektrischem Material 172 kann ein dielektrisches Material, wie beispielsweise ein Oxid, ein Nitrid, ein Oxinitrid usw., umfassen.The layer of dielectric material 172 can on the surface 169 may be formed or deposited over and may have an average thickness sufficient to the gate structure 165 of the transistor 162 cover, as in 8th is shown. The layer of dielectric material 172 may comprise a dielectric material such as an oxide, a nitride, an oxynitride, etc.

Erste Abschnitte 174 von Wafer-Durchkontaktierungen können, wie unter weiterer Bezugnahme auf 8 zu sehen in der Halbleiterstruktur 170 ausgebildet sein. Die ersten Abschnitte 174 von Wafer-Durchkontaktierungen können ein leitendes Material, wie beispielsweise ein oder mehrere Metall/e, dotiertes Polysilizium usw., umfassen. Die erste Abschnitte 174 von Wafer-Durchkontaktierungen können ausgebildet werden, indem Kontaktloch-Aussparungen 176 durch die Schicht aus dielektrischem Material 172, durch die flachen Graben-Isolierstrukturen 168 sowie durch etwaiges Bondmaterial 148 zu dem Opfermaterial 132 in den Kontaktloch-Aussparungen 112 in dem Material 102 ausgebildet werden. In einigen Ausführungsformen ist es möglich, dass sich die flachen Graben-Isolierstrukturen 168 nicht vollständig durch die dünne Schicht aus Halbleitermaterial 152 hindurch erstrecken, und die Kontaktloch-Aussparungen 176 können sich auch durch wenigstens einen Abschnitt der dünnen Schicht aus Halbleitermaterial 152 hindurch erstrecken. Die Kontaktloch-Aussparungen 176 können beispielsweise unter Verwendung eines Maskier- und Ätzprozesses ausgebildet werden. Eine Maskenschicht kann über der freiliegenden Hauptfläche 178 der Schicht aus dielektrischem Material 172 aufgebracht werden. Die Maskenschicht kann strukturiert werden, um Löcher oder Öffnungen, die durch die Maskenschicht hindurch verlaufen, an den Positionen auszubilden, an denen die Kontaktloch-Aussparungen 176 ausgebildet werden sollen. Die Öffnungen in der Maskenschicht können eine Querschnittsgröße und Form haben, die einer gewünschten Querschnittsgröße und Form der Kontaktloch-Aussparungen 176 entsprechen, die ausgebildet werden sollen. Die Halbleiterstruktur 170 kann dann mit einem oder mehreren Ätzstoffen behandelt werden, die die verschiedenen Materialien ätzen, durch die sich die Kontaktloch-Aussparungen 176 hindurch erstrecken sollen, ohne dass die Maskenschicht (nennenswert) geätzt wird. Beispielsweise können ein chemischer Nass-Ätzprozess oder ein Prozess des trockenen reaktiven Ionenätzens eingesetzt werden, um die Kontaktloch-Aussparungen 176 durch die Schicht aus dielektrischem Material 172, die flachen Graben-Isolierstrukturen 168 und etwaiges Bondmaterial 148 zu dem Opfermaterial 132 auszubilden.First sections 174 wafer vias may, as further referenced 8th seen in the semiconductor structure 170 be educated. The first sections 174 Wafer vias may include a conductive material, such as one or more metals, doped polysilicon, etc. The first sections 174 wafer vias may be formed by contact hole recesses 176 through the layer of dielectric material 172 , through the shallow trench isolation structures 168 as well as by any bonding material 148 to the sacrificial material 132 in the contact hole recesses 112 in the material 102 be formed. In some embodiments, it is possible that the shallow trench isolation structures 168 not completely through the thin layer of semiconductor material 152 extend through, and the contact hole recesses 176 may also pass through at least a portion of the thin layer of semiconductor material 152 extend through. The contact hole recesses 176 For example, they may be formed using a masking and etching process. A mask layer may be over the exposed major surface 178 the layer of dielectric material 172 be applied. The masking layer may be patterned to form holes or openings passing through the masking layer at the positions where the via-hole recesses 176 to be trained. The openings in the mask layer may have a cross-sectional size and shape have a desired cross-sectional size and shape of the contact hole recesses 176 correspond to be trained. The semiconductor structure 170 may then be treated with one or more etchants which etch the various materials through which the via holes are formed 176 should extend through, without the mask layer (appreciably) is etched. For example, a wet chemical etching process or a dry reactive ion etching process may be used to form the via holes 176 through the layer of dielectric material 172 , the shallow trench isolation structures 168 and any bonding material 148 to the sacrificial material 132 train.

In einigen Ausführungsformen können die Kontaktloch-Aussparungen 176 ein durchschnittliches Seitenverhältnis (d. h., ein Verhältnis der durchschnittlichen Höhe zu der durchschnittlichen Querschnittsabmessung) in einem Bereich haben, der von ungefähr 0,5 bis ungefähr 10,0 reicht.In some embodiments, the contact hole recesses 176 an average aspect ratio (ie, a ratio of the average height to the average cross-sectional dimension) in a range ranging from about 0.5 to about 10.0.

Nach Ausbilden der Kontaktloch-Aussparungen 176 kann leitendes Material in den Kontaktloch-Aussparungen 176 aufgebracht werden. Beispielsweise kann/können ein oder mehrere Metallmaterial/ien in den Kontaktloch-Aussparungen 176 über einen stromlosen Plattierungs-Prozess und/oder einen galvanischen Plattierungs-Prozess abgeschieden werden.After forming the contact hole recesses 176 can be conductive material in the contact hole recesses 176 be applied. For example, one or more metal materials may be in the via holes 176 be deposited via an electroless plating process and / or a galvanic plating process.

Die ersten Abschnitte 174 von Wafer-Durchkontaktierungen können wie die flachen Graben-Isolierstrukturen 168, durch die sie hindurchverlaufen, vertikal auf die Kontaktloch-Aussparungen 112 und das darin enthaltene Opfermaterial 132 ausgerichtet sein (d. h., entlang einer Richtung senkrecht zu den Hauptflächen der Halbleiterstruktur 170, wie beispielsweise der Oberfläche 134, ausgerichtet sein). Das heißt, die ersten Abschnitte 174 von Wafer-Durchkontaktierungen und das Opfermaterial 132 können zueinander so positioniert sein, dass eine gerade Linie wenigstens im Wesentlichen senkrecht zu den Hauptflächen der Halbleiterstruktur 170, wie beispielsweise der Fläche 134, gezogen werden kann, die durch einen ersten Abschnitt 174 einer Wafer-Durchkontaktierung und ein Volumen aus Opfermaterial 132 in einer der Kontaktloch-Aussparungen 112 hindurch verläuft.The first sections 174 wafer vias may be like the shallow trench isolation structures 168 through which they pass, vertically on the contact hole recesses 112 and the sacrificial material contained therein 132 be aligned (ie, along a direction perpendicular to the main surfaces of the semiconductor structure 170 , such as the surface 134 , be aligned). That is, the first sections 174 of wafer vias and the sacrificial material 132 may be positioned to one another such that a straight line is at least substantially perpendicular to the major surfaces of the semiconductor structure 170 , such as the area 134 that can be pulled through a first section 174 a wafer via and a volume of sacrificial material 132 in one of the contact hole recesses 112 passes through.

Nach Ausbilden der ersten Abschnitte 174 von Wafer-Durchkontaktierungen kann weitere Bearbeitung ausgeführt werden, um zusätzliche Vorrichtungsstrukturen, wie leitende Kontaktlöcher, Leitungen, Leiterbahnen und Kontaktstellen über der freiliegenden Hauptfläche 178 der Schicht aus dielektrischem Material 172 auszubilden. Diese Prozesse können die in der Technik als BEOL-Prozesse (back end of line processes) bekannten Vorgänge einschließen.After forming the first sections 174 wafer vias may be further processed to include additional device structures, such as conductive vias, leads, traces, and pads over the exposed major surface 178 the layer of dielectric material 172 train. These processes may include those known in the art as back end of line (BEOL) processes.

9 stellt beispielsweise eine gebondete und bearbeitete Halbleiterstruktur 180 dar, die durch Herstellung einer Vielzahl von Vorrichtungsstrukturen 182 in einem oder mehreren umgebenden dielektrischem Material 184 ausgebildet werden können. Die Vorrichtungsstrukturen 182 können leitende Kontaktlöcher, Leitungen, Leiterbahnen oder/und Kontaktstellen enthalten, die ein leitendes Material, wie beispielsweise ein oder mehrere Metall/e, oder dotiertes Polysilizium umfassen. Das eine oder die mehreren umgebende/n dielektrische/n Material/ien 184 kann/können ein Oxid, ein Nitrid, ein Oxinitrid usw., umfassen Die verschiedenen Vorrichtungsstrukturen 182 und das umgebende dielektrische Material 184 können unter Verwendung in der Technik bekannter Prozesse lithographisch (d. h., Schicht für Schicht) über der Hauptfläche 178 der Schicht aus dielektrischem Material 172 ausgebildet werden. 9 represents, for example, a bonded and processed semiconductor structure 180 by producing a variety of device structures 182 in one or more surrounding dielectric material 184 can be trained. The device structures 182 may include conductive vias, lines, traces, and / or pads comprising a conductive material, such as one or more metals, or doped polysilicon. The one or more surrounding dielectric materials 184 may include an oxide, a nitride, an oxynitride, etc. The various device structures 182 and the surrounding dielectric material 184 can lithographically (ie, layer by layer) over the major surface using processes known in the art 178 the layer of dielectric material 172 be formed.

Nach Ausbilden von Vorrichtungsstrukturen 182 über der Schicht aus dielektrischem Material 172, wie es oben im Zusammenhang mit 9 erläutert ist, kann ein Abschnitt des Materials 102 von der Halbleiterstruktur 180 entfernt werden, um das Opfermaterial 132 über das Material 102 freizulegen, wie dies bei der gebondeten und bearbeiteten Halbleiterstruktur 190 in 10 dargestellt ist. Der Abschnitt des Materials 102 kann von der freiliegenden Hauptfläche 103 (9) des Materials 102 an der der aktiven Fläche 186 gegenüberliegenden Seite der Halbleiterstruktur 180 entfernt werden. Der Abschnitt des Materials 102 kann in einem nichteinschränkenden Beispiel beispielsweise unter Verwendung eines chemischen Ätzprozesses, eines mechanischen Polier-Prozesses oder eines CMP-Prozesses entfernt werden. Wenn sich ein dielektrisches Material 122 zwischen dem Opfermaterial 132 und dem Material 102 befindet, wie dies in 9 dargestellt ist, kann ein Abschnitt des dielektrischen Materials 122 ebenfalls entfernt werden, um das Opfermaterial 132 zur Außenseite der Halbleiterstruktur 190 hin freizulegen, wie dies in 10 dargestellt ist.After forming device structures 182 over the layer of dielectric material 172 as related to above 9 is explained, a section of the material 102 from the semiconductor structure 180 be removed to the sacrificial material 132 about the material 102 exposed as in the bonded and processed semiconductor structure 190 in 10 is shown. The section of the material 102 can from the exposed main surface 103 ( 9 ) of the material 102 at the active area 186 opposite side of the semiconductor structure 180 be removed. The section of the material 102 can be removed in a non-limiting example, for example, using a chemical etching process, a mechanical polishing process, or a CMP process. When there is a dielectric material 122 between the sacrificial material 132 and the material 102 is located, as in 9 may be a portion of the dielectric material 122 also be removed to the sacrificial material 132 to the outside of the semiconductor structure 190 to expose, as in 10 is shown.

Optional kann die aktiven Fläche 186 der Halbleiterstruktur 180 in 9 an ein Trägersubstrat 192 gebondet werden, wie dies in 10 dargestellt ist, bevor das Material 102 zum Freilegen des Opfermaterials 132 entfernt wird, um so die Handhabung der Halbleiterstruktur beim Entfernen des Materials 102 zu erleichtern.Optionally, the active area 186 the semiconductor structure 180 in 9 to a carrier substrate 192 be bonded, like this in 10 is shown before the material 102 to expose the sacrificial material 132 is removed so as to handle the semiconductor structure when removing the material 102 to facilitate.

Nach dem Freilegen des Opfermaterials 132 zur Außenseite der Halbleiterstruktur 190, wie es in 10 dargestellt ist, kann das Opfermaterial 132 in den Kontaktloch-Aussparungen 112 entfernt werden, um die in 11 gezeigte gebondete und bearbeitete Halbleiterstruktur 200 auszubilden. In einem nichteinschränkenden Beispiel kann ein chemischer Nass-Ätzprozess eingesetzt werden, um das Opfermaterial 132 in den Kontaktloch-Aussparungen 112 zu entfernen. Es kann ein Ätzmittel zum Entfernen des Opfermaterials 132 eingesetzt werden, das das Opfermaterial 132 von der Halbleiterstruktur 200 mit einer höheren Geschwindigkeit als einer Geschwindigkeit ätzt (z. B. entfernt), mit der das Ätzmittel das dielektrische Material 122 und etwaiges Bondmaterial 148 entfernt. Das heißt, es kann ein Ätzmittel zum Entfernen des Opfermaterials 132 eingesetzt werden, das selektiv auf das Opfermaterial 132 (und optional auf das optional vorhandene dielektrische Material 122) und etwaiges Bondmaterial 148 wirkt. In Ausführungsformen, bei denen das Opfermaterial Polysilizium-Material umfasst, kann das Ätzmittel ein Gemisch aus Salpetersäure, Fluorwasserstoff-Säure und Wasser umfassen. In Ausführungsformen, bei denen das Opfermaterial 132 ein weiteres dielektrisches Material, wie beispielsweise Siliziumdioxid, umfasst, kann das Opfermaterial 132 unter Verwendung einer Ätzlösung, die Fluorwasserstoff-Säure umfasst, oder eines Plasma-Ätzprozesses (bei dem z. B. Ätzwirkung von Schwefelhexafluorid (SF6) genutzt wird), selektiv geätzt werden.After exposing the sacrificial material 132 to the outside of the semiconductor structure 190 as it is in 10 is shown, the sacrificial material 132 in the contact hole recesses 112 be removed to the in 11 shown bonded and processed semiconductor structure 200 train. In one As a non-limiting example, a wet chemical etching process may be used to prepare the sacrificial material 132 in the contact hole recesses 112 to remove. It may be an etchant for removing the sacrificial material 132 be used, which is the sacrificial material 132 from the semiconductor structure 200 etched (eg, removed) at a rate higher than a rate at which the etchant is the dielectric material 122 and any bonding material 148 away. That is, it may be an etchant for removing the sacrificial material 132 used selectively on the sacrificial material 132 (and optionally on the optional dielectric material 122 ) and any bonding material 148 acts. In embodiments where the sacrificial material comprises polysilicon material, the etchant may comprise a mixture of nitric acid, hydrofluoric acid, and water. In embodiments where the sacrificial material 132 another dielectric material, such as silicon dioxide, may include the sacrificial material 132 can be selectively etched using an etching solution comprising hydrofluoric acid or a plasma etching process (using, for example, etching of sulfur hexafluoride (SF 6 )).

Leitendes Material kann, wie in 12 gezeigt, in den Kontaktloch-Aussparungen 112 (in dem durch die Entfernung des Opfermaterials 132 freigewordenen Raum) aufgebracht werden, um zweite Abschnitte 212 von Wafer-Durchkontaktierungen 214 auszubilden. Die Wafer-Durchkontaktierungen 214 enthalten die ersten Abschnitte 174 und die zweiten Abschnitte 212. Direkter physischer und elektrischer Kontakt kann zwischen den ersten Abschnitten 174 und den zweiten Abschnitten 212 der Wafer-Durchkontaktierungen 214 hergestellt werden.Conductive material can, as in 12 shown in the contact hole recesses 112 (in which by the removal of the sacrificial material 132 vacated space) are applied to second sections 212 of wafer vias 214 train. The wafer vias 214 contain the first sections 174 and the second sections 212 , Direct physical and electrical contact can be made between the first sections 174 and the second sections 212 the wafer vias 214 getting produced.

Das leitende Material der zweiten Abschnitte 212 der Wafer-Durchkontaktierungen 214 kann ein leitendes Material, wie beispielsweise ein oder mehrere Metall/e, dotiertes Polysilizium usw., umfassen. In einigen Ausführungsformen kann das leitende Material der zweiten Abschnitte 212 der Wafer-Durchkontaktierungen 214 wenigstens im Wesentlichen identisch mit dem leitenden Material der ersten Abschnitte 174 der Wafer-Durchkontaktierungen 214 sein. Das leitende Material kann in den Kontaktloch-Aussparungen 112, 176 vorhanden sein. Beispielsweise können ein oder mehrere Metallmaterial/ien in den Kontaktloch-Aussparungen 176 unter Verwendung eines stromlosen Plattierprozesses und/oder eines galvanischen Plattierprozesses abgeschieden werden.The conductive material of the second sections 212 the wafer vias 214 may comprise a conductive material, such as one or more metals, doped polysilicon, etc. In some embodiments, the conductive material of the second portions 212 the wafer vias 214 at least substantially identical to the conductive material of the first sections 174 the wafer vias 214 be. The conductive material may be in the contact hole recesses 112 . 176 to be available. For example, one or more metal materials may be in the via holes 176 be deposited using an electroless plating process and / or a galvanic plating process.

Die Wafer-Durchkontaktierungen 214 enthalten die ersten Abschnitte 174 und die zweiten Abschnitte 212. Da die ersten Abschnitte 174 und die zweiten Abschnitte 212 in separaten Prozessen zu verschiedenen aufeinanderfolgenden Zeiten bei der Herstellung der Halbleiterstruktur 210 ausgebildet werden, kann in einigen Ausführungsformen der Erfindung eine einzelne erkennbare Grenze 216 in der Mikrostruktur zwischen den ersten Abschnitten 174 und den zweiten Abschnitten 212 der Wafer-Durchkontaktierungen 214 vorhanden sein. Die erkennbare Grenze 216 kann sich nahe an einer Hauptfläche der dünnen Schicht des Halbleitermaterials 152 befinden. Beispielsweise können die erkennbare Grenze 216 und das Bondmaterial 148, das sich an einer Hauptfläche der dünnen Schicht aus Halbleitermaterial 152 befindet, koplanar sein. Des Weiteren kann die Halbleiterstruktur 210 parallel zu der aktiven Fläche 186 ausgerichtet sein, wie dies in 12 dargestellt ist.The wafer vias 214 contain the first sections 174 and the second sections 212 , Because the first sections 174 and the second sections 212 in separate processes at different consecutive times in the fabrication of the semiconductor structure 210 may be formed in some embodiments of the invention, a single detectable limit 216 in the microstructure between the first sections 174 and the second sections 212 the wafer vias 214 to be available. The recognizable limit 216 may be close to a major surface of the thin layer of semiconductor material 152 are located. For example, the recognizable limit 216 and the bonding material 148 that attaches to a major surface of the thin layer of semiconductor material 152 is to be coplanar. Furthermore, the semiconductor structure 210 parallel to the active area 186 be aligned, as in 12 is shown.

In einigen Ausführungsformen können die Wafer-Durchkontaktierungen 214 ein durchschnittliches Seitenverhältnis (d. h., das Verhältnis der durchschnittlichen Höhe zu der durchschnittlichen Querschnittsabmessung) in einem Bereich haben, der von ungefähr 0,5 bis ungefähr 10,0 reicht.In some embodiments, the wafer vias may 214 an average aspect ratio (ie, the ratio of the average height to the average cross-sectional dimension) in a range ranging from about 0.5 to about 10.0.

Nach dem Ausbilden der Wafer-Durchkontaktierungen, wie es oben beschrieben ist, kann das Trägersubstrat 192 von der gebondeten und bearbeiteten Halbleiterstruktur 210 in 12 entfernt werden, um die gebondete und bearbeitete Halbleiterstruktur 220 in 13 auszubilden. Leitende Kontakthöcker 222 können, wie in 13 gezeigt, strukturell und elektrisch mit den freiliegenden Enden der zweiten Abschnitte 212 der Wafer-Durchkontaktierungen 214 an der hinteren Fläche 224 der Halbleiterstruktur 220 der aktiven Fläche 186 gegenüberliegend verbunden sein. Die leitenden Kontakthöcker 222 können ein leitendes Material, wie beispielsweise eine leitende Lötlegierung, umfassen.After forming the wafer vias, as described above, the carrier substrate may be 192 from the bonded and processed semiconductor structure 210 in 12 be removed to the bonded and processed semiconductor structure 220 in 13 train. Leading contact bumps 222 can, as in 13 shown structurally and electrically with the exposed ends of the second sections 212 the wafer vias 214 on the back surface 224 the semiconductor structure 220 the active area 186 be connected opposite. The conductive bumps 222 may include a conductive material, such as a conductive solder alloy.

Die in 12 gezeigte Halbleiterstruktur 220 kann, wenn erforderlich oder gewünscht, weiter verarbeitet und gekapselt werden. Die Halbleiterstruktur 220 kann anschließend unter Verwendung der leitenden Kontakthöcker 222 strukturell und elektrisch mit einer weiteren Struktur, wie beispielsweise einer Leiterplatte, einer weiteren Halbleiterstruktur (z. B. einem weiteren Chip oder Wafer) usw. verbunden werden. In weiteren Ausführungsformen kann die Halbleiterstruktur 220 unter Verwendung anderer Vorrichtungen und Methoden, wie sie in der Technik bekannt sind, beispielsweise unter Einsatz von Leitern, anisotropem leitenden Film usw., strukturell und elektrisch mit einer anderen Struktur verbunden werden.In the 12 shown semiconductor structure 220 can be further processed and encapsulated if required or desired. The semiconductor structure 220 can then be done using the conductive bumps 222 structurally and electrically connected to another structure, such as a printed circuit board, another semiconductor structure (eg, another chip or wafer), etc. In further embodiments, the semiconductor structure 220 using other devices and methods known in the art, for example, using conductors, anisotropic conductive film, etc. structurally and electrically connected to another structure.

In einigen Ausführungsformen ist es, wie unter erneuter Bezugnahme auf 10 zu sehen ist, möglicherweise relativ schwierig, das Opfermaterial 132 in den Kontaktloch-Aussparungen 112 selektiv zu ätzen, ohne anderes Material der Halbleiterstruktur 190 zu ätzen. In diesen Ausführungsformen kann es wünschenswert sein, andere Materialien der Halbleiterstruktur 190 zu schützen, bevor das Opfermaterial 132, wie oben beschrieben, geätzt wird.In some embodiments, as referenced above 10 can be seen, possibly relatively difficult, the sacrificial material 132 in the contact hole recesses 112 etch selectively, without other material of the semiconductor structure 190 to etch. In these embodiments, it may be desirable to use other materials Semiconductor structure 190 to protect before the sacrificial material 132 etched as described above.

14 beispielsweise stellt eine Halbleiterstruktur 230 dar, die ausgebildet werden kann, indem eine Maskenschicht 232 über den Flächen der Halbleiterstruktur 190 in 10 so abgeschieden wird, dass sie wenigstens im Wesentlichen alle freiliegenden Flächen der Halbleiterstruktur 230 abdeckt, wobei möglicherweise einige Flächen des Trägersubstrats 192 ausgenommen sind. Die Maskenschicht 232 kann ein, keramisches Material, wie beispielsweise ein Oxid (z. B. Siliziumdioxid (SiO2) oder Aluminiumoxid (Al2O3)), ein Nitrid (z. B. Siliziumnitrid (Si3N4) oder Bornitrid (BN)) oder ein Oxinitrid, umfassen. 14 for example, represents a semiconductor structure 230 which can be formed by a mask layer 232 over the surfaces of the semiconductor structure 190 in 10 is deposited such that it at least substantially all exposed surfaces of the semiconductor structure 230 covers, possibly some surfaces of the carrier substrate 192 with exception of. The mask layer 232 may be a ceramic material such as an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), a nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)) or an oxynitride.

Die Maskenschicht 232 kann, wie in 15 gezeigt, strukturiert werden, um Öffnungen 242 auszubilden, die sich durch die Maskenschicht 232 hindurch erstrecken, so dass die gebondete und bearbeitete Halbleiterstruktur 240 in 15 entsteht. Ein photolithographischer Maskier- und Ätzprozess, wie er in der Technik bekannt ist, kann eingesetzt werden, um die Öffnungen 242 durch die Maskenschicht 232 hindurch auszubilden. Die Öffnungen 242 können so bemessen, geformt und angeordnet sein, dass das Opfermaterial 132 in den Kontaktloch-Aussparungen 112 über die Öffnungen 242 freiliegt. Die Halbleiterstruktur 240 kann dann einem Nass- oder Trocken-Ätzprozess unter Verwendung eines Ätzmittels unterzogen werden, das relativ zu dem Material der Maskenschicht 232 selektiv auf das Opfermaterial 132 wirkt. Durch diesen Ätzprozess wird das Opfermaterial 132 in den Kontaktloch-Aussparungen 112 entfernt, so dass die Halbleiterstruktur 250 in 16 entsteht. Die Maskenschicht 232 kann dann von der Halbleiterstruktur 250 in 16 entfernt werden, so dass eine Halbleiterstruktur entsteht, die wenigstens im Wesentlichen identisch mit der Halbleiterstruktur 200 in 11 ist.The mask layer 232 can, as in 15 shown to be structured to openings 242 Trained through the mask layer 232 extend therethrough so that the bonded and processed semiconductor structure 240 in 15 arises. A photolithographic masking and etching process, as known in the art, may be employed to surround the apertures 242 through the mask layer 232 through train. The openings 242 can be sized, shaped and arranged so that the sacrificial material 132 in the contact hole recesses 112 over the openings 242 exposed. The semiconductor structure 240 can then be subjected to a wet or dry etching process using an etchant relative to the material of the mask layer 232 selectively on the sacrificial material 132 acts. This etching process becomes the sacrificial material 132 in the contact hole recesses 112 removed, leaving the semiconductor structure 250 in 16 arises. The mask layer 232 can then from the semiconductor structure 250 in 16 are removed, so that a semiconductor structure is formed, which is at least substantially identical to the semiconductor structure 200 in 11 is.

Bei zusätzlichen Verfahren kann, nachdem das Material 102 verdünnt worden ist, wie dies bereits im Zusammenhang mit 9 und 10 erläutert wurde, das Material 102 relativ zu dem Opfermaterial 132 und/oder dem optionalen dielektrischem Material 122 vertieft werden, um die Halbleiterstruktur 260 in 17 auszubilden. Das Material 102 kann bei einem nichteinschränkenden Beispiel relativ zu dem Opfermaterial 132 und/oder dem optionalen dielektrischen Material 122 um ungefähr 2000 Ångström vertieft werden. Nach dem Ausbilden der Halbleiterstruktur 260 in 17 kann eine Maskenschicht 272 über der Halbleiterstruktur 260 abgeschieden werden, um die Halbleiterstruktur 270 in 18 auszubilden. Die Maskenschicht 272 kann ein keramisches Material, wie beispielsweise ein Oxid (z. B. Siliziumdioxid (SiO2) oder Aluminiumoxid (Al2O3)), ein Nitrid (z. B. Siliziumnitrid (Si3N4) oder Bornitrid (BN)) oder ein Oxinitrid, umfassen. Die Halbleiterstruktur 270 kann, wie in 18 gezeigt, eine Hauptfläche 274 an einer Seite derselben enthalten, die dem Trägersubstrat 192 gegenüberliegt.For additional procedures, after the material 102 has been diluted, as already related to 9 and 10 was explained, the material 102 relative to the sacrificial material 132 and / or the optional dielectric material 122 be deepened to the semiconductor structure 260 in 17 train. The material 102 in one non-limiting example relative to the sacrificial material 132 and / or the optional dielectric material 122 be deepened by about 2000 angstroms. After forming the semiconductor structure 260 in 17 can be a mask layer 272 over the semiconductor structure 260 are deposited to the semiconductor structure 270 in 18 train. The mask layer 272 For example, a ceramic material such as an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), a nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)), or an oxynitride. The semiconductor structure 270 can, as in 18 shown a main surface 274 on one side thereof, which are the carrier substrate 192 opposite.

Die Hauptfläche 274 der Halbleiterstruktur 270 in 18 kann einem Planarisierungsprozess, wie beispielsweise einem CMP-Prozess, unterzogen werden, um die Abschnitte der Maskenschicht 272 (sowie Abschnitte von etwaigem dielektrischen Material 122) über den Volumen von Opfermaterial 132 aus den Kontaktloch-Aussparungen 112 zu entfernen und so die gebondete und bearbeitete Halbleiterstruktur 280 in 19 auszubilden. Das Opfermaterial 132 kann nach dem Planarisieren der Hauptfläche 274 (18) durch die Maskenschicht 272 hindurch freiliegen. Nach dem Freilegen des Opfermaterials 132 kann die Halbleiterstruktur 280 anschließend einem Nass- oder Trocken-Ätzprozess unter Verwendung eines Ätzmittels unterzogen werden, das relativ zu dem Material der Maskenschicht 272 selektiv auf das Opfermaterial 132 wirkt. Durch diesen Ätzprozess wird das Opfermaterial 132 aus den Kontaktloch-Aussparungen 112 entfernt, so dass die gebondete und bearbeitete Halbleiterstruktur 290 in 20 entsteht. Die Maskenschicht 272 kann dann von der Halbleiterstruktur 290 in 20 entfernt werden, um eine Halbleiterstruktur auszubilden, die wenigstens im Wesentlichen identisch mit der Halbleiterstruktur 200 in 11 ist, die dann, wie bereits beschrieben, weiter bearbeitet werden kann.The main area 274 the semiconductor structure 270 in 18 may be subjected to a planarization process, such as a CMP process, around the sections of the mask layer 272 (As well as sections of any dielectric material 122 ) about the volume of sacrificial material 132 from the contact hole recesses 112 to remove and so the bonded and processed semiconductor structure 280 in 19 train. The sacrificial material 132 can after planarizing the main surface 274 ( 18 ) through the mask layer 272 through. After exposing the sacrificial material 132 can the semiconductor structure 280 subsequently subjected to a wet or dry etching process using an etchant relative to the material of the mask layer 272 selectively on the sacrificial material 132 acts. This etching process becomes the sacrificial material 132 from the contact hole recesses 112 removed, leaving the bonded and processed semiconductor structure 290 in 20 arises. The mask layer 272 can then from the semiconductor structure 290 in 20 are removed to form a semiconductor structure that is at least substantially identical to the semiconductor structure 200 in 11 is, which then, as already described, can be further processed.

Wenn Wafer-Durchkontaktierungen in einem mehrstufigen Prozess (z. B. einem zweistufigen Prozess) ausgebildet werden, wie dies oben im Zusammenhang mit den Wafer-Durchkontaktierungen 214 beschrieben ist, kann die Ausbeute ordnungsgemäß funktionierender Halbleiterstrukturen bei der Herstellung verbessert werden, da die Seitenverhältnisse der verschiedenen Abschnitte der Wafer-Durchkontaktierungen kleiner sind als die Seitenverhältnisse der gesamten Wafer-Durchkontaktierungen, wodurch sich möglicherweise die Kontaktloch-Aussparungen leichter ätzen lassen, in denen die verschiedenen Abschnitte der Wafer-Durchkontaktierungen ausgebildet werden, bessere Abdeckung isolierender dielektrischer Materialien über freiliegenden Flächen in den Kontaktloch-Aussparungen sowie besseres Plattieren von leitendem Material in den Kontaktloch-Aussparungen zum Ausbilden der verschiedenen Abschnitte der Wafer-Durchkontaktierungen erreicht werden. Des Weiteren kann bei der Herstellung von Transistoren, wie den hier beschriebenen Transistoren 162, die Halbleiterstruktur Temperaturen von über 400°C ausgesetzt werden. Wenn bei der Bearbeitung der Halbleiterstruktur bei derart hohen Temperaturen sich ein leitendes Material in Kontaktloch-Aussparungen befinden würde, könnten die Metallatome möglicherweise in andere Bereiche der Halbleiterstruktur diffundieren, wobei diese Diffusion die Funktion der Halbleiterstruktur nachteilig beeinflussen könnte. Des Weiteren könnte fehlende Übereinstimmung zwischen dem Wärmeausdehnungskoeffizienten dieses Metallmaterials und den umgebenden dielektrischen und Halbleiter-Materialien zu strukturellen Schäden an der Halbleiterstruktur führen. So kann, wenn ein Opfermaterial in Kontaktloch-Aussparungen in einer Halbleiterstruktur aufgebracht wird, bevor die Transistoren hergestellt werden, und das Opfermaterial nach dem Herstellen der Transistoren durch ein anderes leitendes Material ersetzt wird, derartiger struktureller Schaden vermieden werden oder die Wahrscheinlichkeit verringert werden, dass derartiger struktureller Schaden auftritt.When wafer vias are formed in a multi-stage process (eg, a two-stage process), as above, in the context of the wafer vias 214 described above, since the aspect ratios of the various portions of the wafer vias are smaller than the aspect ratios of the entire wafer vias, it may be possible to improve the yield of properly functioning semiconductor structures, thereby potentially etching the via holes in which the vias different coverage of the wafer vias, better coverage of insulating dielectric materials over exposed areas in the via holes, and better plating of conductive material in the via holes for forming the various portions of the wafer vias. Furthermore, in the fabrication of transistors, such as the transistors described herein 162 , the semiconductor structure to temperatures of over 400 ° C are exposed. If, during processing of the semiconductor structure at such high temperatures, a conductive material would be in via holes, the metal atoms could possibly diffuse into other regions of the semiconductor structure, which diffusion would diffuse into contact hole recesses Function of the semiconductor structure could adversely affect. Furthermore, mismatching between the coefficient of thermal expansion of this metal material and the surrounding dielectric and semiconductor materials could lead to structural damage to the semiconductor structure. Thus, if a sacrificial material is deposited in via holes in a semiconductor structure before the transistors are fabricated and the sacrificial material is replaced with another conductive material after the transistors have been fabricated, such structural damage can be avoided or the likelihood that such structural damage occurs.

Zusätzliche, nichteinschränkende Ausführungsformen der Erfindung werden im Folgenden beschrieben:

  • Ausführungsform 1: Ein Verfahren zum Herstellen einer Halbleiterstruktur, das umfasst: Aufbringen eines Opfermaterials in wenigstens einer Kontaktloch-Aussparung, die sich teilweise durch eine Halbleiterstruktur hindurch erstreckt; Ausbilden eines ersten Abschnitts wenigstens einer Wafer-Durchkontaktierung in der Halbleiterstruktur und Ausrichten des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung auf die wenigstens eine Kontaktloch-Aussparung; und Austauschen des Opfermaterials in der wenigstens einen Kontaktloch-Aussparung gegen leitendes Material und Ausbilden eines zweiten Abschnitts der wenigstens einen Wafer-Durchkontaktierung in elektrischem Kontakt mit dem ersten Abschnitt der wenigstens einen Wafer-Durchkontaktierung.
  • Ausführungsform 2: Das Verfahren nach Ausführungsform 1, wobei Ausbilden eines ersten Abschnitts wenigstens einer Wafer-Durchkontaktierung in der Halbleiterstruktur des Weiteren Führen des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung durch ein dielektrisches Material umfasst.
  • Ausführungsform 3: Das Verfahren nach Anspruch 1, wobei Aufbringen des Opfermaterials in der wenigstens einen Kontaktloch-Aussparung, die sich teilweise durch die Halbleiterstruktur hindurch erstreckt, umfasst: Ausbilden wenigstens einer nicht-durchgehenden Kontaktloch-Aussparung, die sich teilweise durch die Halbleiterstruktur von einer Oberfläche derselben her hindurch erstreckt; und Aufbringen von Polysilizium-Material, eines III-V-Halbleitermaterials oder/und eines dielektrischen Materials in der wenigstens einen nicht-durchgehenden Kontaktloch-Aussparung.
  • Ausführungsform 4: Das Verfahren nach Anspruch 3, wobei Aufbringen von Polysilizium-Material, eines III-V-Halbleitermaterials oder/und eines dielektrischen Materials in der wenigstens einen nicht-durchgehenden Kontaktloch-Aussparung Aufbringen von Polysilizium-Material in der wenigstens einen nicht-durchgehenden Kontaktloch-Aussparung umfasst.
  • Ausführungsform 5: Das Verfahren nach Ausführungsform 3, das des Weiteren Ausbilden der wenigstens einen Kontaktloch-Aussparung durch massives Silizium-Material hindurch umfasst.
  • Ausführungsform 6: Das Verfahren nach Ausführungsform 4, das des Weiteren Aufbringen eines dielektrischen Materials zwischen dem massiven Silizium-Material und dem Polysilizium-Material in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung umfasst.
  • Ausführungsform 7: Das Verfahren nach Ausführungsform 3, das des Weiteren Aufbringen einer dünnen Schicht aus Halbleitermaterial über einer Oberfläche der Halbleiterstruktur nach dem Aufbringen des Polysilizium-Materials in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung umfasst.
  • Ausführungsform 8: Das Verfahren nach Ausführungsform 7, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst: Implantieren von Ionen in ein Substrat, das Halbleitermaterial umfasst, um eine Bruchfläche in dem Substrat auszubilden; Bonden des Substrats an die Oberfläche des Halbleiter-Substrats sowie Brechen des Substrats entlang der Bruchfläche und Trennen der dünnen Schicht aus Halbleitermaterial von einem restlichen Abschnitt des Substrats, wobei die dünne Schicht aus Halbleitermaterial an der Oberfläche der Halbleiterstruktur gebondet bleibt.
  • Ausführungsform 9: Das Verfahren nach Ausführungsform 8, wobei Bonden des Substrats an die Oberfläche der Halbleiterstruktur direktes Bonden des Substrats an die Oberfläche der Halbleiterstruktur umfasst.
  • Ausführungsform 10: Das Verfahren nach Ausführungsform 7, das des Weiteren Ausbilden wenigstens eines Abschnitts einer Vorrichtungsstruktur unter Verwendung der dünnen Schicht aus Halbleitermaterial umfasst.
  • Ausführungsform 11: Das Verfahren nach Ausführungsform 10, wobei Ausbilden des wenigstens einen Abschnitts der Vorrichtungsstruktur unter Verwendung der dünnen Schicht aus Halbleitermaterial Ausbilden wenigstens eines Abschnitts eines Transistors unter Verwendung der dünnen Schicht aus Halbleitermaterial umfasst.
  • Ausführungsform 12: Das Verfahren nach Ausführungsform 7, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die dünne Schicht so ausgebildet wird, dass sie eine durchschnittliche Dicke von ungefähr 300 nm oder weniger hat.
  • Ausführungsform 13: Das Verfahren nach Ausführungsform 12, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die dünne Schicht so ausgebildet wird, dass sie eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.
  • Ausführungsform 14: Das Verfahren nach einer der Ausführungsformen 1 bis 13, das des Weiteren umfasst, dass die Halbleiterstruktur nach Ausbilden des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung und vor Austauschen des Opfermaterials gegen das leitende Material verdünnt wird und der zweite Abschnitt der wenigstens einen Wafer-Durchkontaktierung ausgebildet wird.
  • Ausführungsform 15: Das Verfahren nach Ausführungsform 14, wobei Verdünnen der Halbleiterstruktur Freilegen des Opfermaterials zu einer Außenseite der Halbleiterstruktur hin umfasst.
  • Ausführungsform 16: Das Verfahren nach Ausführungsform 14, das des Weiteren umfasst: Anbringen der Halbleiterstruktur an einem Trägersubstrat vor dem Verdünnen der Halbleiterstruktur; und Entfernen des Trägersubstrats von der Halbleiterstruktur nach Verdünnen der Halbleiterstruktur.
  • Ausführungsform 17: Ein Verfahren zum Herstellen einer Halbleiterstruktur, das umfasst: Aufbringen eines Opfermaterials in wenigstens einer Kontaktloch-Aussparung, die sich in eine Oberfläche einer Halbleiterstruktur hinein erstreckt; Aufbringen einer Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur; Herstellen wenigstens einer Vorrichtungsstruktur unter Verwendung der Schicht aus Halbleitermaterial; Ausbilden eines ersten Abschnitts wenigstens einer Wafer-Durchkontaktierung, die sich durch die Schicht aus Halbleitermaterial hindurch erstreckt; Verdünnen der Halbleiterstruktur von einer Seite derselben her, die der Schicht aus Halbleitermaterial gegenüberliegt; Entfernen des Opfermaterials aus der wenigstens einen Kontaktloch-Aussparung in der Halbleiterstruktur und Freilegen des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung in der Kontaktloch-Aussparung; sowie Aufbringen von leitendem Material in der Kontaktloch-Aussparung und Ausbilden eines zweiten Abschnitts der wenigstens einen Wafer-Durchkontaktierung.
  • Ausführungsform 18: Das Verfahren nach Ausführungsform 17, wobei Aufbringen des Opfermaterials in der wenigstens einen Kontaktloch-Aussparung Aufbringen von Polysilizium-Material in der wenigstens einen Kontaktloch-Aussparung umfasst.
  • Ausführungsform 19: Das Verfahren nach Ausführungsform 17 oder Ausführungsform 18, das des Weiteren Aufbringen eines dielektrischen Materials zwischen dem Opfermaterial und der Halbleiterstruktur in der wenigstens einen Kontaktloch-Aussparung umfasst.
  • Ausführungsform 20: Das Verfahren nach einer der Ausführungsformen 17 bis 19, wobei Aufbringen der Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die Schicht aus Halbleitermaterial von einem Substrat auf die Halbleiterstruktur übertragen wird.
  • Ausführungsform 21: Das Verfahren nach Ausführungsform 20, wobei Übertragen der Schicht aus Halbleitermaterial von einem Substrat auf die Halbleiterstruktur umfasst: Implantieren von Ionen in das Substrat; Bonden des Substrats an die Halbleiterstruktur; und Brechen des Substrats entlang einer durch die implantierten Ionen in dem Substrat gebildeten Ebene und Trennen der Schicht aus Halbleitermaterial von einem verbleibenden Abschnitt des Substrats.
  • Ausführungsform 22: Das Verfahren nach einer der Ausführungsformen 17 bis 21, wobei Aufbringen der Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die Schicht aus Halbleitermaterial so ausgewählt wird, dass sie eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.
  • Ausführungsform 23: Das Verfahren nach einer der Ausführungsformen 17 bis 22, das des Weiteren umfasst: Anbringen der Halbleiterstruktur an einem Trägersubstrat vor Verdünnen der Halbleiterstruktur; und Entfernen des Trägersubstrats von der Halbleiterstruktur nach Verdünnen der Halbleiterstruktur.
  • Ausführungsform 24: Das Verfahren nach einer der Ausführungsformen 17 bis 23, das des Weiteren Ausbilden eines leitenden Kontakthöckers an der wenigstens einen Wafer-Durchkontaktierung umfasst.
  • Ausführungsform 25: Eine Halbleiterstruktur, die umfasst: ein Opfermaterial in wenigstens einer Aussparung, die sich von einer Oberfläche einer Halbleiterstruktur aus teilweise durch die Halbleiterstruktur hindurch erstreckt; ein Halbleiter-Material, das über der Oberfläche der Halbleiterstruktur angeordnet ist; wenigstens eine Vorrichtungsstruktur, die wenigstens einen Abschnitt des Halbleitermaterials umfasst, das über der Halbleiterstruktur angeordnet ist; einen ersten Abschnitt wenigstens einer Wafer-Durchkontaktierung, die sich durch das Halbleitermaterial hindurch erstreckt, das über der Oberfläche der Halbleiterstruktur angeordnet ist, wobei der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung auf die wenigstens eine Kontaktloch-Aussparung ausgerichtet ist.
  • Ausführungsform 26: Die Halbleiterstruktur nach Ausführungsform 25, die des Weiteren ein Volumen aus dielektrischem Material umfasst, das wenigstens teilweise von dem über der Oberfläche der Halbleiterstruktur angeordneten Halbleitermaterial umgeben ist, wobei sich der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung durch das Volumen aus dielektrischen Material hindurch erstreckt und direkt mit ihm in Kontakt ist.
  • Ausführungsform 27: Die Halbleiterstruktur nach Ausführungsform 26, wobei das Volumen aus dielektrischem Material eine flache Graben-Isolierstruktur umfasst.
  • Ausführungsform 28: Die Halbleiterstruktur nach einer der Ausführungsformen 25 bis 27, wobei das Opfermaterial Polysilizium-Material umfasst.
  • Ausführungsform 29: Die Halbleiterstruktur nach einer der Ausführungsformen 25 bis 28, wobei die wenigstens eine Vorrichtungsstruktur wenigstens einen Transistor umfasst.
  • Ausführungsform 30: Die Halbleiterstruktur nach einer der Ausführungsformen 25 bis 29, wobei das Opfermaterial zu einer Außenseite der Halbleiterstruktur hin an einer Seite desselben freiliegt, die dem über der Oberfläche der Halbleiterstruktur angeordneten Halbleitermaterial gegenüberliegt.
  • Ausführungsform 31: Die Halbleiterstruktur nach einer der Ausführungsformen 25 bis 30, die des Weiteren ein Trägersubstrat umfasst, das an der Halbleiterstruktur angebracht ist.
  • Ausführungsform 32: Die Halbleiterstruktur nach einer der Ausführungsformen 25 bis 31, wobei das über der Oberfläche der Halbleiterstruktur angeordnete Halbleitermaterial eine Schicht des Halbleitermaterials umfasst, die eine durchschnittliche Dicke von ungefähr 300 nm oder weniger hat.
  • Ausführungsform 33: Halbleiterstruktur nach Ausführungsform 32, wobei die Schicht des Halbleitermaterials eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.
  • Ausführungsform 34: Eine Halbleiterstruktur, die umfasst: eine aktive Fläche; eine hintere Fläche; wenigstens einen Transistor, der sich in der Halbleiterstruktur zwischen der aktiven Fläche und der hinteren Fläche befindet; wenigstens eine Wafer-Durchkontaktierung, die sich von der aktiven Fläche oder/und der hinteren Fläche wenigstens teilweise durch die Halbleiterstruktur hindurch erstreckt, wobei die wenigstens eine Wafer-Durchkontaktierung umfasst: einen ersten Abschnitt; einen zweiten Abschnitt; sowie eine erkennbare Grenze zwischen einer Mikrostruktur des ersten Abschnitts und einer Mikrostruktur des zweiten Abschnitts.
  • Ausführungsform 35: Die Halbleiterstruktur nach Ausführungsform 34, wobei der wenigstens eine Transistor wenigstens einen Abschnitt einer dünnen Schicht aus Halbleitermaterial umfasst.
  • Ausführungsform 36: Die Halbleiterstruktur nach Ausführungsform 35, wobei die dünne Schicht aus Halbleitermaterial eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.
  • Ausführungsform 37: Die Halbleiterstruktur nach Ausführungsform 35 oder Ausführungsform 36, wobei sich die erkennbare Grenze nahe an einer Hauptfläche der dünnen Schicht aus Halbleitermaterial befindet.
  • Ausführungsform 38: die Die Halbleiterstruktur nach einer der Ausführungsformen 34 bis 37, wobei die erkennbare Grenze parallel zu der aktiven Fläche oder/und der hinteren Fläche ausgerichtet ist.
Additional, non-limiting embodiments of the invention are described below:
  • Embodiment 1: A method of fabricating a semiconductor structure, comprising: depositing a sacrificial material in at least one contact hole recess extending partially through a semiconductor structure; Forming a first portion of at least one wafer via in the semiconductor structure and aligning the first portion of the at least one wafer via on the at least one via hole; and replacing the sacrificial material in the at least one via hole recess with conductive material and forming a second portion of the at least one wafer via in electrical contact with the first portion of the at least one wafer via.
  • Embodiment 2: The method of embodiment 1, wherein forming a first portion of at least one wafer via in the semiconductor structure further comprises passing the first portion of the at least one wafer via through a dielectric material.
  • Embodiment 3: The method of claim 1, wherein depositing the sacrificial material in the at least one via hole, which extends partially through the semiconductor structure, comprises: forming at least one non-continuous via hole recess extending partially through the semiconductor structure of one Surface extending therethrough; and depositing polysilicon material, a III-V semiconductor material and / or a dielectric material in the at least one non-via via hole.
  • Embodiment 4: The method of claim 3, wherein depositing polysilicon material, a III-V semiconductor material, and / or a dielectric material in the at least one non-via via hole comprises depositing polysilicon material in the at least one non-continuous one Contact hole recess included.
  • Embodiment 5: The method of Embodiment 3, further comprising forming the at least one via hole through solid silicon material.
  • Embodiment 6: The method of embodiment 4, further comprising depositing a dielectric material between the bulk silicon material and the polysilicon material in the at least one non-via via hole.
  • Embodiment 7: The method of embodiment 3, further comprising depositing a thin layer of semiconductor material over a surface of the semiconductor structure after depositing the polysilicon material in the at least one non-via via hole.
  • Embodiment 8: The method of embodiment 7, wherein depositing the thin layer of semiconductor material over the surface of the semiconductor structure comprises: implanting ions into a substrate comprising semiconductor material to form a fracture surface in the substrate; Bonding the substrate to the surface of the semiconductor substrate and breaking the substrate along the fracture surface and separating the thin layer of semiconductor material from a remainder portion of the substrate, wherein the thin layer of semiconductor material remains bonded to the surface of the semiconductor structure.
  • Embodiment 9: The method of embodiment 8, wherein bonding the substrate to the surface of the semiconductor structure comprises directly bonding the substrate to the surface of the semiconductor structure.
  • Embodiment 10: The method of Embodiment 7, further comprising forming at least a portion of a device structure using the thin layer of semiconductor material.
  • Embodiment 11: The method of embodiment 10, wherein forming the at least one portion of the device structure using the thin layer of semiconductor material comprises forming at least a portion of a transistor using the thin layer of semiconductor material.
  • Embodiment 12: The method of Embodiment 7, wherein depositing the thin layer of semiconductor material over the Surface of the semiconductor structure includes that the thin film is formed to have an average thickness of about 300 nm or less.
  • Embodiment 13: The method of embodiment 12, wherein depositing the thin layer of semiconductor material over the surface of the semiconductor structure comprises forming the thin layer to have an average thickness of about 100 nm or less.
  • Embodiment 14: The method of one of embodiments 1 to 13, further comprising diluting the semiconductor structure against the conductive material after forming the first portion of the at least one wafer via and replacing the sacrificial material and the second portion of the at least one Wafer through-hole is formed.
  • Embodiment 15: The method of embodiment 14, wherein diluting the semiconductor structure comprises exposing the sacrificial material to an exterior of the semiconductor structure.
  • Embodiment 16: The method of embodiment 14, further comprising: attaching the semiconductor structure to a carrier substrate prior to thinning the semiconductor structure; and removing the carrier substrate from the semiconductor structure after thinning the semiconductor structure.
  • Embodiment 17: A method of fabricating a semiconductor structure, comprising: depositing a sacrificial material in at least one contact hole recess extending into a surface of a semiconductor structure; Depositing a layer of semiconductor material over the surface of the semiconductor structure; Producing at least one device structure using the layer of semiconductor material; Forming a first portion of at least one wafer via extending through the layer of semiconductor material; Thinning the semiconductor structure from a side thereof facing the layer of semiconductor material; Removing the sacrificial material from the at least one via hole in the semiconductor structure and exposing the first portion of the at least one wafer via in the via hole recess; and depositing conductive material in the via hole recess and forming a second portion of the at least one wafer via.
  • Embodiment 18: The method of embodiment 17, wherein depositing the sacrificial material in the at least one contact hole recess comprises depositing polysilicon material in the at least one contact hole recess.
  • Embodiment 19: The method of embodiment 17 or embodiment 18, further comprising depositing a dielectric material between the sacrificial material and the semiconductor structure in the at least one via hole recess.
  • Embodiment 20: The method of any one of embodiments 17 to 19, wherein depositing the layer of semiconductor material over the surface of the semiconductor structure comprises transferring the layer of semiconductor material from a substrate to the semiconductor structure.
  • Embodiment 21: The method of embodiment 20, wherein transferring the layer of semiconductor material from a substrate to the semiconductor structure comprises: implanting ions into the substrate; Bonding the substrate to the semiconductor structure; and breaking the substrate along a plane formed by the implanted ions in the substrate and separating the layer of semiconductor material from a remaining portion of the substrate.
  • Embodiment 22: The method of any one of embodiments 17 to 21, wherein depositing the layer of semiconductor material over the surface of the semiconductor structure comprises selecting the layer of semiconductor material to have an average thickness of about 100 nm or less.
  • Embodiment 23: The method of any one of embodiments 17 to 22, further comprising: attaching the semiconductor structure to a carrier substrate prior to thinning the semiconductor structure; and removing the carrier substrate from the semiconductor structure after thinning the semiconductor structure.
  • Embodiment 24: The method of any one of embodiments 17 to 23, further comprising forming a conductive bump on the at least one wafer via.
  • Embodiment 25: A semiconductor structure comprising: a sacrificial material in at least one recess extending from a surface of a semiconductor structure partially through the semiconductor structure; a semiconductor material disposed over the surface of the semiconductor structure; at least one device structure comprising at least a portion of the semiconductor material disposed over the semiconductor structure; a first portion of at least one wafer via extending through the semiconductor material disposed over the surface of the semiconductor structure, wherein the first portion of the at least one wafer via is aligned with the at least one via recess.
  • Embodiment 26: The semiconductor structure of embodiment 25, further comprising a volume of dielectric material at least partially surrounded by the semiconductor material disposed over the surface of the semiconductor structure, the first portion of the at least one wafer via extends through the volume of dielectric material and is in direct contact with it.
  • Embodiment 27: The semiconductor structure of embodiment 26, wherein the volume of dielectric material comprises a shallow trench isolation structure.
  • Embodiment 28: The semiconductor structure according to any one of Embodiments 25 to 27, wherein the sacrificial material comprises polysilicon material.
  • Embodiment 29: The semiconductor structure according to one of embodiments 25 to 28, wherein the at least one device structure comprises at least one transistor.
  • Embodiment 30: The semiconductor structure according to any one of Embodiments 25 to 29, wherein the sacrificial material is exposed to an outside of the semiconductor structure at a side thereof opposite to the semiconductor material disposed above the surface of the semiconductor structure.
  • Embodiment 31: The semiconductor structure according to any one of Embodiments 25 to 30, further comprising a support substrate attached to the semiconductor structure.
  • Embodiment 32: The semiconductor structure according to any one of Embodiments 25 to 31, wherein the semiconductor material disposed above the surface of the semiconductor structure comprises a layer of the semiconductor material having an average thickness of about 300 nm or less.
  • Embodiment 33: The semiconductor structure according to Embodiment 32, wherein the layer of the semiconductor material has an average thickness of about 100 nm or less.
  • Embodiment 34: A semiconductor structure comprising: an active area; a rear surface; at least one transistor located in the semiconductor structure between the active area and the rear area; at least one wafer via extending at least partially through the semiconductor structure from the active surface and / or the back surface, the at least one wafer via comprising: a first portion; a second section; and a detectable boundary between a microstructure of the first portion and a microstructure of the second portion.
  • Embodiment 35: The semiconductor structure of Embodiment 34, wherein the at least one transistor comprises at least a portion of a thin layer of semiconductor material.
  • Embodiment 36: The semiconductor structure of Embodiment 35, wherein the thin layer of semiconductor material has an average thickness of approximately 100 nm or less.
  • Embodiment 37: The semiconductor structure according to Embodiment 35 or Embodiment 36, wherein the detectable boundary is close to a major surface of the thin film of semiconductor material.
  • Embodiment 38: The semiconductor structure according to any one of Embodiments 34 to 37, wherein the detectable boundary is aligned parallel to the active area and / or the rear area.

Obwohl Ausführungsformen der vorliegenden Erfindung unter Verwendung bestimmter Beispiel beschrieben worden sind, ist dem Fachmann bewusst und klar, dass die Erfindung nicht auf die Details der beispielhaften Ausführungsformen beschränkt ist. Vielmehr können zahlreiche Hinzufügungen, Weglassungen und Abwandlungen an den beispielhaften Ausführungsformen vorgenommen werden, ohne vom Schutzumfang der Erfindung abzuweichen, wie er im Folgenden beansprucht wird. Beispielsweise können Merkmale einer Ausführungsform mit Merkmalen anderer Ausführungsformen kombiniert werden und bleiben dennoch im Schutzumfang der Erfindung eingeschlossen, wie er von den Erfindern vorgesehen ist.Although embodiments of the present invention have been described using particular example, it will be appreciated by those skilled in the art that the invention is not limited to the details of the exemplary embodiments. Rather, numerous additions, omissions, and alterations may be made to the exemplary embodiments without departing from the scope of the invention as claimed below. For example, features of one embodiment may be combined with features of other embodiments and yet remain within the scope of the invention as provided by the inventors.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 39484 [0055] US 39484 [0055]
  • US 6303468 [0055] US 6303468 [0055]
  • US 6335258 [0055] US 6335258 [0055]
  • US 6756286 [0055] US Pat. No. 6,756,286 [0055]
  • US 6809044 [0055] US 6809044 [0055]
  • US 6946365 [0055] US 6946365 [0055]

Zitierte Nicht-PatentliteraturCited non-patent literature

  • P. Garrou et al. ”The Handbook of 3D Integration”, Wiley-VCH (2008) [0003] P. Garrou et al. "The Handbook of 3D Integration", Wiley-VCH (2008) [0003]

Claims (25)

Verfahren zum Herstellen einer Halbleiterstruktur, die umfasst: Aufbringen eines Opfermaterials in wenigstens einer Kontaktloch-Aussparung, die sich teilweise durch eine Halbleiterstruktur hindurch erstreckt; Ausbilden eines ersten Abschnitts wenigstens einer Wafer-Durchkontaktierung in der Halbleiterstruktur und Ausrichten des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung auf die wenigstens eine Kontaktloch-Aussparung; und Austauschen des Opfermaterials in der wenigstens einen Kontaktloch-Aussparung gegen leitendes Material und Ausbilden eines zweiten Abschnitts der wenigstens einen Wafer-Durchkontaktierung in elektrischem Kontakt mit dem ersten Abschnitt der wenigstens einen Wafer-Durchkontaktierung.A method of manufacturing a semiconductor structure comprising: Depositing a sacrificial material in at least one contact hole recess extending partially through a semiconductor structure; Forming a first portion of at least one wafer via in the semiconductor structure and aligning the first portion of the at least one wafer via on the at least one via hole; and Replacing the sacrificial material in the at least one via hole recess with conductive material and forming a second portion of the at least one wafer via in electrical contact with the first portion of the at least one wafer via. Verfahren nach Anspruch 1, wobei Ausbilden eines ersten Abschnitts wenigstens einer Wafer-Durchkontaktierung in der Halbleiterstruktur des Weiteren Führen des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung durch ein dielektrisches Material umfasst.The method of claim 1, wherein forming a first portion of at least one wafer via in the semiconductor structure further comprises passing the first portion of the at least one wafer via through a dielectric material. Verfahren nach Anspruch 1, wobei Aufbringen des Opfermaterials in der wenigstens einen Kontaktloch-Aussparung, die sich teilweise durch die Halbleiterstruktur hindurch erstreckt, umfasst: Ausbilden wenigstens einer nicht durchgehenden Kontaktloch-Aussparung, die sich teilweise durch die Halbleiterstruktur von einer Oberfläche derselben her hindurch erstreckt; und Aufbringen von Polysilizium-Material, von Silizium-Germanium (SiGe), eines III-V-Halbleitermaterials oder/und eines dielektrischen Materials in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung.The method of claim 1, wherein depositing the sacrificial material in the at least one via hole that extends partially through the semiconductor structure comprises: Forming at least one non-continuous via hole recess extending partially through the semiconductor structure from a surface thereof; and Depositing polysilicon material, silicon germanium (SiGe), III-V semiconductor material, and / or a dielectric material in the at least one non-via via hole. Verfahren nach Anspruch 3, wobei Aufbringen von Polysilizium-Material, eines III-V-Halbleitermaterials oder/und eines dielektrischen Materials in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung Aufbringen von Polysilizium-Material in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung umfasst.The method of claim 3, wherein applying polysilicon material, a III-V semiconductor material and / or a dielectric material in the at least one non-via via hole comprises depositing polysilicon material in the at least one non-via via hole. Verfahren nach Anspruch 3, das des Weiteren Ausbilden der wenigstens einen Kontaktloch-Aussparung durch massives Silizium-Material hindurch umfasst.The method of claim 3, further comprising forming the at least one via hole through solid silicon material. Verfahren nach Anspruch 5, das des Weiteren Aufbringen eines dielektrischen Materials zwischen dem massiven Silizium-Material und dem Polysilizium-Material in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung umfasst.The method of claim 5, further comprising depositing a dielectric material between the bulk silicon material and the polysilicon material in the at least one non-via via hole. Verfahren nach Anspruch 3, das des Weiteren Aufbringen einer dünnen Schicht aus Halbleitermaterial über einer Oberfläche der Halbleiterstruktur nach dem Aufbringen des Polysilizium-Materials in der wenigstens einen nicht durchgehenden Kontaktloch-Aussparung umfasst.The method of claim 3, further comprising depositing a thin layer of semiconductor material over a surface of the semiconductor structure after depositing the polysilicon material in the at least one non-via via hole. Verfahren nach Anspruch 7, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst: Implantieren von Ionen in ein Substrat, das Halbleitermaterial umfasst, um eine Bruchfläche in dem Substrat auszubilden; Bonden des Substrats an die Oberfläche des Halbleiter-Substrats; sowie Brechen des Substrats entlang der Bruchfläche und Trennen der dünnen Schicht aus Halbleitermaterial von einem restlichen Abschnitt des Substrats, wobei die dünne Schicht aus Halbleitermaterial an der Oberfläche der Halbleiterstruktur gebondet bleibt.The method of claim 7, wherein applying the thin layer of semiconductor material over the surface of the semiconductor structure comprises: Implanting ions into a substrate comprising semiconductor material to form a fracture surface in the substrate; Bonding the substrate to the surface of the semiconductor substrate; such as Breaking the substrate along the fracture surface and separating the thin layer of semiconductor material from a remaining portion of the substrate, wherein the thin layer of semiconductor material remains bonded to the surface of the semiconductor structure. Verfahren nach Anspruch 8, wobei Bonden des Substrats an die Oberfläche der Halbleiterstruktur direktes Bonden des Substrats an die Oberfläche der Halbleiterstruktur umfasst.The method of claim 8, wherein bonding the substrate to the surface of the semiconductor structure comprises directly bonding the substrate to the surface of the semiconductor structure. Verfahren nach Anspruch 7, das des Weiteren Ausbilden wenigstens eines Abschnitts einer Vorrichtungsstruktur unter Verwendung der dünnen Schicht aus Halbleitermaterial umfasst.The method of claim 7, further comprising forming at least a portion of a device structure using the thin layer of semiconductor material. Verfahren nach Anspruch 10, wobei Ausbilden des wenigstens einen Abschnitts der Vorrichtungsstruktur unter Verwendung der dünnen Schicht aus Halbleitermaterial Ausbilden wenigstens eines Abschnitts eines Transistors unter Verwendung der dünnen Schicht aus Halbleitermaterial umfasst.The method of claim 10, wherein forming the at least a portion of the device structure using the thin layer of semiconductor material comprises forming at least a portion of a transistor using the thin layer of semiconductor material. Verfahren nach Anspruch 7, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die dünne Schicht so ausgebildet wird, dass sie eine durchschnittliche Dicke von ungefähr 300 nm oder weniger hat.The method of claim 7, wherein applying the thin layer of semiconductor material over the surface of the semiconductor structure comprises forming the thin layer to have an average thickness of about 300 nm or less. Verfahren nach Anspruch 12, wobei Aufbringen der dünnen Schicht aus Halbleitermaterial über der Oberfläche der Halbleiterstruktur umfasst, dass die dünne Schicht so ausgebildet wird, dass sie eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.The method of claim 12, wherein applying the thin layer of semiconductor material over the surface of the semiconductor structure comprises forming the thin layer to have an average thickness of about 100 nm or less. Verfahren nach Anspruch 1, das des Weiteren umfasst, dass die Halbleiterstruktur nach Ausbilden des ersten Abschnitts der wenigstens einen Wafer-Durchkontaktierung und vor Austauschen des Opfermaterials gegen das leitende Material verdünnt wird und der zweite Abschnitt der wenigstens einen Wafer-Durchkontaktierung ausgebildet wird.The method of claim 1, further comprising thinning the semiconductor structure after forming the first portion of the at least one wafer via and replacing the sacrificial material with the conductive material and forming the second portion of the at least one wafer via. Verfahren nach Anspruch 14, wobei Verdünnen der Halbleiterstruktur Freilegen des Opfermaterials zu einer Außenseite der Halbleiterstruktur hin umfasst. The method of claim 14, wherein diluting the semiconductor structure comprises exposing the sacrificial material to an exterior of the semiconductor structure. Verfahren nach Anspruch 14, das des Weiteren umfasst: Anbringen der Halbleiterstruktur an einem Trägersubstrat vor dem Verdünnen der Halbleiterstruktur; und Entfernen des Trägersubstrats von der Halbleiterstruktur nach Verdünnen der Halbleiterstruktur.The method of claim 14, further comprising: Attaching the semiconductor structure to a carrier substrate prior to thinning the semiconductor structure; and Removing the carrier substrate from the semiconductor structure after thinning the semiconductor structure. Halbleiterstruktur, die umfasst: ein Opfermaterial in wenigstens einer Aussparung, die sich von einer Oberfläche einer Halbleiterstruktur aus teilweise durch die Halbleiterstruktur hindurch erstreckt; und ein Halbleiter-Material, das über der Oberfläche der Halbleiterstruktur angeordnet ist. wenigstens eine Vorrichtungsstruktur, die wenigstens einen Abschnitt des Halbleitermaterials umfasst, das über der Halbleiterstruktur angeordnet ist; und einen ersten Abschnitt wenigstens einer Wafer-Durchkontaktierung, die sich durch das Halbleitermaterial hindurch erstreckt, das über der Oberfläche der Halbleiterstruktur angeordnet ist, wobei der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung auf die wenigstens eine Kontaktloch-Aussparung ausgerichtet ist.Semiconductor structure comprising: a sacrificial material in at least one recess extending from a surface of a semiconductor structure partially through the semiconductor structure; and a semiconductor material disposed over the surface of the semiconductor structure. at least one device structure comprising at least a portion of the semiconductor material disposed over the semiconductor structure; and a first portion of at least one wafer via extending through the semiconductor material disposed over the surface of the semiconductor structure, wherein the first portion of the at least one wafer via is aligned with the at least one via recess. Halbleiterstruktur nach Anspruch 17, die des Weiteren ein Volumen aus dielektrischem Material umfasst, das wenigstens teilweise von dem über der Oberfläche der Halbleiterstruktur angeordneten Halbleitermaterial umgeben ist, wobei sich der erste Abschnitt der wenigstens einen Wafer-Durchkontaktierung durch das Volumen aus dielektrischen Material hindurch erstreckt und direkt mit ihm in Kontakt ist.The semiconductor structure of claim 17, further comprising a volume of dielectric material at least partially surrounded by the semiconductor material disposed over the surface of the semiconductor structure, wherein the first portion of the at least one wafer via extends through the volume of dielectric material and directly in contact with him. Halbleiterstruktur nach Anspruch 18, wobei das Volumen aus dielektrischem Material eine flache Graben-Isolierstruktur umfasst.The semiconductor structure of claim 18, wherein the volume of dielectric material comprises a shallow trench isolation structure. Halbleiterstruktur nach Anspruch 17, wobei das Opfermaterial Polysilizium-Material umfasst.The semiconductor structure of claim 17, wherein the sacrificial material comprises polysilicon material. Halbleiterstruktur nach Anspruch 17, wobei die wenigstens eine Vorrichtungsstruktur wenigstens einen Transistor umfasst.The semiconductor structure of claim 17, wherein the at least one device structure comprises at least one transistor. Halbleiterstruktur nach Anspruch 17, wobei das Opfermaterial zu einer Außenseite der Halbleiterstruktur hin an einer Seite desselben freiliegt, die dem über der Oberfläche der Halbleiterstruktur angeordneten Halbleitermaterial gegenüberliegt.The semiconductor structure according to claim 17, wherein the sacrificial material is exposed to an outside of the semiconductor structure at a side thereof opposite to the semiconductor material disposed above the surface of the semiconductor structure. Halbleiterstruktur nach Anspruch 22, die des Weiteren ein Trägersubstrat umfasst, das an der Halbleiterstruktur angebracht ist.The semiconductor structure of claim 22, further comprising a carrier substrate attached to the semiconductor structure. Halbleiterstruktur nach Anspruch 17, wobei das über der Oberfläche der Halbleiterstruktur angeordnete Halbleitermaterial eine Schicht des Halbleitermaterials umfasst, die eine durchschnittliche Dicke von ungefähr 300 nm oder weniger hat.The semiconductor structure of claim 17, wherein the semiconductor material disposed over the surface of the semiconductor structure comprises a layer of the semiconductor material having an average thickness of about 300 nm or less. Halbleiterstruktur nach Anspruch 19, wobei die Schicht des Halbleitermaterials eine durchschnittliche Dicke von ungefähr 100 nm oder weniger hat.The semiconductor structure of claim 19, wherein the layer of semiconductor material has an average thickness of about 100 nm or less.
DE112011103040T 2010-09-10 2011-09-12 Method for forming wafer vias in semiconductor structures using sacrificial material and semiconductor structures produced by these methods Withdrawn DE112011103040T5 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/879,637 2010-09-10
US12/879,637 US20120061794A1 (en) 2010-09-10 2010-09-10 Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
FR1057676A FR2965397A1 (en) 2010-09-23 2010-09-23 Method for manufacturing semi-conductor structure during manufacturing of e.g. semiconductor devices, involves replacing sacrificial material by conductor material, and forming portion of via across wafer in contact with another portion
FR1057676 2010-09-23
PCT/EP2011/065778 WO2012048973A1 (en) 2010-09-10 2011-09-12 Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods

Publications (1)

Publication Number Publication Date
DE112011103040T5 true DE112011103040T5 (en) 2013-07-04

Family

ID=45937917

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011103040T Withdrawn DE112011103040T5 (en) 2010-09-10 2011-09-12 Method for forming wafer vias in semiconductor structures using sacrificial material and semiconductor structures produced by these methods

Country Status (7)

Country Link
JP (1) JP2013537363A (en)
KR (1) KR20130093627A (en)
CN (1) CN103081090A (en)
DE (1) DE112011103040T5 (en)
SG (1) SG188195A1 (en)
TW (1) TW201214627A (en)
WO (1) WO2012048973A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8859425B2 (en) 2012-10-15 2014-10-14 Micron Technology, Inc. Devices, systems, and methods related to forming through-substrate vias with sacrificial plugs
CN105185738B (en) * 2014-06-20 2018-10-23 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method, electronic device
US9899260B2 (en) 2016-01-21 2018-02-20 Micron Technology, Inc. Method for fabricating a semiconductor device
US10804141B2 (en) * 2016-05-27 2020-10-13 Intel Corporation Damascene plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US20230121210A1 (en) * 2021-10-12 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US39484A (en) 1863-08-11 Improved smoothing-iron
US6303468B1 (en) 1997-08-12 2001-10-16 Commissariat A L'energie Atomique Method for making a thin film of solid material
US6335258B1 (en) 1996-11-05 2002-01-01 Commissariat A L'energie Atomique Method for making a thin film on a support and resulting structure including an additional thinning stage before heat treatment causes micro-cavities to separate substrate element
US6756286B1 (en) 1997-12-30 2004-06-29 Commissariat A L'energie Atomique Method for transferring a thin film comprising a step of generating inclusions
US6809044B1 (en) 1999-06-30 2004-10-26 Commissariat A L'energie Atomique Method for making a thin film using pressurization
US6946365B2 (en) 2000-12-08 2005-09-20 Commissariat A L'energie Atomique Method for producing a thin film comprising introduction of gaseous species

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JP3535461B2 (en) * 2001-01-10 2004-06-07 新光電気工業株式会社 Semiconductor device manufacturing method and semiconductor device
US7960290B2 (en) * 2007-05-02 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US7846837B2 (en) * 2008-10-09 2010-12-07 United Microelectronics Corp. Through substrate via process
US7923369B2 (en) * 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US39484A (en) 1863-08-11 Improved smoothing-iron
US6335258B1 (en) 1996-11-05 2002-01-01 Commissariat A L'energie Atomique Method for making a thin film on a support and resulting structure including an additional thinning stage before heat treatment causes micro-cavities to separate substrate element
US6303468B1 (en) 1997-08-12 2001-10-16 Commissariat A L'energie Atomique Method for making a thin film of solid material
US6756286B1 (en) 1997-12-30 2004-06-29 Commissariat A L'energie Atomique Method for transferring a thin film comprising a step of generating inclusions
US6809044B1 (en) 1999-06-30 2004-10-26 Commissariat A L'energie Atomique Method for making a thin film using pressurization
US6946365B2 (en) 2000-12-08 2005-09-20 Commissariat A L'energie Atomique Method for producing a thin film comprising introduction of gaseous species

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
P. Garrou et al. "The Handbook of 3D Integration", Wiley-VCH (2008)

Also Published As

Publication number Publication date
JP2013537363A (en) 2013-09-30
KR20130093627A (en) 2013-08-22
TW201214627A (en) 2012-04-01
WO2012048973A1 (en) 2012-04-19
SG188195A1 (en) 2013-04-30
CN103081090A (en) 2013-05-01

Similar Documents

Publication Publication Date Title
DE102018116729B3 (en) Semiconductor device package and method
EP0703619B1 (en) Method for fabricating a three dimensional integrated circuit for a higher system gain achievement
DE102016100270B4 (en) BOND STRUCTURES AND PROCESSES FOR THEIR MANUFACTURE
DE102014118969B4 (en) Method for manufacturing a 3DIC seal ring structure
DE102008039388B4 (en) Stacked semiconductor chips and manufacturing processes
EP0703618B1 (en) Method for fabricating a three dimensional integrated circuit
DE102014111783B4 (en) Stacked integrated circuits with redistribution lines and methods of making them
DE112012001870B4 (en) Optimized annular copper TSV
DE102010037247B4 (en) Semiconductor structure and method for its production
DE112005003123B4 (en) A semiconductor device and method of making a semiconductor device having a plurality of stacked hybrid orientation layers
DE10200399B4 (en) A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
DE102011088581B4 (en) Process for producing housing connections and component produced therewith
DE102007034306B3 (en) Semiconductor substrate with via and method for producing a semiconductor substrate with via
DE102019115275A1 (en) Semiconductor interconnect structure and method
DE102016115000B4 (en) Three-dimensional integrated circuit (3DIC) device and method for its manufacture and a method for bonding wafers by means of hybrid bonding
DE102008062492A1 (en) Semiconductor component and method for its production
DE112010003659T5 (en) Conductive structure for narrow connection openings
DE102009044392A1 (en) Cooling structures and cooling methods
DE112012004024T5 (en) Method for transferring material layers into 3D integration processes and related structures and devices
DE102012210480A1 (en) A method of manufacturing a device having an electrical via
US20120061794A1 (en) Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
DE102018107941B4 (en) Redistribution layer metal structure and method
DE112011103040T5 (en) Method for forming wafer vias in semiconductor structures using sacrificial material and semiconductor structures produced by these methods
DE102021103804A1 (en) Passive structure on SoIC
DE102022107308A1 (en) HEAT DISSIPATION STRUCTURES FOR SEMICONDUCTOR DEVICES AND MANUFACTURING METHODS

Legal Events

Date Code Title Description
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20150401