DE10297368T5 - System und Verfahren zum Erwärmen von Halbleiterwafern durch Optimieren der Absorption elektromagnetischer Energie - Google Patents

System und Verfahren zum Erwärmen von Halbleiterwafern durch Optimieren der Absorption elektromagnetischer Energie Download PDF

Info

Publication number
DE10297368T5
DE10297368T5 DE10297368T DE10297368T DE10297368T5 DE 10297368 T5 DE10297368 T5 DE 10297368T5 DE 10297368 T DE10297368 T DE 10297368T DE 10297368 T DE10297368 T DE 10297368T DE 10297368 T5 DE10297368 T5 DE 10297368T5
Authority
DE
Germany
Prior art keywords
semiconductor substrate
laser beam
light energy
angle
incidence
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE10297368T
Other languages
English (en)
Inventor
Paul Janis Mountain View Timans
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of DE10297368T5 publication Critical patent/DE10297368T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/14Arrangements of heating devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/12Reflex reflectors
    • G02B5/122Reflex reflectors cube corner, trihedral or triple reflector type
    • G02B5/124Reflex reflectors cube corner, trihedral or triple reflector type plural reflecting elements forming part of a unitary plate or sheet

Abstract

Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte:
– Anordnen eines Halbleitersubstrats in einer Behandlungskammer;
– Richten von Lichtenergie auf das Halbleitersubstrat, um das Halbleitersubstrat zu erwärmen, wobei die Lichtenergie mit einem Einfallswinkel von mehr als 0° auf das Substrat trifft; und
– wobei die Lichtenergie in einer p-Polarisationsebene bzw. in der Nähe der p-Polarisationsebene auf das Halbleitersubstrat trifft.

Description

  • VERWANDTE PATENTANMELDUNGEN
  • Die vorliegende Erfindung ist eine teilweise Fortsetzung der Patentanmeldung der laufenden U.S.-Nr. 09/747,522, welche am 21. Dezember 2000 eingereicht wurde.
  • Technischer Hintergrund
  • Eine Wärmebehandlungskammer bedeutet gemäß Verwendung in der vorliegenden Schrift eine Vorrichtung, welche Gegenstände, wie etwa Halbleiterwafer, erwärmt. Derartige Vorrichtungen umfassen typischerweise eine Substrathalterung zum Halten eines Halbleiterwafers und eine Energiequelle, wie etwa eine Vielzahl von Lampen, welche Wärmeenergie zum Erwärmen des Wafers abstrahlt. Während einer Wärmebehandlung werden die Halbleiterwafer unter geregelten Bedingungen gemäß vorbestimmten Temperaturbedingungen erwärmt. Zum Verfolgen der Temperatur des Halbleiterwafers während einer Wärmebehandlung umfassen Wärmebehandlungskammern ferner typischerweise Temperaturerfassungsvorrichtungen, wie etwa Pyrometer, welche die Strahlung erfassen, welche durch den Halbleiterwafer in einem ausgewählten Band von Wellenlängen abgestrahlt wird. Durch Erfassen der Wärmestrahlung, welche durch den Wafer abgestrahlt wird, kann die Temperatur des Wafers mit vernünftiger Genauigkeit berechnet werden.
  • Bei alternativen Ausführungsbeispielen können Wärmebehandlungskammern anstelle eines Verwendens von Strahlungserfassungsvorrichtungen bzw. zusätzlich dazu ferner Thermoelemente zum Verfolgen der Temperatur der Wafer enthalten. Thermoelemente messen die Temperatur der Gegenstände durch direkten Kontakt.
  • Viele Halbleitererwärmungsverfahren erfordern, daß ein Wafer auf hohe Temperaturen erwärmt wird, so daß verschiedene chemische und physikalische Reaktionen erfolgen können, wenn der Wafer zu einer Vorrichtung weiterverarbeitet wird. Bei einer schnellen Wärmebehandlung, welche ein Verfahrenstyp ist, werden Halbleiterwafer typischerweise durch eine Anordnung von Lampen in Zeitperioden, welche typischerweise kürzer als einige Minuten sind, auf Temperaturen von beispielsweise etwa 400°C bis etwa 1200°C erwärmt. Bei diesen Verfahren ist es ein Hauptziel, die Wafer möglichst gleichmäßig zu erwärmen.
  • In der Vergangenheit traten jedoch Probleme im Hinblick darauf auf, in der Lage zu sein, eine konstante Temperatur in dem gesamten Wafer aufrechtzuerhalten und in der Lage zu sein, die Geschwindigkeit zu steuern, mit welcher der Wafer erwärmt wird. Wenn der Wafer ungleichmäßig erwärmt wird, können sich verschiedene unerwünschte Spannungen in dem Wafer entwickeln. Nicht in der Lage zu sein, die Wafer gleichmäßig zu erwärmen, begrenzt ferner die Fähigkeit, Filme gleichmäßig auf den Wafern aufzutragen, Filme gleichmäßig auf den Wafern auszuheilen und begrenzt im übrigen die Fähigkeit, verschiedene andere chemische und physikalische Bearbeitungen der Wafer durchzuführen.
  • Einige der Probleme, welche in der Vergangenheit auftraten, betreffen die Tatsache, daß Halbleiterwafer häufig mit Filmen aus Materialien, welche das Reflexions- und Absorptionsvermögen der Oberfläche beeinflussen, beschichtet werden. Beispielsweise können Schwankungen zwischen verschie denen Wafern bestehen, und ferner können Schwankungen in einem einzigen Wafer infolge von Strukturen, welche auf dem Wafer während des Halbleitervorrichtungsfertigungsvorgangs erzeugt werden, bestehen. Wenn Wafer mit elektromagnetischer Strahlung bestrahlt werden, führen diese Schwankungen der optischen Eigenschaften zu Schwankungen der Fähigkeit der Wafer, Energie zu absorbieren, und daraus folgenden Schwankungen der erreichten Temperatur. Dies kann die Wiederholbarkeit der Wärmebehandlung beeinträchtigen und kann ferner die Gleichmäßigkeit in einem gegebenen Wafer beeinträchtigen. Beispielsweise weist ein Wafer mit verschiedenen Bereichen, welche mit verschiedenen Materialien beschichtet sind, in diesen Bereichen verschiedene Energieabsorptionseigenschaften auf.
  • Somit besteht gegenwärtig ein Bedarf im Hinblick auf eine verbesserte Wärmebehandlungskammer und ein Verfahren, welche in der Lage sind, Halbleiterwafer wirksamer zu erwärmen, und welche in der Lage sind, Halbleiterwafer gleichmäßig zu erwärmen.
  • Zusammenfassung der Erfindung
  • Die vorliegende Endung betrifft generell verschiedene Verfahren zum Erwärmen von Halbleiterwafern. Insbesondere betrifft die vorliegende Erfindung das Einrichten von Lichtquellen, welche Lichtenergie auf den Wafer abstrahlen, um die Absorption der Energie durch den Wafer zu optimieren. Die vorliegende Erfindung wird durch Variieren des Einfallswinkels der Lichtenergie, welche auf den Wafer trifft, unter Verwendung mehrerer Lichtwellenlängen und durch geeignetes Abstimmen der Lichtenergie, so daß diese in einem bestimmten Polarisationszustand auf den Wafer trifft, verwirklicht.
  • Beispielsweise umfaßt das Verfahren bei einem Ausführungsbeispiel der vorliegenden Erfindung die Schritte, einen Halbleiterwafer in einer Behandlungskammer anzuordnen. Lichtenergie wird auf den Wafer gerichtet, um den Wafer zu erwärmen. Die Lichtenergie trifft in einem Einfallswinkel von mehr als 0° auf den Wafer. Speziell ist der Einfallswinkel größer als 10°, und noch spezieller reicht dieser von etwa 40° bis etwa 85°.
  • Das Verfahren umfaßt ferner den Schritt, die Lichtenergie zu polarisieren, bevor die Lichtenergie auf den Halbleiterwafer trifft. Speziell wird die Lichtenergie derart polarisiert, daß die Lichtenergie in einem p-polarisierten Zustand auf den Halbleiterwafer trifft. Es kann jede geeignete Polarisationsvorrichtung verwendet werden, um das Licht zu polarisieren. Beispielsweise kann bei einem Ausführungsbeispiel eine Strahlteilervorrichtung verwendet werden, welche einen ersten p-polarisierten Lichtenergiestrahl und einen zweiten p-polarisierten Lichtenergiestrahl erzeugt. Der erste und der zweite p-polarisierte Lichtenergiestrahl werden sodann auf den Halbleiterwafer gerichtet. Bei einem weiteren alternativen Ausführungsbeispiel der vorliegenden Erfindung wird die Lichtenergie unter Verwendung einer Drahtgitter-Polarisationsvorrichtung polarisiert.
  • Die Lichtenergie, welche erfindungsgemäß verwendet wird, kann von einem Laser oder von einer inkohärenten Lichtquelle abgestrahlt werden. Wenn eine inkohärente Lichtquelle verwendet wird, wie etwa eine Bogenlampe oder eine Wolfram-Halogenlampe, kann das Licht kollimiert werden, bevor dieses polarisiert wird.
  • Bei einem alternativen Ausführungsbeispiel der vorliegenden Erfindung umfaßt das Verfahren die Schritte, einen Halbleiterwafer in einer Behandlungskammer anzuordnen und Laserstrahlen von mindestens einem ersten Laser und einem zweiten Laser auf den Halbleiterwafer zu richten. Der erste Laser emittiert Licht in einem ersten Wellenlängenbereich, und der zweite Laser emittiert Licht in einem zweiten Wellenlängenbereich. Um den Wafer gleichmäßiger und wirksamer zu erwärmen, ist der erste Wellenlängenbereich von dem zweiten Wellenlängenbereich verschieden.
  • Außer bzw. zusätzlich zu einem Verwenden von Laserstrahlen in verschiedenen Wellenlängenbereichen können die Strahlen unter verschiedenen Einfallswinkeln auf den Wafer treffen. Speziell sollte jeder Strahl mit einem Einfallswinkel von mehr als 10° auf den Halbleiterwafer treffen, speziell mit einem Einfallswinkel von etwa 40° bis etwa 85°. Wenn Laserstrahlen verwendet werden, um Halbleiterwafer zu erwärmen, können die Laserstrahlen derart abgestimmt werden, daß diese in einem bestimmten Zustand, wie etwa einem p-polarisierten Zustand, auf den Wafer treffen.
  • Bei einem bestimmten Ausführungsbeispiel der vorliegenden Erfindung, welches besonders gut geeignet ist, um ein Ionenimplantations-Ausheilverfahren auszuführen, umfaßt das Verfahren der vorliegenden Erfindung das Anordnen eines Halbleiterwafers in einer Wärmebehandlungskammer. Ein gepulster Laserstrahl wird sodann auf den Halbleiterwafer gerichtet. Der gepulste Laserstrahl ist derart abgestimmt, daß dieser mit einem Einfallswinkel von mindestens 10° und in einem bestimmten Zustand, wie etwa einem p-polarisierten Zustand, auf den Wafer trifft.
  • Lichtenergiequellen, welche erfindungsgemäß eingerichtet sind, können alleine verwendet werden, um Wafer zu erwärmen, oder können in Verbindung mit weiteren Energiequellen verwendet werden. Beispielsweise können die Lichtenergiequellen der vorliegenden Erfindung in Verbindung mit anderen Lichtenergiequellen und/oder in Verbindung mit einer Heizplatte verwendet werden.
  • Weitere Merkmale und Aspekte der vorliegenden Erfindung werden unten genauer erörtert.
  • Kurze Beschreibung der Zeichnungen
  • Eine vollständige und nachvollziehbare Offenbarung der vorliegenden Erfindung, welche die beste Ausführungsweise davon umfaßt, für gewöhnlich Fachkundige wird genauer im Rest der Beschreibung dargelegt, welche auf die beigefügten Figuren verweist, wobei:
  • 1 eine Querschnittsansicht eines Ausführungsbeispiels einer Wärmebehandlungskammer ist, welche erfindungsgemäß verwendet werden kann.
  • 2 ein Draufsichtsdiagramm gemäß der vorliegenden Erfindung ist, welches eine Vielzahl von Lampen darstellt, welche über einem Halbleiterwafer angeordnet sind und einen Einfallswinkel von mehr als 0° zu dem Wafer aufweisen.
  • 3 ein erläuterndes Diagramm ist, welches vorgelegt wird, um verschiedene Ausdrücke zu erläutern, welche in der vorliegenden Patentanmeldung verwendet werden.
  • 4 ein Graph ist, welcher das Absorptionsspektrum eines Halbleiterwafers darstellt, welcher eine untere Beschichtung aus Siliziumdioxid und eine obere Beschichtung aus Polysilizium aufweist. Die Kurven sind für einen Einfallswinkel von 45° für p-polarisierte Strahlung, s-polarisierte Strahlung und unpolarisierte Strahlung dargestellt.
  • 5 ein Graph ist, welcher das Absorptionsspektrum für die gleiche Struktur wie in 4 darstellt. In dieser Figur stehen die Kurven jedoch für p-polarisierte Strahlung mit verschiedenen Einfallswinkeln.
  • 6 eine Seitenansicht ist, welche einen Laser darstellt, welcher einen Laserstrahl mit einem Einfallswinkel von mehr als 0° auf einen Halbleiterwafer abstrahlt.
  • 7(a) eine Seitenansicht von zwei verschiedenen Lasern ist, welche Laserstrahlen mit verschiedenen Einfallswinkeln auf einen Halbleiterwafer abstrahlen.
  • 7(b) eine Seitenansicht eines Laserstrahls ist, welcher in zwei verschiedene Strahlen geteilt wird, welche mit zwei verschiedenen Einfallswinkeln auf einen Halbleiterwafer treffen.
  • 8 eine Seitenansicht einer inkohärenten Lichtquelle ist, wobei Licht, welches von der Lichtquelle abgestrahlt wird, kollimiert und sodann polarisiert wird, wobei dieses mit einem Einfallswinkel von mehr als 0° auf einen Wafer trifft.
  • 9 ein alternatives Ausführungsbeispiel des in 8 dargestellten Verfahrens ist, wobei die Polarisierungsvorrichtung das Licht in zwei verschiedene p-polarisierte Strahlen teilt.
  • Durch wiederholte Verwendung von Bezugszeichen in der vorliegenden Beschreibung und der Zeichnungen sollen gleiche bzw. analoge Merkmale bzw. Elemente der Erfindung dargestellt werden.
  • Genaue Beschreibung der bevorzugten Ausführungsbeispiele
  • Für gewöhnlich Fachkundige ist zu bemerken, daß die vorliegende Erörterung lediglich eine Beschreibung beispielhafter Ausführungsbeispiele darstellt und die weiteren Aspekte der vorliegenden Erfindung nicht begrenzen soll, wobei diese weiteren Aspekte in der beispielhaften Konstruktion verwirklicht sind.
  • Eine Wärmebehandlungsvorrichtung verwendet thermische Energie, wie etwa intensives Licht, um einen Halbleiterwafer als Teil des Herstellungsverfahrens integrierter Schaltungen zu erwärmen. Das Einwirken von Lichtenergie bewirkt einen schnellen Temperaturanstieg eines Halbleiterwafers und ermöglicht es, daß die Bearbeitungszeiten relativ kurz sind. Bei Systemen mit schneller Wärmebehandlung ist es wichtig, den Wafer mit sehr intensivem Licht in einer sehr gleichmäßigen und geregelten Weise zu bestrahlen. Wie oben bemerkt, ist die Schwierigkeit bei herkömmlichen Vorrichtungen, daß die Anforderungen an die Intensität des Bestrahlungslichts und die Fähigkeit, Wafer gleichmäßig zu erwärmen, sehr schwierig zu erreichen sind.
  • Beispielsweise werden Halbleiterwafer häufig mit Materialien beschichtet, welche das Reflexions- und Absorptionsvermögen der Oberfläche beeinflussen. Diese Beschichtungen, welche auf den Wafern enthalten sind, können zu Wirksamkeitssbeeinträchtigungen beim Erwärmen der Wafer führen und können ferner zu Temperaturschwankungen in dem Wafer führen. Beispielsweise weist ein Wafer, welcher Bereiche aufweist, welche mit verschiedenen Materialien beschichtet sind, in diesen Bereichen verschiedene Energieabsorptionseigenschaften auf.
  • Generell betrifft die vorliegende Erfindung eine Vorrichtung und ein Verfahren zum gleichmäßigen und wirksamen Erwärmen von Halbleiterwafern. Wafer, welche erfindungsgemäß bearbeitet werden, werden zumindest teilweise durch Lichtenergie erwärmt. Die vorliegende Erfindung betrifft das Optimieren des Einfallswinkels, der Polarisationsebene und der Wellenlängen der Wärmestrahlung, um das Absorptionsvermögen des Wafers zu steigern und die Wirkungen von Schwankungen der optischen Eigenschaften der Oberflächen des Wafers zu vermindern.
  • Genauer betrifft die vorliegende Erfindung das Anordnen von Lampen in einer Wärmebehandlungskammer zum Erwärmen von Gegenständen, wie etwa Halbleiterwafern. Die Lampen werden derart eingerichtet, daß die Lichtenergie, welche durch die Lampen abgestrahlt wird, mit einem Einfallswinkel auf den Wafer trifft, welcher die Absorption durch den Wafer optimiert.
  • Alternativ bzw. zusätzlich zum Optimieren des Einfallswinkels kann die Lichtenergie, welche durch die Lampe abgestrahlt wird, ferner derart abgestimmt werden, daß die Lichtenergie mit einer Polarisationsebene auf den Wafer trifft, welche gleichfalls die Absorption optimiert. Zuletzt betrifft die vorliegende Erfindung auch das Bestrahlen des Wafers mit mehreren Lichtwellenlängen, so daß zumindest bestimmte der Wellenlängen wirksam durch den Wafer absorbiert werden.
  • In 3 ist ein Diagramm dargestellt, welches einige Definitionen und Ausdrücke darstellt, welche in der vorliegenden Patentanmeldung verwendet werden. Wie dargestellt, ist der Einfallswinkel θ der Winkel zwischen der Lotrechten zu der Waferoberfläche und der Fortpflanzungsrichtung der Wärmestrahlung. Die Einfallsebene ist die Ebene, welche die Lotrechte zu der Waferoberfläche und den Energiestrahl, welcher auf die Waferoberfläche fällt, enthält. Die p-Polarisationsebene entspricht dem Polarisationszustand, in welchem der Vektor des elektrischen Felds der einfallenden Strahlung in der Einfallsebene liegt. Dieser Zustand ist auch als transversale magnetische Polarisation (TM-Polarisation) bekannt. Die Polarisation in rechtem Winkel zu dem p-Polarisationszustand, bei welcher der Vektor des elektrischen Felds lotrecht zu der Einfallsebene verläuft, ist als s-Polarisationszustand bzw. transversaler elektrischer Polarisationszustand (TE-Polarisationszustand) bekannt.
  • Die Lampen, welche erfindungsgemäß verwendet werden können, können variieren, abhängig von der speziellen Anwendung. Beispielsweise können bei einem Ausführungsbeispiel Laser verwendet werden. Laser emittieren Licht in einem sehr schmalen Wellenlängenbereich. Außer Lasern können in dem System der vorliegenden Erfindung auch verschiedene inkohärente Lichtquellen verwendet werden. Inkohärente Lichtquellen emittieren Licht im Gegensatz zu Lasern in einem breiteren Wellenlängenbereich. Inkohärente Lichtquellen, welche bei der vorliegenden Erfindung verwendet wer den können, umfassen Bogenlampen, Wolfram-Halogenlampen und ähnliches.
  • Lampen, welche erfindungsgemäß eingerichtet sind, können alleine verwendet werden, um Halbleiterwafer zu erwärmen, oder können alternativ in Verbindung mit weiteren Wärmeenergiequellen verwendet werden. Beispielsweise können die Lampen in Verwendung mit einer Heiz- bzw. Wärmeplatte verwendet werden, welche Wafer durch elektrischen Widerstand erwärmt. Bei einem weiteren Ausführungsbeispiel können die Lampen, welche erfindungsgemäß eingerichtet sind, in Verbindung mit anderen Lampen verwendet werden, welche nicht speziell eingerichtet sind.
  • In 1 ist ein Ausführungsbeispiel eines Systems, generell 10, dargestellt, welches erfindungsgemäß hergestellt ist. Bei diesem Ausführungsbeispiel umfaßt das System eine Vielzahl von Lampen 40, welche erfindungsgemäß eingerichtet sind, und eine Vielzahl weiterer Lampen 24, welche über einem Halbleiterwafer 14 angeordnet sind, wie dies in der Technik herkömmlicherweise der Fall ist.
  • Wie dargestellt, umfaßt das System 10 eine Behandlungskammer 12, welche geeignet gestaltet ist, um Substrate, wie etwa einen Wafer 14, aufzunehmen, um verschiedene Bearbeitungen durchzuführen. Der Wafer 14 kann aus einem Halbleitermaterial hergestellt sein, wie etwa Silizium. Wie dargestellt, ist der Wafer 14 auf einer Substrathalterung 15 angeordnet, welche aus einem wärmeisolierenden Material hergestellt ist, wie etwa Quarz. Die Kammer 12 ist geeignet gestaltet, um einen Wafer 14 mit sehr hohen Geschwindigkeiten und unter sorgfältig geregelten Bedingungen zu erwärmen. Die Kammer 12 kann aus verschiedenen Materialien hergestellt werden, wobei dies Metalle und Keramik umfaßt. Beispielsweise kann die Kammer 12 aus Edelstahl hergestellt werden, oder diese kann eine Kaltwandkammer sein, welche beispielsweise aus Quarz hergestellt wird.
  • Wenn die Kammer 12 aus einem wärmeleitenden Material hergestellt wird, umfaßt die Kammer vorzugsweise ein Kühlsystem. Beispielsweise umfaßt, wie in 1 dargestellt, die Kammer 12 eine Kühlleitung 16, welche um den Umfang der Kammer gewunden ist. Die Leitung 16 ist geeignet gestaltet, um ein Kühlfluid, wie etwa Wasser, umlaufen zu lassen, welches verwendet wird, um die Wände der Kammer 12 auf einer konstanten Temperatur zu halten.
  • Die Kammer 12 kann ferner einen Gaseinlaß 18 und einen Gasauslaß 20 zum Einleiten eines Gases in die Kammer und/oder zum Halten der Kammer in einem vorbestimmten Temperaturbereich umfassen. Beispielsweise kann ein Gas durch den Gaseinlaß 18 zur Reaktion mit dem Wafer 14 in die Kammer 12 eingeleitet werden. Wenn die Verarbeitung erfolgt ist, kann das Gas sodann unter Verwendung des Gasauslasses 20 aus der Kammer abgeleitet werden.
  • Alternativ kann ein inertes Gas durch den Gaseinlaß 18 in die Kammer 12 eingespeist werden, um zu verhindern, daß unerwünschte bzw. nicht wünschenswerte Nebenreaktionen in der Kammer erfolgen. Bei einem weiteren Ausführungsbeispiel können ein Gaseinlaß 18 und ein Gasauslaß 20 verwendet werden, um die Kammer 12 unter Druck zu setzen. Ferner kann ein Unterdruck in der Kammer 12 erzeugt werden, wenn dies erwünscht ist, wobei der Gasauslaß 20 oder ein zusätzlicher größerer Auslaß, welcher unter dem Niveau des Wafers angeordnet ist, verwendet wird.
  • Die Substrathalterung 15 kann bei einem Ausführungsbeispiel geeignet gestaltet sein, um den Wafer 14 unter Verwendung einer mechanischen Waferdreheinrichtung 21 während einer Bearbeitung zu drehen. Das Drehen des Wafers unterstützt eine größere Gleichmäßigkeit der Temperatur an der Oberfläche des Wafers und unterstützt einen verbesserten Kontakt zwischen dem Wafer 14 und jeglichen Gasen, welche in die Kammer eingeleitet werden. Es sei jedoch bemerkt, daß die Kammer 12 geeignet gestaltet ist, außer Wafern auch optische Bauelemente, Filme, Fasern, Bänder und andere Substrate mit spezieller Gestalt zu bearbeiten.
  • Eine Wärmequelle bzw. eine Erwärmungsvorrichtung 22 ist in Verbindung mit der Kammer 12 aufgenommen, um einen Wafer 14 während einer Bearbeitung zu erwärmen. Die Erwärmungsvorrichtung 22 umfaßt eine Vielzahl geradliniger Lampen 24, wie etwa Wolfram-Halogenlampen. Gemäß Verwendung in der vorliegenden Schrift bedeutet eine „geradlinige Lampe" eine Lampe, welche geeignet gestaltet ist, um den größten Teil ihrer Energie durch die Seite der längsten Ausdehnung der Lampe abzustrahlen. Beispielsweise strahlen geradlinige Lampen bei den meisten Ausführungsbeispielen den größten Teil ihrer Energie durch die Seite der Lampe ab. Wie in 1 dargestellt, sind die Lampen 24 horizontal über dem Wafer 14 ausgerichtet. Es sei jedoch bemerkt, daß die Lampen 24 an jedem speziellen Ort angeordnet werden können, wie etwa lediglich unter dem Wafer oder über und unter dem Wafer. Ferner können zusätzliche Lampen in dem System 10 aufgenommen werden, wenn dies erwünscht ist.
  • Außer geradlinigen Lampen kann das System der vorliegenden Erfindung auch vertikal ausgerichtete Lampen verwenden. Diese Lampen sind derart angeordnet, daß das Ende der Lampe zu den Wafern weist.
  • Wie in der Figur dargestellt, sind die Lampen 24 mit einem stufenweisen Energieregler 25 versehen, welcher verwendet werden kann, um die Lichtenergie, welche durch jede der Lampen abgestrahlt wird, zu steigern oder zu vermindern.
  • Um es zu unterstützen, die Lichtenergie, welche durch die Lampen 14 abgestrahlt wird, auf einen Wafer 14 zu richten, können die Lampen mit einem Reflektor bzw. einer Gruppe von Reflektoren verbunden werden. Beispielsweise umfaßt, wie in 1 dargestellt, die Erwärmungsvorrichtung 22 eine Reflektorplatte 36, welche über den geradlinigen Lampen 24 angeordnet ist. Die Reflektorplatte 36 kann aus einem beliebigen Material hergestellt sein, welches geeignet ist, um Lichtenergie zu reflektieren, und kann jede beliebige Gestalt aufweisen, welche es unterstützt, die Lichtenergie zu dem Wafer 14 zu lenken.
  • Zusätzlich zu den Lampen 24 umfaßt das System, wie in 1 dargestellt, Lichtquellen bzw. Lampen 40 gemäß der vorliegenden Erfindung. Wie dargestellt, sind die Lampen 40 in einem Winkel zu dem Wafer 14 angeordnet, um die Absorption von Lichtenergie durch den Wafer zu optimieren. Wie unten genauer beschrieben wird, kann außer dem Einstellen des Einfallswinkels die Strahlung, welche durch die Lampen 40 abgestrahlt wird, ferner derart abgestimmt werden, daß diese in bzw. in der Nähe der p-Polarisationsebene auf den Wafer trifft.
  • Wie in 1 dargestellt, können Lampen 40, welche erfindungsgemäß eingerichtet sind, in Verbindung mit Lampen 28 verwendet werden. Alternativ kann, wie in 2 dargestellt, der Wafer 14 allein durch die Lampen 40 erwärmt werden. Speziell werden, wie in 2 dargestellt, die Lampen 40 derart angeordnet, daß diese den Wafer 14 in erwünschten Winkeln umgeben.
  • Bei einem weiteren Ausführungsbeispiel der vorliegenden Erfindung können die Lampen 40 in Verwendung mit einem Heizer verwendet werden, welcher neben dem Wafer angeordnet ist. Der Heizer kann eine elektrische Widerstandsheizung zum Erwärmen des Wafers umfassen.
  • In 1 umfaßt die Wärmebehandlungskammer 12 bei diesem Ausführungsbeispiel eine Vielzahl von Strahlungserfassungsvorrichtungen, generell 27, um die Temperatur eines Wafers 14 während des Erwärmungsvorgangs zu verfolgen. Die Strahlungserfassungsvorrichtungen 27 umfassen eine Vielzahl optischer Fasern bzw. Lichtleiter 28, welche wiederum mit einer Vielzahl entsprechender Lichtdetektoren 30 verbunden sind. Die optischen Fasern 28 sind geeignet eingerichtet, um Wärmeenergie aufzunehmen, welche durch den Wafer 14 bei einer bestimmten Wellenlänge abgestrahlt wird. Die Menge der erfaßten Strahlung wird sodann zu den Lichtdetektoren 30 übertragen, welche ein geeignetes Spannungssignal zum Bestimmen der Temperatur des Wafers erzeugen, welche teilweise auf Basis des Planck'schen Gesetzes berechnet werden kann. Bei einem Ausführungsbeispiel umfaßt jede optische Faser 28 in Verbindung mit einem Lichtdetektor 30 ein Pyrometer. Bei einem weiteren Ausführungsbeispiel sind die optischen Fasern 28 zu einer einzigen, jedoch in Multiplexbetrieb arbeitenden Strah-Iungserfassungsvorrichtung verlegt.
  • Generell kann die Wärmebehandlungskammer 12 eine Strahlungserfassungsvorrichtung bzw. eine Vielzahl davon enthalten. Bei einem bevorzugten Ausführungsbeispiel, wie in 1 dargestellt, enthält die Wärmebehandlungskammer 12 eine Vielzahl von Strahlungserfassungsvorrichtungen, welche die Temperatur des Wafers an verschiedenen Stellen messen. Die Kenntnis der Temperatur des Wafers an verschiedenen Orten kann sodann verwendet werden, um die Wärmemenge zu regeln, welche auf den Wafer angewandt wird, wie nachfolgend genauer beschrieben. Die Wärmemenge, welche auf verschiedene Bereiche des Wafers angewandt wird, kann ferner in der Weise einer Steuerkette geregelt werden. Bei dieser Anordnung können die Verhältnisse zwischen den verschiedenen Erwärmungsbereichen nach einer manuellen Optimierung vorbestimmt werden.
  • Das System 10 umfaßt ferner ein Fenster 32, welches die Lampen 24 von der Kammer trennt. Das Fenster 32 dient dazu, die Lampen 24 von dem Wafer 14 zu isolieren und eine Verunreinigung der Kammer zu verhindern. Das Fenster 32 kann, wie in 1 dargestellt, ein Fenster sein, welches zwischen der Kammer 12 und einer Wärmequelle 22 angeordnet ist.
  • Außer einer Verwendung von Strahlenerfassungsvorrichtungen können andere Temperaturerfassungsvorrichtungen in dem System der vorlie genden Erfindung verwendet werden. Beispielsweise können ein oder mehrere Thermoelemente zum Verfolgen der Temperatur des Wafers an einem einzigen Ort oder an einer Vielzahl von Orten in dem System aufgenommen werden. Die Thermoelemente können in direktem Kontakt mit dem Wafer angeordnet werden oder können neben dem Wafer angeordnet werden, woraus die Temperatur extrapoliert werden kann.
  • Das System 10 umfaßt ferner eine Systemsteuerung 50, welche beispielsweise ein Mikroprozessor sein kann. Die Steuerung 50 empfängt Spannungssignale von den Lichtdetektoren 30, welche die Strahlungsmengen darstellen, welche an den verschiedenen Orten aufgenommen werden. Auf Basis der empfangenen Signale wird die Steuerung 50 geeignet konfiguriert, um die Temperatur eines Wafers 14 an verschiedenen Orten zu berechnen.
  • Die Systemsteuerung 50 kann, wie in 1 dargestellt, ferner mit einem Lampenstromregler 25 verbunden sein. Bei dieser Anordnung kann die Steuerung 50 die Temperatur eines Wafers 14 bestimmen und auf Basis dieser Information die Wärmeenergiemenge, welche durch die Lampen 24 und/oder die Lampen 40 abgestrahlt wird, regeln. In dieser Weise können sofortige Einstellungen im Hinblick auf die Bedingungen in der Reaktionskammer 12 zum Bearbeiten des Wafers 14 innerhalb sorgfältig geregelter Grenzen erfolgen.
  • Bei einem Ausführungsbeispiel kann die Steuerung 50 ferner verwendet werden, um weitere Elemente in dem System automatisch zu steuern. Beispielsweise kann die Steuerung 50 verwendet werden, um den Durchfluß von Gasen, welche in die Kammer 12 eintreten, durch den Gaseinlaß 18 zu steuern. Wie dargestellt, kann die Steuerung 50 ferner verwendet werden, um die Geschwindigkeit zu steuern, mit welcher der Wafer 14 in der Kammer gedreht wird.
  • Wie oben beschrieben, betrifft die vorliegende Erfindung generell das Einrichten verschiedener Lichtquellen in Wärmebehandlungskammern, um die Absorption von Lichtenergie durch den Wafer, welcher erwärmt wird, zu optimieren. Es ist die Absicht der vorliegenden Erfindung, die Probleme, welche in der Vergangenheit auftraten, zu minimieren, wenn Wafer, welche verschiedene Eigenschaften aufweisen und/oder mit verschiedenen Materialien beschichtet sind, bearbeitet werden. Generell betrifft die vorliegende Erfindung das Ändern des Einfallswinkels der Lichtquellen, um die Absorption zu maximieren, das Abstimmen des Lichts, welches durch die Lichtquellen abgestrahlt wird, so daß dieses in dem p-polarisierten Zustand bzw. im wesentlichen in dem p-polarisierten Zustand angeordnet wird, um die Absorption zu optimieren und/oder das Verwenden mehrerer verschiedener Wellenlängen, um zu gewährleisten, daß zumindest ein Teil der Lichtenergie wirksam durch den Wafer absorbiert wird.
  • Die 4 und 5 sollen einige der Konzepte darstellen, welche mit der vorliegenden Erfindung verbunden sind. 4 stellt das Absorptionsspektrum eines Halbleiterwafers dar, welcher mit einer zweischichtigen Beschichtung beschichtet ist. Die zweischichtige Beschichtung umfaßt eine untere Beschichtung aus Siliziumdioxid und eine obere Beschichtung aus Polysilizium. Speziell war die untere Beschichtung aus Siliziumdioxid 0,5 Mikrometer dick, während die obere Beschichtung aus Polysilizium 0,2 Mikrometer dick war. Der Graph, welcher in 4 dargestellt ist, stellt dar, wie sich die Absorption mit der Wellenlänge ändert. Ferner umfaßt der Graph drei Kurven, welche das Absorptionsvermögen für Lichtenergie, welche mit einem Einfallswinkel von 45° auf den Wafer trifft, für die drei Fälle darstellen, daß sich die Lichtenergie in (1) dem p-polarisierten Zustand, (2) dem spolarisierten Zustand und (3) dem unpolarisierten Zustand befindet. Wie dargestellt, ergibt der unpolarisierte Zustand den Mittelwert des s-polarisierten und des p-polarisierten Zustands.
  • Wie in 4 dargestellt, wird die Schwankungsbreite des Absorptionsspektrums dadurch vermindert, daß Lichtenergie in dem p-polarisierten Zustand auf den Wafer trifft. Ferner ist das Absorptionsvermögen bei jeder gegebenen Wellenlänge für das p-polarisierte Licht größer, was eine bessere und wirksamere Energieübertragung anzeigt.
  • 5 stellt das Absorptionsspektrum der gleichen Struktur dar, welche verwendet wird, um die Ergebnisse zu erzeugen, welche in 4 dargestellt sind. Bei dem Graph, welcher in 5 dargestellt ist, stellen jedoch sämtliche Kurven Lichtenergie in dem p-polarisierten Zustand dar. Bei diesem Graph variiert der Einfallswinkel zwischen lotrecht (0°), 45° und 60°.
  • Wie dargestellt, werden die Schwankungen des Absorptionsvermögens vermindert, wenn der Einfallswinkel von 0° auf 60° ansteigt. Ferner ist ein gesteigertes Absorptionsvermögen bei verschiedenen Wellenlängen zu erkennen, abhängig von dem Einfallswinkel.
  • Die 4 und 5 stellen dar, daß gemäß der vorliegenden Erfindung verschiedene Vorteile verwirklicht werden können, wenn (1) mehrere Lichtwellenlängen verwendet werden, (2) das Licht in dem p-polarisierten Zustand ausgerichtet ist und (3) der Einfallswinkel auf mehr als 0° erhöht wird. Ferner stellt 5 auch den möglichen Vorteil des Anordnens der Lampen mit mehr als einem Einfallswinkel für ein weiteres Optimieren der Absorption dar.
  • Unter Verweis auf die 6 bis 9 werden im folgenden verschiedene Anwendungen der vorliegenden Erfindung genau erörtert. Die 6 und 7 betreffen speziell die Verwendung von Lasern zum Erwärmen von Halbleiterwafern, während die 8 und 9 das Anwenden der Konzepte der vorliegenden Erfindung auf inkohärente Lichtquellen betreffen.
  • In 6 ist ein Laser 40 dargestellt, welcher einen Laserstrahl 60 mit einem Einfallswinkel 8 auf einen Wafer 14 abstrahlt. Generell emittieren Laser Licht in einem relativ schmalen Wellenlängenbereich. Laser können sehr wirksame Erwärmungsvorrichtungen sein, welche Licht mit sehr hoher Intensität liefern. Aufgrund des stark monochromatischen Charakters von Laserstrahlung erfolgt jedoch im Effekt eine sehr geringe spektrale Mittelung der Energieabsorption durch den Wafer, wodurch eine Lasererwärmung besonders anfällig für Energieabsorptionsschwankungen während eines einzigen Erwärmungszyklus für einen Halbleiterwafer und während der Bearbeitung verschiedener Wafer wird.
  • Wie in 6 dargestellt, können Absorptionsschwankungen durch Ändern des Einfallswinkels des Laserstrahls 60 minimiert werden. Wie oben beschrieben, ist das Reflexionsvermögen der meisten Oberflächen eine Funktion des Einfallswinkels. Somit kann das Ändern des Einfallswinkels des Laserlichts, welches auf den Wafer trifft, die Absorption steigern.
  • Für die meisten Anwendungen beim Bearbeiten von Halbleiterwafern erfolgt eine Optimierung der Absorption, wenn der Einfallswinkel größer als 10° ist, wie etwa von etwa 40° bis etwa 85° und insbesondere von etwa 60° bis etwa 85°. Insbesondere ist das Reflexionsvermögen in der Nähe eines kritischen Winkels, das bedeutet, des Brewster-Winkels, sehr klein. Für Silizium beträgt der Brewster-Winkel etwa 75°.
  • Besonders vorteilhaft beim Verwenden von Lasern ist, daß nicht nur die Strahlung stark gerichtet ist, sondern auch viele Typen von Laserlicht natürlicherweise linear polarisiert sind. Infolgedessen kann der Laserstrahl beim Einstellen des Einfallswinkels auch in der p-Polarisationsebene hinsichtlich der Waferoberfläche ausgerichtet werden. Wie oben in den 4 und 5 dargestellt, kann eine Optimierung der Lichtübertragung auf den Wafer erfolgen, wenn das Licht in dem p-polarisierten Zustand ausgerichtet wird.
  • Es sei jedoch bemerkt, daß es neben dem Ausrichten des Lichts in dem p-polarisierten Zustand bei anderen Ausführungsbeispielen bevorzugt sein kann, das Licht in anderen Ausrichtungen auszurichten. Beispielsweise kann das Licht bei einem alternativen Ausführungsbeispiel nicht in dem p-polarisierten Zustand, sondern in der Nähe des p-polarisierten Zustands ausgerichtet werden. Bei einem alternativen Ausführungsbeispiel kann elliptisch polarisiertes Licht auf den Wafer gerichtet werden. Elliptisch polarisiertes Licht bedeutet eine Polarisation einer elektromagnetischen Welle, wobei der Vektor des elektrischen Felds an jedem Raumpunkt eine Ellipse in einer Ebene, welche lotrecht zu der Fortpflanzungsrichtung verläuft, beschreibt.
  • Die spezielle Lichtausrichtung, welche bei einer Anwendung verwendet wird, hängt von verschiedenen Faktoren ab. Beispielsweise kann die Topografie der Oberfläche, welche erwärmt wird, eine Rolle beim Bestimmen, wie das Licht, welches auf den Wafer trifft, ausgerichtet werden soll, spielen. Rillen, Winkel und andere nicht glatte Merkmale einer Oberfläche können beispielsweise einen Einfluß auf die Absorptionseigenschaften des Wafers ausüben. Beim Umgang mit einem Substrat mit einer dreidimensionalen Oberfläche kann bei einem Ausführungsbeispiel eine ebene Oberfläche definiert werden, welche die Oberflächenunregelmäßigkeiten am besten berücksichtigt. Wenn die ebene Oberfläche definiert ist, können die Polarisation, der Einfallswinkel und die Wellenlänge des Lichts, welches auf die Oberfläche treffen soll, ausgewählt werden.
  • Es können verschiedene Verfahren verwendet werden, um die Laservorrichtung geeignet auszurichten, um zu gewährleisten, daß der Laserstrahl 60 in der p-Polarisationsebene oder in einer anderen wünschenswerten Ausrichtung auf den Wafer 14 trifft. Beispielsweise kann, um den Laserstrahl auf den p-polarisierten Zustand einzustellen, der Laser gedreht werden, oder der Laserstrahl kann unter Verwendung von Spiegeln und/oder optischen Systemen manipuliert werden. Bei einem Beispiel kann beispielsweise ein Halb- Wellenlängenplättchen verwendet werden, um den Laserstrahl in einer definierten Ebene auszurichten, wie etwa einer p-Polarisationsebene.
  • Alternativ bzw. zusätzlich zu dem Einstellen des Einfallswinkels und dem Ausrichten des Laserstrahls in einem p-polarisierten Zustand können mehrere verschiedene Typen von Lasern in der Wärmebehandlungskammer verwendet werden, welche jeweils Licht mit verschiedenen Wellenlängen emittieren. Beispielsweise können, wie in 7(a) dargestellt, ein erster Laser 40 und ein zweiter Laser 140 Licht mit verschiedenen Wellenlängen auf den Wafer 14 abstrahlen.
  • Somit kann, wenn ein bestimmtes Substrat ein hohes Reflexionsvermögen bei der Wellenlänge, bei welcher ein Laser arbeitet, der zweite Laser, welcher bei einer anderen Wellenlänge arbeitet, verwendet werden, um den Wafer zu erwärmen. Die Strahlung von den verschiedenen Lasern kann optisch kombiniert werden, bevor diese auf den Wafer trifft. Alternativ können verschiedene Lichtstrahlen von den verschiedenen Lasern einen ausgewählten Bereich des Wafers beleuchten. Bei einem weiteren alternativen Ausführungsbeispiel können verschiedene Lichtstrahlen den gleichen Waferradius bestrahlen, wenn der Wafer gedreht wird. Die Laser können geeignet angeordnet werden, um die Vorderseite und/oder die Rückseite des Wafers zu beleuchten. Ferner kann bei einem Ausführungsbeispiel die Vorderseite des Wafers mit einer bestimmten Wellenlänge bzw. einem bestimmten Wellenlängenbereich erwärmt werden, während die Rückseite des Wafers durch Licht mit einer verschiedenen Wellenlänge bzw. einem verschiedenen Wellenlängenbereich erwärmt werden kann.
  • Bei einem Ausführungsbeispiel der vorliegenden Erfindung können einstellbare Laser verwendet werden, um den Wafer zu erwärmen. Beispielsweise können Laser verwendet werden, welche eine einstellbare Wellenlängenfestlegung zum Einstellen der Wellenlänge während eines bestimmten Erwärmungszyklus oder zwischen Erwärmungszyklen aufweisen.
  • Neben dem Einstellen der Wellenlänge können Laser, welche bei der vorliegenden Erfindung verwendet werden, ferner beweglich sein, um den Einfallswinkel während einer Bearbeitung einzustellen. In dieser Weise kann eine optimale Energieübertragung zwischen den Lichtquellen und dem Wafer erfolgen, wenn sich die Merkmale und Eigenschaften der Oberfläche des Wafers, welcher erwärmt wird, ändern.
  • Neben dem Verwenden von Lasern, welche bei verschiedenen Wellenlängen arbeiten, können, wie in 7(a) dargestellt, die Einfallswinkel jedes Lasers 40 und 140 verschieden sein, um zu gewährleisten, daß mindestens einer der Laser während des Erwärmungsvorgangs einen hohen Absorptionsgrad aufweist.
  • Mehrere Einfallswinkel können unter Verwendung mehrerer Laser erzeugt werden, wie in 7(a) dargestellt, oder können unter Verwendung eines einzigen Lasers verwirklicht werden, wie in 7(b) dargestellt. Wie in 7(b) dargestellt, emittiert ein Laser 40 einen Laserstrahl 60, welcher durch eine Strahlteilervorrichtung 70 in zwei Strahlen 62 und 64 geteilt wird. Bei diesem Ausführungsbeispiel wird sodann ein Spiegel 72 verwendet, um den Laserstrahl 64 mit einem Einfallswinkel, welcher von dem Einfallswinkel des Laserstrahls 62 verschieden ist, auf den Wafer 14 zu richten. Es sei jedoch bemerkt, daß der Laserstrahl außer durch einen Spiegel 72 beispielsweise unter Verwendung faseroptischer Systeme, Linsen, Lichtleitern und ähnlichem auf den Wafer gerichtet werden kann.
  • Generell kann bei der vorliegenden Erfindung jeder geeignete Lasertyp verwendet werden, wobei dies sowohl kontinuierliche Laser als auch Impulslaser umfaßt. Bei einem Ausführungsbeispiel wird eine Laserdiode verwendet. Laserdioden wandeln Elektrizität wirksam in Laserstrahlung um und sind mit hohen Nennleistungen verfügbar. Beispielsweise sind Hochleistungsvorrichtungen, welche eine kontinuierliche Leistung von mehr als 10 Watt liefern, gegenwärtig im Handel erhältlich, mit Emissionswellenlängen zwischen 400 nm und 4000 nm. Die oben beschriebenen Laser können mit optischen Strahlleitsystemen kombiniert werden, welche den emittierten Strahl umformen und diesen auf den Wafer richten. Beispielsweise kann der Laser mit faseroptischen Systemen verbunden werden, um das Licht auf einen bestimmten Ort des Wafers zu leiten.
  • Bei einem speziellen Ausführungsbeispiel der vorliegenden Erfindung wurde entdeckt, daß die Verwendung von Impulslasern verschiedene Vorteile bieten kann. Impulslaser erzeugen große Energiemengen in unterbrochener Weise. Derartige Laser können besonders gut zur Verwendung bei Ausheilverfahren geeignet sein, besonders bei Ionenimplantationsbeschädigungs-Ausheilverfahren. Es wird erwartet, daß Impulslaser eine gesteuerte Schadensausheilung zum Erzeugen von Filmen mit überlegener Güte ermöglichen können.
  • Wie oben beschrieben, emittieren Laser stark gerichtetes Licht. Um die gesamte Oberfläche eines Halbleiterwafers unter Verwendung von Lasern zu erwärmen, können verschiedene Techniken verwendet werden. Beispielsweise kann bei einem Ausführungsbeispiel eine Vielzahl von Lasern in der Wärmebehandlungskammer angeordnet werden, um auf verschiedene Bereiche des Wafers zu treffen. Wenn dies erwünscht ist, können die Laserstrahlen, welche durch die Laser emittiert werden, auch unter Verwendung optischer Systeme geformt werden, solange das Formen des Strahls die in der vorliegenden Schrift beschriebenen Optimierungstechniken nicht stört. Alternativ kann der Laserstrahl über die gesamte Oberfläche des Wafers bzw. über einen ausgewählten Bereich geführt werden.
  • Neben dem Abstimmen von Laserstrahlen ist die vorliegende Erfindung ferner auf Lichtstrahlen anwendbar, welche durch inkohärente Lichtquellen emittiert werden, wie etwa Wolfram-Halogenlampen oder Bogenlampen. Die Lichtquellen können kontinuierliches Licht oder Impulslicht abstrahlen. Inkohärente Lichtquellen strahlen Lichtenergie typischerweise in einem breiteren Spektrum ab als Laser. Infolgedessen erfolgen geringere Schwankungen der Energieübertragung, wenn inkohärente Lichtquellen verwendet werden, aufgrund eines größeren Wellenlängenbereichs und normalerweise eines breiteren Einfallswinkelbereichs. Dennoch kann die vorliegende Erfindung verwendet werden, um die Energieübertragung zwischen inkohärenten Lichtquellen und einem Wafer, welcher erwärmt wird, weiter zu verbessern.
  • Beispielsweise kann bei einem Ausführungsbeispiel Licht, welches durch eine inkohärente Lichtquelle abgestrahlt wird, erfindungsgemäß kollimiert, polarisiert und geeignet ausgerichtet werden, um mit einem Einfallswinkel von mehr als 0°, speziell von mehr als 10°, auf den Wafer zu treffen.
  • Beispielsweise ist in 8 eine inkohärente Lichtquelle 40 dargestellt, welche Lichtenergie auf den Wafer 14 abstrahlt. Die inkohärente Lichtquelle 40 kann beispielsweise eine Bogenlampe, eine Wolfram-Halogenlampe und ähnliches sein. Wie in der Figur dargestellt, wird das Licht, welches von der Lampe 40 abgestrahlt wird, unter Verwendung eines Reflektors 80 kollimiert, so daß der größte Teil des Lichts mit einem bestimmten Einfallswinkel auf den Wafer 14 trifft.
  • Der Reflektor 80 umgibt die Lichtquelle 40 und kann verschiedene Gestalten aufweisen. Generell jedoch erzeugt eine parabolische Gestalt, wie dargestellt, einen kollimierten Ausgangsstrahl.
  • Neben dem Verwenden eines Reflektors können verschiedene andere Vorrichtungen verwendet werden, um das Licht zu kollimieren, welches durch die Lampe 40 abgestrahlt wird. Beispielsweise können bei einem alternativen Ausführungsbeispiel optische Linsen verwendet werden, um das Licht besser auf den Wafer zu richten. Beispielsweise können eine Sammellinse, eine zylindrische Linse oder eine Zonenplatte alleine oder in Verbindung mit Reflektoren verwendet werden, um das Licht zu kollimieren.
  • Neben dem Kollimieren des Lichts, welches durch die Lampe 40 abgestrahlt wird, kann, wie in 8 dargestellt, das System der vorliegenden Erfindung ferner eine Polarisationsvorrichtung 82 umfassen. Die Polarisationsvorrichtung 82 wird derart ausgewählt, daß sich das Licht, welches aus der Polarisationsvorrichtung austritt, in dem p-polarisierten Zustand befindet. Als Ergebnis dieser Anordnung trifft die Lichtenergie, welche durch die Lampe 40 abgestrahlt wird, in einem erwünschten Einfallswinkel und in dem p-polarisierten Zustand auf den Wafer 14, um die Absorption durch den Wafer zu optimieren.
  • Neben dem Ausrichten des Lichts in dem p-polarisierten Zustand kann die Polarisationsvorrichtung 82 ferner verwendet werden, um das Licht in einem annähernd p-polarisierten Zustand auszurichten, oder kann verwendet werden, um elliptisch polarisiertes Licht zu erzeugen, wie dies erwünscht ist.
  • Viele Polarisationsvorrichtungen 82, wie in 8 dargestellt, können jedoch die Menge der Lichtenergie, welche den Wafer erreicht, bedeutend vermindern. Beispielsweise entfernen einige Polarisationsvorrichtungen bis zu etwa 50% der Energie, welche durch die Lampe 40 abgestrahlt wird. Um das Licht, welches von der Lampe 40 abgestrahlt wird, wirksamer zu polarisieren, kann bei einem weiteren Ausführungsbeispiel eine polarisierende Strahlteilervorrichtung 84 verwendet werden, wie in 9 dargestellt. Die polarisierende Strahlteilervorrichtung 84 empfängt das kollimierte Licht, welches durch die Lampe 40 abgestrahlt wird, und teilt das Licht in zwei Strahlen 90 und 92. Die polarisierende Strahlteilervorrichtung 84 ist derart eingerichtet, daß sich der Strahl 90 in dem p-polarisierten Zustand befindet. Wie dargestellt, trifft der Strahl 90 mit einem ersten Einfallswinkel auf den Wafer 14.
  • Demgegenüber wird der Strahl 92 sodann unter Verwendung eines Spiegels, optischer Systeme, eines Halbwellenlängenplättchens oder einer anderen geeigneten optischen Vorrichtung 86 weiter umgelenkt. Speziell wird der Lichtstrahl 92 gedreht, ausgerichtet oder anders manipuliert und auf den Wafer 14 als Lichtstrahl 94 in dem p-polarisierten Zustand oder in einer anderen erwünschten Ausrichtung mit einem zweiten Einfallswinkel umgelenkt. Die Einfallswinkel der Strahlen 90 und 94 können gleich oder verschieden sein.
  • Bei der vorliegenden Erfindung können mehrere verschiedene Polarisationsvorrichtungen verwendet werden. Die polarisierende Strahlteilervorrichtung 84 kann beispielsweise ein Drahtgitterpolarisator, eine mit einem dünnen Film beschichtete Vorrichtung, wie etwa eine mit einem dielektrischen Film beschichtete Vorrichtung, ein Strahlteilungswürfel oder eine andere geeignete Vorrichtung sein.
  • In 1 wiederum kann, wie oben beschrieben, das System der vorliegenden Erfindung eine Steuerung 50 umfassen. Die Steuerung 50 kann verwendet werden, um die Temperatur des Wafers zu verfolgen und die Menge der Lichtenergie zu regeln, welche dementsprechend auf den Wafer abgestrahlt wird. Bei einem Ausführungsbeispiel der vorliegenden Erfindung kann die Steuerung 50 in Verbindung mit einem oder mehreren Sensoren 98 angeordnet werden. Die Sensoren 98 können verwendet werden, um die Strahlungsmenge zu erfassen, welche von dem Wafer reflektiert wird. Speziell können die Sensoren dazu beitragen, die reflektierte Leistungsmenge zu schätzen, um die Eingangsleistung einzustellen, welche zu dem Wafer geschickt wird. Die Sensoren 98 sind besonders bei einem System anwendbar, welches Laserbeleuchtung verwendet, wobei eine einfache Schätzung der Übertragung aus der Intensität des reflektierten Strahls erhalten werden kann. Diese Schätzung kann vor einer Bearbeitung unter Verwendung eines Strahls mit niedrigerer Leistung oder während einer Bearbeitung aus dem tatsächlichen Strahl erhalten werden.
  • Neben dem Ändern der Energiemenge, welche zu dem Wafer geschickt wird, kann ferner die Information, welche von den Sensoren gesammelt wird, verwendet werden, um die Wellenlänge des Lichts, welches auf den Wafer trifft, zu ändern und/oder den Einfallswinkel des Lichts, welches auf den Wafer trifft, zu ändern.
  • Die Sensoren 98 können eine beliebige geeignete Vorrichtung sein, welche in der Lage ist, reflektiertes Licht zu erfassen. Beispielsweise können die Sensoren 98 Photodetektoren oder Wärmedetektoren sein.
  • Bei einem weiteren Ausführungsbeispiel der vorliegenden Erfindung kann das System Reflektoren umfassen, welche in der Wärmebehandlungskammer angeordnet sind. Die Reflektoren können verwendet werden, um Lichtenergie, welche von dem Wafer reflektiert wird, zurück auf den Wafer zu reflektieren. Wiederum sind derartige Reflektoren besonders gut zur Verwendung in Systemen geeignet, welche Laserstrahlen verwenden. Ein Beispiel eines Reflektors, welcher verwendet werden kann, ist ein Würfeleckenrückstrahler, welcher Licht, welches von dem Wafer reflektiert wird, mit dem gleichen Reflexionswinkel auf den Wafer zurückschickt. Durch Reflektieren des Lichts zurück auf den Wafer wird beim Erwärmen weitere Lichtenergie durch den Wafer absorbiert.
  • Bei einem weiteren Ausführungsbeispiel der vorliegenden Erfindung können die Techniken und Vorteile der vorliegenden Endung verwendet werden, um nicht nur den Wafer 14, sondern auch einen schlupffreien Ring 99, wie in 1 dargestellt, zu erwärmen. Ein schlupffreier Ring bedeutet eine Vorrichtung, welche die Kanten eines Wafers vollständig umschließt bzw. zumindest im wesentlichen umgibt und weitere Energie liefert, um die Kanten des Wafers beim Bearbeiten zu erwärmen. Schlupffreie Ringe werden generell verwendet, um Wärmeverlusten entgegenzuwirken, welche an der Kante des Wafers erfolgen.
  • Erfindungsgemäß kann ein schlupffreier Ring 99, wie in 1 dargestellt, durch die Lichtvorrichtungen 40 in einer Weise erwärmt werden, welche die Wärmeübertragung optimiert. Beispielsweise können die Lichtvorrich tungen 40 Licht mit einem bestimmten Einfallswinkel und in einem bestimmten Polarisationszustand abstrahlen, um die Wärmeenergiemenge zu optimieren, welche durch den schlupffreien Ring 99 absorbiert wird. Der spezielle Einfallswinkel, die Lichtwellenlänge und der Polarisationszustand des Lichts, welches den schlupffreien Ring erwärmt, hängen von dem Material ab, aus welchem der schlupffreie Ring hergestellt ist. Beispielsweise kann der schlupffreie Ring aus verschiedenen Materialien hergestellt werden, wobei dies Silizium, Siliziumkarbid, Graphit, mit Siliziumkarbid beschichtetes Graphit und Quarz neben verschiedenen anderen Materialien umfaßt.
  • Erfindungsgemäß kann der schlupffreie Ring 99 ferner mit verschiedenen Materialien beschichtet werden, um die Lichtabsorption zu optimieren. Beispielsweise kann der schlupffreie Ring mit reflexionsdämpfenden Materialien beschichtet werden. Beispielsweise kann bei einem Ausführungsbeispiel ein Siliziumring mit Siliziumdioxid oder Siliziumnitrid beschichtet werden.
  • Diese und weitere Abwandlungen und Variationen der vorliegenden Erfindung können durch gewöhnlich Fachkundige ausgeführt werden, ohne Prinzip und Umfang der vorliegenden Erfindung zu verlassen, welche in den beigefügten Ansprüchen genauer dargelegt sind. Ferner sei darauf hingewiesen, daß Aspekte der verschiedenen Ausführungsbeispiele sowohl vollständig als auch teilweise ausgetauscht werden können. Ferner ist für gewöhnlich Fachkundige zu ersehen, daß die vorangehende Beschreibung lediglich beispielhaft erfolgt und die Erfindung nicht begrenzen soll, welche in den beigefügten Ansprüchen weiter beschrieben ist.
  • Zusammenfassung
  • Vorrichtung zum Wärmebehandeln von Halbleiterwafern (14), welche eine Erwärmungsvorrichtung (22) umfaßt, welche eine Anordnung geradliniger Lampen (24) zum Abstrahlen von Lichtenergie auf einen Wafer (14) enthält. Die geradlinigen Lampen (24) können in verschiedenen Anordnungen angeordnet werden. Erfindungsgemäß sind Abstimmungsvorrichtungen (40), welche verwendet werden, um die Gesamtstrahlungsverteilung der Lichtenergiequellen einzustellen, in der Erwärmungsvorrichtung (22) aufgenommen. Die Abstimmungsvorrichtungen (40) können beispielsweise Lampen oder Laser sein.
    (1)

Claims (52)

  1. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer; – Richten von Lichtenergie auf das Halbleitersubstrat, um das Halbleitersubstrat zu erwärmen, wobei die Lichtenergie mit einem Einfallswinkel von mehr als 0° auf das Substrat trifft; und – wobei die Lichtenergie in einer p-Polarisationsebene bzw. in der Nähe der p-Polarisationsebene auf das Halbleitersubstrat trifft.
  2. Verfahren nach Anspruch 1, wobei die Lichtenergie von einer inkohärenten Lichtquelle abgestrahlt wird.
  3. Verfahren nach Anspruch 1, wobei die Lichtenergie mit einem Einfallswinkel von mehr als 10° auf das Halbleitersubstrat trifft.
  4. Verfahren nach Anspruch 1, wobei die Lichtenergie polarisiert wird, wobei ein erster p-polarisierter Lichtenergiestrahl und ein zweiter p-polarisierter Lichtenergiestrahl erzeugt werden, wobei der erste und der zweite p-polarisierte Lichtenergiestrahl auf das Halbleitersubstrat gerichtet werden.
  5. Verfahren nach Anspruch 1, ferner umfassend den Schritt des Kollimierens der Lichtenergie vor dem Polarisieren der Lichtenergie.
  6. Verfahren nach Anspruch 5, wobei die Lichtenergie unter Verwendung einer Reflexionsvorrichtung kollimiert wird.
  7. Verfahren nach Anspruch 5, wobei die Lichtenergie unter Verwendung einer optischen Linse kollimiert wird.
  8. Verfahren nach Anspruch 1, wobei die Lichtenergie unter Verwendung einer Drahtgitter-Polarisationsvorrichtung polarisiert wird.
  9. Verfahren nach Anspruch 1, wobei das Halbleitersubstrat durch die Lichtenergie in Verbindung mit weiteren Energiequellen erwärmt wird.
  10. Verfahren nach Anspruch 9, wobei die weiteren Energiequellen Lichtenergiequellen umfassen.
  11. Verfahren nach Anspruch 1, wobei die Lichtenergie durch eine Bogenlampe oder eine Wolfram-Halogenlampe abgestrahlt wird.
  12. Verfahren nach Anspruch 1, wobei die Lichtenergie mit einem Einfallswinkel von etwa 40° bis etwa 85° auf das Halbleitersubstrat trifft.
  13. Verfahren nach Anspruch 1, ferner umfassend den Schritt des Erfassens der Menge der Lichtenergie, welche von dem Halbleitersubstrat reflektiert wird und des Änderns der Abstimmung der Lichtenergie auf Basis dieser Information, um die Menge der Lichtenergie, welche durch das Halbleitersubstrat absorbiert wird, zu ändern.
  14. Verfahren nach Anspruch 1, wobei das Halbleitersubstrat durch die Lichtenergie in Verbindung mit einem elektrischen Widerstandsheizelement erwärmt wird.
  15. Verfahren nach Anspruch 1, ferner umfassend einen Schritt des Umlenkens eines Anteils der Lichtenergie, welcher von dem Halbleitersubstrat reflektiert wird, auf das Halbleitersubstrat.
  16. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer; und – Richten von Laserstrahlen von mindestens einem ersten Laser und einem zweiten Laser auf das Halbleitersubstrat, wobei der erste Laser Licht in einem ersten Wellenlängenbereich emittiert und der zweite Laser Licht in einem zweiten Wellenlängenbereich emittiert, wobei der erste Wellenlängenbereich von dem zweiten Wellenlängenbereich verschieden ist.
  17. Verfahren nach Anspruch 16, wobei der erste Wellenlängenbereich und der zweite Wellenlängenbereich nicht überlappen.
  18. Verfahren nach Anspruch 16, wobei sich die Laserstrahlen in einem p-polarisierten Zustand befinden.
  19. Verfahren nach Anspruch 16, wobei der erste Laser einen ersten Laserstrahl emittiert und der zweite Laser einen zweiten Laserstrahl emittiert und wobei der erste und der zweite Laserstrahl mit einem Einfallswinkel von mehr als 10° auf das Halbleitersubstrat treffen.
  20. Verfahren nach Anspruch 19, wobei der erste und der zweite Laserstrahl mit einem Einfallswinkel von etwa 40° bis etwa 85° auf das Halbleitersubstrat treffen.
  21. Verfahren nach Anspruch 19, wobei der erste Laserstrahl mit einem ersten Einfallswinkel auf das Halbleitersubstrat trifft und der zweite Laserstrahl mit einem zweiten Einfallswinkel auf das Halbleitersubstrat trifft, wobei der erste Einfallswinkel und der zweite Einfallswinkel verschieden sind.
  22. Verfahren nach Anspruch 16, wobei das Halbleitersubstrat zusätzlich zu den Laserstrahlen durch weitere Energiequellen erwärmt wird.
  23. Verfahren nach Anspruch 16, wobei zumindest bestimmte der Laserstrahlen Impulslaserstrahlen sind.
  24. Verfahren nach Anspruch 16, wobei das Halbleitersubstrat neben Laserstrahlen durch ein elektrisches Widerstandsheizelement erwärmt wird.
  25. Verfahren nach Anspruch 16, ferner umfassend den Schritt des Umlenkens eines Anteils der Laserstrahlen, welcher von dem Halbleitersubstrat reflektiert wird, zurück auf das Halbleitersubstrat.
  26. Verfahren nach Anspruch 16, ferner umfassend den Schritt des Erfassens der Menge der Lichtenergie von einem der Laser, welche von dem Halbleitersubstrat reflektiert wird, und des Änderns der Abstimmung mindestens eines der Laser, um die Menge der Lichtenergie, welche durch das Halbleitersubstrat absorbiert wird, zu ändern.
  27. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer; – Richten eines Impulslaserstrahls auf das Halbleitersubstrat; – Einrichten des Impulslaserstrahls, welcher auf das Substrat trifft, mit einem Einfallswinkel von mindestens 10°; und – Einrichten des Impulslaserstrahls, welcher auf das Substrat trifft, so daß der Impulslaserstrahl in einer p-Polarisationsebene auf das Substrat trifft.
  28. Verfahren nach Anspruch 27, wobei der Impulslaserstrahl auf das Halbleitersubstrat trifft, um ein Ionenimplantations-Ausheilverfahren auszuführen.
  29. Verfahren nach Anspruch 27, wobei der Impulslaserstrahl mit einem Einfallswinkel von etwa 40° bis etwa 85° auf das Substrat trifft.
  30. Verfahren nach Anspruch 27, wobei das Halbleitersubstrat neben dem Impulslaserstrahl durch weitere Energiequellen erwärmt wird.
  31. Verfahren nach Anspruch 27, wobei zusätzlich zu dem Impulslaserstrahl mindestens ein weiterer Laserstrahl auf das Halbleitersubstrat trifft, wobei der weitere Laserstrahl mit einem Einfallswinkel auf das Halbleitersubstrat trifft, welcher von dem Einfallswinkel verschieden ist, mit welchem der Impulslaserstrahl auf das Halbleitersubstrat trifft.
  32. Verfahren nach Anspruch 31, wobei der weitere Laserstrahl gleichfalls ein Impulslaserstrahl ist.
  33. Verfahren nach Anspruch 27, wobei zusätzlich zu dem Impulslaserstrahl mindestens ein weiterer Laserstrahl auf das Halbleitersubstrat trifft, wobei der weitere Laserstrahl einen Wellenlängenbereich aufweist, welcher von dem Wellenlängenbereich des Impulslaserstrahls verschieden ist.
  34. Verfahren nach Anspruch 27, ferner umfassend den Schritt des Umlenkens eines Anteils des Impulslaserstrahls, welcher von dem Halbleitersubstrat reflektiert wird, auf das Halbleitersubstrat.
  35. Verfahren nach Anspruch 27, wobei das Halbleitersubstrat neben einem Laserstrahl durch ein elektrisches Widerstands-Heizelement erwärmt wird.
  36. Verfahren nach Anspruch 27, ferner umfassend den Schritt des Erfassens der Stärke des Impulslaserstrahls, welche von dem Halbleitersubstrat reflektiert wird, und des Änderns der Abstimmung des Impulslaserstrahls auf Basis dieser Information, um die Menge der Lichtenergie, welche durch das Halbleitersubstrat absorbiert wird, zu ändern.
  37. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer; und – Richten mindestens eines ersten Laserstrahls und eines zweiten Laserstrahls auf das Halbleitersubstrat, um das Substrat zu erwärmen, wobei der erste Laserstrahl mit einem ersten Einfallswinkel auf das Halbleitersubstrat trifft, der zweite Laserstrahl mit einem zweiten Einfallswinkel auf das Halbleitersubstrat trifft, der erste Einfallswinkel von dem zweiten Einfallswinkel verschieden ist und der erste und der zweite Laserstrahl jeweils in einem p-polarisierten Zustand auf das Halbleitersubstrat treffen.
  38. Verfahren nach Anspruch 37, wobei der erste Laserstrahl und der zweite Laserstrahl von dem gleichen Laser emittiert werden.
  39. Verfahren nach Anspruch 37, wobei der erste Laserstrahl von einem ersten Laser emittiert wird und der zweite Laserstrahl von einem zweiten Laser emittiert wird.
  40. Verfahren nach Anspruch 37, wobei mindestens der erste Laserstrahl einen Impulslaserstrahl umfaßt.
  41. Verfahren nach Anspruch 37, wobei der erste Einfallswinkel und der zweite Einfallswinkel größer als 10° sind.
  42. Verfahren nach Anspruch 37, wobei der erste Einfallswinkel und der zweite Einfallswinkel von etwa 40° bis 85° reichen.
  43. Verfahren nach Anspruch 37, wobei der erste Laserstrahl einen ersten Wellenlängenbereich aufweist und der zweite Laserstrahl einen zweiten Wel lenlängenbereich aufweist und wobei der erste Wellenlängenbereich von dem zweiten Wellenlängenbereich verschieden ist.
  44. Verfahren nach Anspruch 37, wobei das Halbleitersubstrat zusätzlich zu dem ersten Laserstrahl und dem zweiten Laserstrahl durch weitere Energiequellen erwärmt wird.
  45. Verfahren nach Anspruch 37, ferner umfassend den Schritt des Erfassens der Stärke der Laserstrahlen, welche von dem Halbleitersubstrat reflektiert wird, und des Änderns der Abstimmung mindestens eines der Laser auf Basis dieser Information, um die Menge der Lichtenergie, welche durch das Halbleitersubstrat absorbiert wird, zu ändern.
  46. Verfahren nach Anspruch 37, ferner umfassend den Schritt des Erfassens der Menge der Lichtenergie von einem der Laser, welche von dem Halbleitersubstrat reflektiert wird, und des Änderns der Abstimmung mindestens eines der Laser auf Basis dieser Information, um die Menge der Lichtenergie, welche durch das Halbleitersubstrat absorbiert wird, zu ändern.
  47. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer, wobei das Substrat zumindest im wesentlichen durch einen schlupffreien Ring umgeben ist; und – Richten von Lichtenergie auf den schlupffreien Ring, um das Halbleitersubstrat zu erwärmen, wobei die Lichtenergie mit einem Einfallswinkel von mehr als 0° auf den schlupffreien Ring trifft, wobei die Lichtenergie ferner in einem p-polarisierten Zustand, einem elliptisch polarisierten Zustand oder einem annähernd p-polarisierten Zustand auf den schlupffreien Ring trifft.
  48. Verfahren nach Anspruch 47, wobei das Halbleitersubstrat ferner durch ein elektrisches Widerstandsheizelement erwärmt wird.
  49. Verfahren nach Anspruch 47, wobei der schlupffreie Ring mindestens durch einen Laser erwärmt wird.
  50. Verfahren nach Anspruch 47, wobei die Lichtenergie gleichfalls auf das Halbleitersubstrat gerichtet wird und dieses erwärmt.
  51. Verfahren nach Anspruch 47, wobei der schlupffreie Ring mit einer reflexionsdämpfenden Beschichtung beschichtet ist.
  52. Verfahren zum Erwärmen von Halbleitersubstraten, umfassend die Schritte: – Anordnen eines Halbleitersubstrats in einer Behandlungskammer; – Drehen des Halbleitersubstrats in der Behandlungskammer; – Richten von Lichtenergie auf das Halbleitersubstrat, um das Halbleitersubstrat zu erwärmen, wobei die Lichtenergie mit einem Einfallswinkel von mehr als 0° auf das Halbleitersubstrat trifft, die Lichtenergie ferner in einem p-polarisierten Zustand, einem elliptisch polarisierten Zustand oder einem annähernd p-polarisierten Zustand auf das Halbleitersubstrat trifft, die Lichtenergie an einem Ort auf einem Radius des Substrats auf das Halbleitersubstrat trifft und wobei durch die Drehung des Wafers der gesamte Radius des Substrats erwärmt wird.
DE10297368T 2001-11-07 2002-11-05 System und Verfahren zum Erwärmen von Halbleiterwafern durch Optimieren der Absorption elektromagnetischer Energie Withdrawn DE10297368T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/040272 2001-11-07
US10/040,272 US7015422B2 (en) 2000-12-21 2001-11-07 System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
PCT/US2002/035353 WO2003040636A1 (en) 2001-11-07 2002-11-05 System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy

Publications (1)

Publication Number Publication Date
DE10297368T5 true DE10297368T5 (de) 2004-10-14

Family

ID=21910085

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10297368T Withdrawn DE10297368T5 (de) 2001-11-07 2002-11-05 System und Verfahren zum Erwärmen von Halbleiterwafern durch Optimieren der Absorption elektromagnetischer Energie

Country Status (6)

Country Link
US (5) US7015422B2 (de)
JP (1) JP4450624B2 (de)
KR (1) KR100917501B1 (de)
CN (2) CN101350294B (de)
DE (1) DE10297368T5 (de)
WO (1) WO2003040636A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013105959A1 (de) * 2013-06-07 2014-12-11 Heraeus Noblelight Gmbh Betriebsverfahren und Vorrichtung zur Bestrahlung eines Substrats

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7118780B2 (en) * 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
TW552645B (en) 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
JP4397571B2 (ja) 2001-09-25 2010-01-13 株式会社半導体エネルギー研究所 レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US7259082B2 (en) * 2002-10-03 2007-08-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7405114B2 (en) * 2002-10-16 2008-07-29 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus and method of manufacturing semiconductor device
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7098155B2 (en) * 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
KR101254107B1 (ko) * 2003-10-03 2013-04-12 어플라이드 머티어리얼스, 인코포레이티드 다이나믹 표면 어닐링 프로세싱을 위한 흡수층
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US7491909B2 (en) * 2004-03-31 2009-02-17 Imra America, Inc. Pulsed laser processing with controlled thermal and physical alterations
US7486705B2 (en) * 2004-03-31 2009-02-03 Imra America, Inc. Femtosecond laser processing system with process parameters, controls and feedback
US7885311B2 (en) * 2007-03-27 2011-02-08 Imra America, Inc. Beam stabilized fiber laser
FR2878185B1 (fr) * 2004-11-22 2008-11-07 Sidel Sas Procede de fabrication de recipients comprenant une etape de chauffe au moyen d'un faisceau de rayonnement electromagnetique coherent
US7425296B2 (en) * 2004-12-03 2008-09-16 Pressco Technology Inc. Method and system for wavelength specific thermal irradiation and treatment
US10857722B2 (en) * 2004-12-03 2020-12-08 Pressco Ip Llc Method and system for laser-based, wavelength specific infrared irradiation treatment
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
CN101258387A (zh) * 2005-07-05 2008-09-03 马特森技术公司 确定半导体晶片的光学属性的方法与系统
JP2007110064A (ja) * 2005-09-14 2007-04-26 Ishikawajima Harima Heavy Ind Co Ltd レーザアニール方法及び装置
US20080173620A1 (en) * 2005-09-26 2008-07-24 Ultratech, Inc. Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US7494272B2 (en) * 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US7543981B2 (en) * 2006-06-29 2009-06-09 Mattson Technology, Inc. Methods for determining wafer temperature
US8513626B2 (en) * 2007-01-12 2013-08-20 Applied Materials, Inc. Method and apparatus for reducing patterning effects on a substrate during radiation-based heating
FR2913210B1 (fr) * 2007-03-02 2009-05-29 Sidel Participations Perfectionnements a la chauffe des matieres plastiques par rayonnement infrarouge
FR2917005B1 (fr) * 2007-06-11 2009-08-28 Sidel Participations Installation de chauffage des corps de preformes pour le soufflage de recipients
US20090114630A1 (en) * 2007-11-05 2009-05-07 Hawryluk Andrew M Minimization of surface reflectivity variations
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
US7947599B2 (en) * 2008-01-23 2011-05-24 International Business Machines Corporation Laser annealing for 3-D chip integration
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US8048053B2 (en) * 2008-04-14 2011-11-01 The Procter & Gamble Company Tampon having an auxiliary patch
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
TWI395272B (zh) * 2008-05-02 2013-05-01 Applied Materials Inc 用於旋轉基板之非徑向溫度控制系統
US7985945B2 (en) * 2008-05-09 2011-07-26 Applied Materials, Inc. Method for reducing stray light in a rapid thermal processing chamber by polarization
TWI384334B (zh) * 2008-05-13 2013-02-01 Macronix Int Co Ltd 烘烤裝置、烘烤的方法及縮小間隙的方法
US8367981B2 (en) * 2008-05-15 2013-02-05 Macronix International Co., Ltd. Baking apparatus, baking method and method of reducing gap width
US8452166B2 (en) * 2008-07-01 2013-05-28 Applied Materials, Inc. Apparatus and method for measuring radiation energy during thermal processing
US7943414B2 (en) * 2008-08-01 2011-05-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US8581153B2 (en) * 2008-09-30 2013-11-12 Tokyo Electron Limited Method of detecting abnormal placement of substrate, substrate processing method, computer-readable storage medium, and substrate processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102301196B (zh) * 2009-01-28 2015-05-13 株式会社爱发科 温度检测装置、加热装置
JP2010194685A (ja) * 2009-02-26 2010-09-09 Tokyo Electron Ltd 作業台
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
US20110177665A1 (en) * 2010-01-21 2011-07-21 Chan-Lon Yang Thermal process
CN102142365A (zh) * 2010-01-28 2011-08-03 联华电子股份有限公司 热处理制作工艺
TWI543264B (zh) * 2010-03-31 2016-07-21 應用材料股份有限公司 雷射光束定位系統
WO2011140472A2 (en) * 2010-05-07 2011-11-10 Pressco Technology Inc. Corner-cube irradiation control
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101193351B1 (ko) * 2011-07-11 2012-10-19 삼성전기주식회사 소성로
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9903596B2 (en) * 2011-07-29 2018-02-27 Bsh Home Appliances Corporation Broiler shield for a residential oven and residential oven incorporating same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
KR101326108B1 (ko) * 2012-03-09 2013-11-06 에이피시스템 주식회사 히터 블럭 및 이를 포함하는 열처리 장치
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
WO2013181263A1 (en) * 2012-05-30 2013-12-05 Applied Materials, Inc. Apparatus and methods for rapid thermal processing
SG195515A1 (en) 2012-06-11 2013-12-30 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9261406B1 (en) 2012-08-27 2016-02-16 Nlight Photonics Corporation Pyrometer process temperature measurement for high power light sources
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5920188B2 (ja) * 2012-11-26 2016-05-18 信越半導体株式会社 加熱装置
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
CN104078339B (zh) * 2013-03-26 2017-08-29 上海微电子装备有限公司 一种激光退火装置和方法
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
CN106415810B (zh) 2014-01-17 2020-03-20 皇家飞利浦有限公司 包括半导体光源的加热系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
RU2661977C1 (ru) * 2014-07-03 2018-07-23 Ниппон Стил Энд Сумитомо Метал Корпорейшн Устройство лазерной обработки
US10699922B2 (en) 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
DE102014114220A1 (de) * 2014-09-30 2016-03-31 Osram Opto Semiconductors Gmbh Verfahren für das Aufwachsen von Halbleiterschichten und Träger zum Aufwachsen von Halbleiterschichten
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105810572B (zh) * 2014-12-30 2018-12-25 中国科学院苏州纳米技术与纳米仿生研究所 一种用于离子注入的激光辅助装置及离子注入方法
CN104498677A (zh) * 2015-01-04 2015-04-08 宁波英飞迈材料科技有限公司 一种高通量微区快速热处理设备及其热处理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9366455B1 (en) 2015-07-14 2016-06-14 Laser Heating Advanced Technologies, Llc System and method for indirectly heating a liquid with a laser beam immersed within the liquid
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180105189A (ko) 2016-01-22 2018-09-27 프레스코 아이피 엘엘씨 협대역 시스템에서 조작된 조사 패턴을 생성하기 위한 방법 및 시스템
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
CN106270877B (zh) * 2016-09-28 2019-11-15 深圳市艾贝特电子科技有限公司 基于fpc金手指激光锡焊装置及焊接方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR101866512B1 (ko) * 2017-04-13 2018-07-04 (주)앤피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10281335B2 (en) * 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10818839B2 (en) 2018-03-15 2020-10-27 Samsung Electronics Co., Ltd. Apparatus for and method of fabricating semiconductor devices
KR102495317B1 (ko) * 2018-03-15 2023-02-07 삼성전자주식회사 반도체 소자의 제조장치 및 반도체 소자의 제조방법
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US11586113B2 (en) 2018-06-15 2023-02-21 Mattson Technology, Inc Methods and apparatus for post exposure bake processing of a workpiece
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200064198A1 (en) * 2018-08-22 2020-02-27 Mattson Technology, Inc. Systems And Methods For Thermal Processing And Temperature Measurement Of A Workpiece At Low Temperatures
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
TW202118354A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 利用可調式電漿電位的可變模式電漿室
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
DE102019212400B4 (de) * 2019-08-20 2021-05-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren zur Entfernung von Werkstoffen eines Substrats mittels elektromagnetischer Strahlung
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11648594B2 (en) * 2019-09-03 2023-05-16 Samsung Electronics Co., Ltd. Wafer cleaning apparatus and wafer cleaning method using the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US622990A (en) * 1899-04-11 Harold boyd
US2318533A (en) * 1940-12-06 1943-05-04 Western Electric Co Apparatus for heating material
US3903342A (en) * 1973-04-30 1975-09-02 Scott Paper Co Soft, absorbent, unitary, laminate-like fibrous web with delaminating strength and method for producing it
US3879257A (en) * 1973-04-30 1975-04-22 Scott Paper Co Absorbent unitary laminate-like fibrous webs and method for producing them
US4166001A (en) * 1974-06-21 1979-08-28 Kimberly-Clark Corporation Multiple layer formation process for creped tissue
US4207467A (en) * 1978-09-05 1980-06-10 Laser Precision Corp. Film measuring apparatus and method
DE2953138A1 (de) 1978-11-28 1980-12-04 Western Electric Co Dual wavelength laser annealing of materials
US4316074A (en) * 1978-12-20 1982-02-16 Quantronix Corporation Method and apparatus for laser irradiating semiconductor material
US4225382A (en) * 1979-05-24 1980-09-30 The Procter & Gamble Company Method of making ply-separable paper
US4234356A (en) * 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS56142630A (en) * 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
US4318752A (en) * 1980-05-16 1982-03-09 Bell Telephone Laboratories, Incorporated Heterojunction semiconductor laser fabrication utilizing laser radiation
US4344818A (en) * 1981-05-04 1982-08-17 Kimberly-Clark Corporation Air/water hybrid former
US4547651A (en) * 1981-05-28 1985-10-15 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus
DE3126953C2 (de) * 1981-07-08 1983-07-21 Arnold, Peter, Dr., 8000 München Verfahren zur thermischen Behandlung der Oberfläche von Werkstücken mittels eines linear polarisierten Laserstrahls
JPS58164219A (ja) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol 積層型半導体装置の製造方法
US4468279A (en) * 1982-08-16 1984-08-28 Avco Everett Research Laboratory, Inc. Method for laser melting of silicon
GB2130009B (en) 1982-11-12 1986-04-03 Rca Corp Polycrystalline silicon layers for semiconductor devices
JPS59169125A (ja) * 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
JPS6063926A (ja) * 1983-08-31 1985-04-12 Fujitsu Ltd 半導体装置の製造方法
US4615765A (en) * 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US4719122A (en) * 1985-04-08 1988-01-12 Semiconductor Energy Laboratory Co., Ltd. CVD method and apparatus for forming a film
US4780590A (en) * 1985-11-21 1988-10-25 Penn Research Corporation Laser furnace and method for zone refining of semiconductor wafers
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
JPS62221931A (ja) * 1986-03-25 1987-09-30 Fuji Heavy Ind Ltd 無段変速機の制御装置
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
GB2211210A (en) * 1987-10-16 1989-06-28 Philips Electronic Associated A method of modifying a surface of a body using electromagnetic radiation
US5188458A (en) * 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5222112A (en) 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
DE4109956A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JP3213338B2 (ja) * 1991-05-15 2001-10-02 株式会社リコー 薄膜半導体装置の製法
US5255286A (en) * 1991-05-17 1993-10-19 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5317656A (en) * 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
JPH05243166A (ja) 1992-02-26 1993-09-21 Nec Corp 半導体基板の気相成長装置
US5336641A (en) * 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
US5232262A (en) * 1992-04-01 1993-08-03 Shin Yeh Enterprise Co., Ltd. Arm of an armchair with means to support a bottle or a cup
US5268989A (en) 1992-04-16 1993-12-07 Texas Instruments Incorporated Multi zone illuminator with embeded process control sensors and light interference elimination circuit
US5253324A (en) * 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
US5418885A (en) * 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
DE4306398A1 (de) 1993-03-02 1994-09-08 Leybold Ag Vorrichtung zum Erwärmen eines Substrates
US5345534A (en) * 1993-03-29 1994-09-06 Texas Instruments Incorporated Semiconductor wafer heater with infrared lamp module with light blocking means
JP3021227B2 (ja) 1993-04-01 2000-03-15 花王株式会社 吸収紙及びそれを具備する吸収性物品
KR100255689B1 (ko) * 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5607551A (en) * 1993-06-24 1997-03-04 Kimberly-Clark Corporation Soft tissue
CA2119432A1 (en) 1993-11-12 1995-05-13 Greg A. Wendt Method for making stratified tissue
US5444815A (en) * 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5695607A (en) * 1994-04-01 1997-12-09 James River Corporation Of Virginia Soft-single ply tissue having very low sidedness
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
JPH08222797A (ja) * 1995-01-17 1996-08-30 Hewlett Packard Co <Hp> 半導体装置およびその製造方法
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
FI102696B1 (fi) 1995-02-22 1999-01-29 Instrumentarium Oy Kaksoissäteilylähdekokoonpano ja mittausanturi
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
US5958185A (en) * 1995-11-07 1999-09-28 Vinson; Kenneth Douglas Soft filled tissue paper with biased surface properties
JPH08286212A (ja) * 1995-04-14 1996-11-01 Semiconductor Energy Lab Co Ltd 表示装置の作製方法
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
JP3581443B2 (ja) * 1995-07-11 2004-10-27 キヤノン株式会社 画像処理装置及びその方法
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5762713A (en) * 1995-11-28 1998-06-09 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
JPH09246202A (ja) * 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
JPH09320961A (ja) 1996-05-31 1997-12-12 Nec Corp 半導体製造装置及び薄膜トランジスタの製造方法
US6072160A (en) 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5886320A (en) * 1996-09-03 1999-03-23 International Business Machines Corporation Laser ablation with transmission matching for promoting energy coupling to a film stack
US5820942A (en) * 1996-12-20 1998-10-13 Ag Associates Process for depositing a material on a substrate using light energy
US5980637A (en) * 1996-12-20 1999-11-09 Steag Rtp Systems, Inc. System for depositing a material on a substrate using light energy
US6033761A (en) * 1996-12-23 2000-03-07 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US6017418A (en) * 1996-12-23 2000-01-25 Fort James Corporation Hydrophilic, humectant, soft, pliable, absorbent paper and method for its manufacture
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5954982A (en) * 1997-02-12 1999-09-21 Nikon Corporation Method and apparatus for efficiently heating semiconductor wafers or reticles
US5911890A (en) * 1997-02-25 1999-06-15 Lsp Technologies, Inc. Oblique angle laser shock processing
US6033523A (en) * 1997-03-31 2000-03-07 Fort James Corporation Method of making soft bulky single ply tissue
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US5970382A (en) * 1998-01-26 1999-10-19 Ag Associates Process for forming coatings on semiconductor devices
US6056434A (en) * 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
JP3177961B2 (ja) * 1998-04-14 2001-06-18 日本電気株式会社 原子線ホログラフィによるパターン形成方法及び装置
US6153053A (en) 1998-04-15 2000-11-28 Fort James Corporation Soft, bulky single-ply absorbent paper having a serpentine configuration and methods for its manufacture
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6084213A (en) 1998-05-18 2000-07-04 Steag C.V.D. Sytems, Ltd. Method and apparatus for increasing temperature uniformity of heated wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
JP4625183B2 (ja) * 1998-11-20 2011-02-02 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェハのための急速加熱及び冷却装置
US6310328B1 (en) * 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6200023B1 (en) * 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6293696B1 (en) * 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
DE19923400A1 (de) * 1999-05-21 2000-11-30 Steag Rtp Systems Gmbh Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6403923B1 (en) * 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
JP4514861B2 (ja) * 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
WO2001045501A2 (en) 1999-12-21 2001-06-28 Mattson Thermal Products, Inc GROWTH OF ULTRATHIN NITRIDE ON Si(100) BY RAPID THERMAL N2 TREATMENT
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
TW523791B (en) 2000-09-01 2003-03-11 Semiconductor Energy Lab Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
US6638876B2 (en) * 2000-09-19 2003-10-28 Mattson Technology, Inc. Method of forming dielectric films
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP4427254B2 (ja) * 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US8288239B2 (en) * 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US6747245B2 (en) * 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US6797312B2 (en) * 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013105959A1 (de) * 2013-06-07 2014-12-11 Heraeus Noblelight Gmbh Betriebsverfahren und Vorrichtung zur Bestrahlung eines Substrats
DE102013105959B4 (de) 2013-06-07 2019-06-19 Heraeus Noblelight Gmbh Betriebsverfahren und Vorrichtung zur Bestrahlung eines Substrats

Also Published As

Publication number Publication date
US7015422B2 (en) 2006-03-21
CN101350294A (zh) 2009-01-21
CN1556910A (zh) 2004-12-22
JP2005509281A (ja) 2005-04-07
US8222570B2 (en) 2012-07-17
US20090098742A1 (en) 2009-04-16
US7847218B2 (en) 2010-12-07
CN101350294B (zh) 2012-12-26
WO2003040636A1 (en) 2003-05-15
US20020137311A1 (en) 2002-09-26
CN100415933C (zh) 2008-09-03
US7453051B2 (en) 2008-11-18
US20120252229A1 (en) 2012-10-04
KR20050043755A (ko) 2005-05-11
US8669496B2 (en) 2014-03-11
US20080008460A1 (en) 2008-01-10
US20080050688A1 (en) 2008-02-28
JP4450624B2 (ja) 2010-04-14
KR100917501B1 (ko) 2009-09-16

Similar Documents

Publication Publication Date Title
DE10297368T5 (de) System und Verfahren zum Erwärmen von Halbleiterwafern durch Optimieren der Absorption elektromagnetischer Energie
US11945045B2 (en) Annealing apparatus using two wavelengths of radiation
KR102080613B1 (ko) 레이저 어닐링 장치 및 그 어닐링 방법
TWI475601B (zh) 形成時間平均線影像之系統及方法
US7804042B2 (en) Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
KR20060043106A (ko) 열 처리를 위한 레이저 주사 장치 및 방법
US20170011917A1 (en) Atomic layer epitaxy for semiconductor gate stack layer for advanced channel devices
Wagner et al. High-performance laser beam shaping and homogenization system for semiconductor processing
JP2007189168A (ja) レーザー照射光学系
US20080105825A1 (en) Laser scanning apparatus and method using diffractive optical elements
KR101564072B1 (ko) 레이저 열처리 장치 및 방법

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8125 Change of the main classification

Ipc: H01L 21/324 AFI20051017BHDE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R120 Application withdrawn or ip right abandoned