DE10297169T5 - Handhabungssystem für Halbleitermaterial - Google Patents

Handhabungssystem für Halbleitermaterial Download PDF

Info

Publication number
DE10297169T5
DE10297169T5 DE10297169T DE10297169T DE10297169T5 DE 10297169 T5 DE10297169 T5 DE 10297169T5 DE 10297169 T DE10297169 T DE 10297169T DE 10297169 T DE10297169 T DE 10297169T DE 10297169 T5 DE10297169 T5 DE 10297169T5
Authority
DE
Germany
Prior art keywords
wafer
carrier
access
axis
door
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE10297169T
Other languages
English (en)
Inventor
Anthony C. Woodside Bonora
Richard H. Fremont Gould
Roger G. San Carlos Hine
Michael Los Gatos Krolak
Jerry A. Pleasanton Speasl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASYST TECHNOLOGIES
Asyst Technologies Inc
Original Assignee
ASYST TECHNOLOGIES
Asyst Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASYST TECHNOLOGIES, Asyst Technologies Inc filed Critical ASYST TECHNOLOGIES
Publication of DE10297169T5 publication Critical patent/DE10297169T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

System zum Transferieren von Halbleiter-Wafern und ähnlichen Substrat-Gegenständen zwischen einen Waferträger mit einer Trägertür und einem Trägergehäuse und einem gegenüber Außenumgebungsbedingungen isolierten Environment, umfassend:
einen einheitlichen Rahmen, der zumindest zwei voneinander beabstandete und an ein unteres Tragelement und an ein oberes Tragelement montierte vertikale Streben umfaßt, wobei der Rahmen zumindest einen I/O-Zugang und einen Zugangstür-/Trägertür-Aufbewahrungsbereich definiert,
eine Träger-Kopplungs-/Isolierungsplatte, die an jeder vertikalen Strebe montiert ist;
eine Träger-Vorschubbaugruppe, die an das untere Tragelement montiert ist, zum Tragen des Wafer-Trägers, Bewegen des Wafer-Trägers zur Träger-Kopplungs-/Isolationsplatte und zum Wegbewegen des Wafer-Trägers von der Träger-Kopplungs-/Isolationsplatte;
eine Zugangstürbaugruppe mit einer Zugangstür und einem Antriebsmechanismus, wobei die Zugangstür zum Eingriff und zum Zusammenpassen mit der Trägertür und der Antriebsmechanismus zur Bewegung der Zugangstür zwischen dem I/O-Zugang und dem Zugangstür-/Trägertür-Aufbewahrungsbereich vorgesehen ist; und
einen Wafer-Handhabungsautomaten, der an das untere Tragelement montiert ist und in dem gegenüber Außenumgebungsbedingungen isolierten Environment angeordnet ist.

Description

  • Beanspruchung einer Priorität
  • Die Anmeldung beansprucht die Priorität aus einer vorläufigen Anmeldung mit dem Titel "UNIVERSAL MODULAR PROCESSING INTERFACE SYSTEM" ("Universales, modulares Bearbeitungsinterfacesystem"), Anmeldung Nr. 60/316,722, eingereicht am 31. August 2001, die im Wege der Bezugnahme hierin aufgenommen wird.
  • Querverweis zu verwandten Anmeldungen
  • 1. U.S. Patentanmeldung Nr. 10/087,400, eingereicht am 1. März 2002, mit dem Titel "WAFER ENGINE" ("Wafermaschine") und
  • 2. U.S. Patentanmeldung Nr. 10/087,638, eingereicht am 1. März 2002, mit dem Titel "UNIFIED FRAME FOR SEMICONDUCTOR MATERIAL HANDLING SYSTEM" ("Einheitlicher Rahmen für ein Handhabungssystem für Halbleitermaterial").
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft allgemein ein Waferübergabesystem. Insbesondere betrifft die vorliegende Erfindung einen einheitlichen, skalierbaren Rahmen oder eine Struktur, an die Komponenten eines frontend-Moduls einer Anlage (equipment front end module EFEM) angebracht werden, und eine Wafermaschine zum Übergeben von Wafern.
  • Hintergrund der Erfindung
  • Standardisierte mechanische Schnittstellenaufnahmen (Standard Mechanical Interface Pods SMIF-Pods) umfassen allgemein eine Pod-Tür, die zu einem Pod-Gehäuse paßt, um ein abgedichtetes Environment zur Verfügung zu stellen, in dem Wafer gelagert und in das sie übergeben werden können. Ein Typ eines Pod ist eine einheitliche Frontöffnungsaufnahme (front opening unified pode), die als FOUP 10 bezeichnet wird, in der die Pod-Tür in einer vertikalen Ebene angeordnet ist, und die Wafer werden entweder in einer Kassette gehalten, die an dem Pod-Gehäuse angebracht ist, oder in zwei Gehäusen, die in dem Pod-Gehäuse montiert sind.
  • Bei der Fabrikation der Halbleiterwafer werden die SMIF-Pods benutzt, um das Werkstück zwischen verschiedenen Werkzeugen bei der Waferfabrikation zu transportieren. Diese Werkzeuge umfassen Bearbeitungswerkzeuge zum Ausbilden integrierter Schaltkreise auf den Wafern, meßtechnische Werkzeuge zum Testen der Wafer, Sortierer zum Sortieren und Umordnen der Wafer innerhalb eines oder mehreren SMIF-Pods und Beschickungsanlagen, um im großen Maßstab SMIF-Pods zu lagern. Die Werkzeuge sind im allgemeinen in einer Waferfabrikation in ein oder zwei Konfigurationen ausgelagert, einer Bay- und Chase-Konfiguration oder einer Ballroom-Konfiguration. In der ersteren Anordnung wird nur die Werkzeugfront, einschließlich dem Werkstück-I/O-Zugang, in einem Reinraumenvironment der Klasse 1 oder besser gehalten. In der Ballroom-Konfiguration sind die Werkzeuge in einem Cluster entsprechend der Arbeitsschritte, die sie ausführen, angeordnet, wobei das gesamte Werkzeug in dem Reinraumenvironment der Klasse 1 oder besser enthalten ist.
  • Die Werkzeuge innerhalb der Waferfabrikation umfassen eine frontseitige Schnittstelle (front-end interface), die Komponenten aufnimmt, welche die Übergabe der Werkstücke (d. h. der Wafer) zwischen den Pods der Werkzeuge ausführen und überwachen. Eine herkömmliche frontseitige Einheit oder ein Frontend-Modul eines Gerätes (equipment front end module EFEM) 20 ist in den 1 und 2 gezeigt. EFEMs 20 werden im allgemeinen in einer Werkzeugfertigung gebaut und dann zu einer Waferfabrikation versendet.
  • Ein EFEM 20 umfaßt im allgemeinen ein Gehäuse 22, das an der Front des Werkzeugs befestigt ist, und ein Werkstückhandhabungsautomat 24, der in dem Gehäuse angebracht ist und in der Lage ist, eine x-, r-, θ-, z-Bewegung auszuführen, um das Werkstück zwischen den Werkstückträgern, dem Werkzeug und anderen Frontend-Komponenten zu übergeben. Der Automat 24 wird im allgemeinen mit Ausgleichsschrauben montiert, welche das ebene Ausrichten des Automaten 24 ermöglichen, wenn das EFEM 20 einmal hergestellt und an einem Werkzeug befestigt ist.
  • Zusätzlich zum Automaten 24 umfaßt das EFEM 20 im allgemeinen eine oder mehrere Vorausrichter 26, um den Betrieb einer Waferzentrieridentifikation, einer Nutausrichtung und einer Ablesung von unsanften Marken auszuführen. Der oder die Vorausrichter 26 ist/sind üblicherweise in dem Gehäuse 22 mit Ausgleichsschrauben verschraubt, die ein ebenes Ausrichten des Vorausrichters oder der Vorausrichter ermöglichen, nachdem das EFEM 20 hergestellt und an dem Werkzeug befestigt ist.
  • Ein EFEM 20 umfaßt weiterhin ein oder mehrere Ladezugangsbaugruppen 28 zum Aufnehmen eines Werkstückträgers, zum Öffnen des Trägers und zum Überreichen des Werkstücks zu dem Automaten 24, um das Werkstück zwischen dem Träger und an deren Bearbeitungswerkzeugen zu übertragen. Zum Bearbeiten von 300 mm Wafern wurde ein vertikal ausgerichteter Rahmen, der üblicherweise als ein Box-Opener-Loader-Tool-Standard-Interface (oder "BOLTS"-Interface) bezeichnet wird, von Semiconductor Equipment and Materials Internation ("SEMI") entwickelt. Das BOLTS-Interface ist an dem Frontende eines Werkzeugs angebracht oder als ein Teil davon ausgeformt und stellt standardisierte Montagepunkte für die an dem Werkzeug anzubringende Ladezugangsbaugruppe zur Verfügung. Das U.S. Patent Nr. 6,138,721 mit dem Titel "Tilt and Go Load Port Interface Alignment System" ("Tilt and Go-Ladezugangsinterface-Ausrichtsystem"), welches für den Besitzer der vorliegenden Anmeldung eingetragen ist und im Wege der Bezugnahme in seiner Gesamtheit hierin aufgenommen wird, offenbart ein System zum Justieren einer Ladezugangsbaugruppe in die korrekte Ausrichtung an einem BOLTS-Interface und dem anschließenden Befestigen der Ladezugangsbaugruppe an dem Interface.
  • Nachdem der Automat 24, der Vorausrichter 26 und die Ladezugangsbaugruppe 28 an dem Gehäuse 22 montiert wurden, wird das EFEM 20 zu der Waferfertigung transportiert und an einem Werkzeug in der Fabrikation befestigt. Nachdem das Werkzeug korrekt befestigt ist, werden die EFEM-Komponenten in dem Gehäuse 22 über die Ausgleichsschrauben ausgerichtet, und dem Automaten 24 werden dann die Aufnahme- und Ablagepositionen gelehrt, die er benötigt, um auf einen Werkstücktransfer zwischen den Ladezugangsbaugruppen, den Vorausrichtern und dem Werkzeug zuzugreifen. Das System zum Lehren der verschiedenen Aufnahme- und Ablagepositionen für den Automaten in dem Werkzeugfrontende ist offenbart in der U.S. Patentanmeldung Nr. 09/729,463 mit dem Titel "Self Teaching Robot" ("Selbstlehrender Automat"), die auf den Besitzer der vorliegenden Anmeldung eingetragen ist und welche im Wege der Bezugnahme hierin in ihrer Gesamtheit aufgenommen wird. Wenn dem Automaten die Positionen einmal beigebracht wurden, werden Seitenwände an dem Gehäuse 22 angebracht, um das Gehäuse gegen die Umgebung im wesentlichen abzudichten.
  • Beispielsweise umfassen herkömmliche EFEMs viele separate und unabhängige Werkstückhandhabungs-Komponenten, die in einem zusammengesetzten Gehäuse angebracht sind. Das Gehäuse 22 umfaßt einen strukturellen Rahmen, das mit mehreren an dem Rahmen befestigten Wänden zusammengeschraubt, zusammen hergestellt oder zusammengeschweißt ist. Nachdem das Gehäuse 22 zusammengesetzt ist, werden die EFEM-Komponenten an verschiedene Wände befestigt. Es ist ein Nachteil der EFEMs aus dem Stand der Technik, daß sich die Gesamtsystemtoleranz mit jedem Rahmenteil, jeder Wand und jeder Komponentenverbindung aufaddiert. Das Ergebnis ist, daß die zusammengebauten EFEM-Komponenten schlecht ausgerichtet sind und in die richtige Position zueinander justiert werden müssen. Dem Automaten 24 müssen außerdem die relativen Positionen der Komponenten beigebracht werden, so daß die EFEM-Komponenten untereinander wechselwirken können. Dieser Justier- und Lehrvorgang muß jedes Mal nach einer Justierung von einer oder mehreren der EFEM-Komponenten erfolgen.
  • Eine weitere Unzulänglichkeit des Standes der Technik ist, daß die EFEM-Komponenten häufig von verschiedenen Zulieferern hergestellt werden, jeder mit seinen eigenen Steuerungs- und Kommunikationsprotokollen. Es müssen Schritte beim Zusammenbauen die EFEM unternommen werden, so daß die Steuerungen der Komponenten miteinander kommunizieren können und die Komponenten miteinander interagieren können. Die separaten Steuerungen erschweren außerdem die Wartung und Erweiterung von Teilen und elektrischen Verbindungen, die in dem EFEM zur Verfügung gestellt werden. Weiterhin, insbesondere in der Ballroom-Konfiguration, nimmt das konventielle EFEM viel Platz in einem Klasse-1-Reinraumenvironment in Anspruch, wo der Raum teuer ist.
  • Derzeitige 300 mm Halbleiter-EFEMs umfassen verschiedene Hauptsubsysteme, einschließlich der SEMI E15.1 kompatiblen Ladezugangsmodule (typischerweise 2 bis 4 pro Werkzeug). Beispielsweise kann ein EFEM aus einem Waferhandhabungsautomaten und einer Lüfter-Filter-Einheit bestehen, die an einem strukturellen Stahlrahmen befestigt ist, und Wände besitzt, um den Waferhandhabungsbereich zwischen den Ladezugängen und den Bearbeitungswerkzeugen zu umschließen. Die Kombination dieser Komponenten stellt eine Einrichtung zur Verfügung zum Übertragen der Wafer zu einem FOUP 10 und von diesem weg und zwischen dem FOUP und der oder den Waferankopplungsstelle(n) der Bearbeitungswerkzeuge FOUPs werden über Betreiber manuell geladen oder über ein automatisches Materialhandhabungssystem (AMHS) automatisch geladen, das zu dem Ladezugang gebracht wird oder von diesem weggenommen wird. Es wurden Industriestandards hervorgebracht, die meh reren Anbietern ermöglichen, den Ladezugang, das FOUP 10 oder andere EFEM-Komponenten, die in das System integriert werden, anzubieten.
  • Die Ladezugangs-Komponente stellt ein Standardinterface zwischen dem AMHS und dem Waferhandhabungsautomaten in dem EFEM zur Verfügung. Es stellt einen standardisierten Ort zum Einrichten des FOUP 10 zur Verfügung, schließt an das FOUP 10 an, um die Vorderseite abzudichten, und öffnet und schließt die Tür, um einen Zugriff auf die Wafer in dem FOUP 10 zu ermöglichen. Die Abmessungen dieser Einheit sind alle in SEMI E15.1 spezifiziert.
  • Der Ladezugang ist an dem Frontende über die Schraubverbindung angebracht, die in SEMI E-63 festgelegt ist. Dieser Standard definiert eine Oberfläche und Montagelöcher, an die der Ladezugang angebracht wird. Es ist definiert, an dem Boden anzufangen, und geht 1386 mm über den Boden und ist etwa 550 mm breit pro Ladezugang. Im Ergebnis schließt der Ladezugang vollständig das Bearbeitungswerkzeug von dem Betriebsgang in der Fertigung ab. SEMI E-63 definiert außerdem die Abmessungen des Ladezugangs an der Werkzeugseite, um die Austauschbarkeit verschiedener Automatenhersteller sicherzustellen.
  • Die wesentlichen Funktionen der Ladezugänge umfassen die Aufnahme eines FOUP 10 von dem Fertigungs-AMHS und das Übergeben eines FOUP 10 zu dem Fertigungs-AMHS, das Bewegen des FOUP 10 zu der Zugangsdichtungsfläche und das Wegbewegen von dieser (Ankoppeln/Abkoppeln) und das Öffnen und Schließen der FOUP-Tür. Zusätzlich muß es Funktionen ausführen, wie das Verriegeln des FOUP 10 an die Vorschubplatte, das Verriegeln und Entsperren der FOUP-Tür und verschiedene Chargen-ID- und Kommunikations-Funktionen. Über SEMI E15.1 sind alle diese Funktionen in einer einzelnen monolithischen Baugruppe, die typischerweise als eine komplette Einheit an das Werkzeugfrontende angebracht oder von diesem entfernt wird, enthalten.
  • Der Ladezugang muß präzise zu dem Waferautomaten ausgerichtet werden. Wenn mehrere Ladezugänge in dem System vorhanden sind, müssen all diese die Wafers in parallelen Ebenen bereitstellen. Üblicherweise stellen die Ladezugänge verschiedene Justierungsmöglichkeiten zur Verfügung, um die Wafer in dem FOUP 10 mit dem Automaten planparallel auszurichten. Um die Zeit zu minimieren, die dazu aufgewandt wird, den Automaten auf jede der 25 Waferpositionen in jedem der FOUPs 10 zu kalibrieren, werden Spezialwerkzeuge und Vorrichtungen zum Ausrichten bei allen Justierungen verwendet. Wenn ein Lade zugang gegen einen neuen ausgetauscht wird, kann der Kalibrierungsvorgang recht lange dauern.
  • Zur Ausrichtung des Automaten auf die Waferpositionen muß außerdem der Türmechanismus zu der Türöffnung und dem Türdichtungsrahmen ausgerichtet werden. Üblicherweise wird dies wieder mit Vorrichtungen und Werkzeugen entweder an dem Werkzeugfrontende oder off-line ausgeführt.
  • Der Automat muß außerdem zu einem oder mehreren Werkzeugablagepunkten angeglichen und zu diesen ausgerichtet werden. Dies wird üblicherweise manuell bewerkstelligt, indem den Automaten die Position gelehrt wird und Justierungen zur Planarparallelität entweder an dem Frontende oder an dem Werkzeug vorgenommen werden.
  • Es ist die Kombination all dieser Verhältnisse zwischen dem Werkzeug, dem Automaten und den FOUPs 10, die das Einrichten eines Werkzeugfrontendes so zeitaufwending machen. Alle Komponenten werden üblicherweise an einem Rahmen mit verhältnismäßig geringer Präzision angebracht, und es werden Justiermöglichkeiten verwendet, um diese zu kompensieren. Die Ladezugänge werden an der Frontseite montiert, und der Automat an der Grundseite, die Lüfter/Filter-Einheit (FFU) an der Oberseite und Verkleidungen an allen anderen offenen Flächen, um das Mini-Environment abzuschließen.
  • Es wäre vorteilhaft, die Einstellungen zwischen den Komponenten zu verringern und die Gesamtzeit zu reduzieren, die benötigt wird, um den Ladezugang auszurichten. Die vorliegende Erfindung liefert einen solchen Vorteil.
  • Zusammenfassung der Erfindung
  • Ein Aspekt der vorliegenden Erfindung ist es, eine einheitliche Struktur oder einen Rahmen zur Verfügung zu stellen, der präzise mehrere kritische EFEM-Komponenten gemeinsam verbindet. In einer Ausführungsform dient der Rahmen als eine einzelne Referenz zum Ausrichten der inneren und äußeren EFEM-Komponenten. In einer anderen Ausführungsform sind die inneren und äußeren EFEM-Komponenten in bezug auf jede vertikale Strebe des Rahmens ausgerichtet.
  • Ein anderer Aspekt der vorliegenden Erfindung stellt eine einheitliche Struktur oder einen Rahmen zur Verfügung, die bzw. der in der Größe skalierbar ist. In einer Ausführungsform umfaßt die einheitliche Struktur vertikale Streben, die an einem unteren und einem obe ren Tragelement befestigt sind. Die Anzahl der vertikalen Streben und die Länge der unteren und oberen Tragelemente hängt von der Anzahl der I/O-Zugänge in dem EFEM ab. Ähnlich können die Größe und der Abstand der vertikalen Streben und der Tragelemente variieren, um 200 mm Wafer, 300 mm Wafer und 400 mm Wafer aufzunehmen.
  • Ein weiterer Aspekt der Erfindung besteht darin, die Ladezugang-Komponenten gegeneinander genau und präzise auszurichten. Vorzugsweise wird dieser Kalibrierungsprozeß mit einer minimalen Anzahl von Justierungen ausgeführt. In einer Ausführungsform sind alle inneren und äußeren EFEM-Komponenten an einem einheitlichen Rahmen präzise befestigt, so daß sie sich gemeinsame Referenzpunkte teilen.
  • Ein weiterer Aspekt der Erfindung besteht darin, einen einheitlichen Rahmen zur Verfügung zu stellen, der die Zugangstür/Trägertür-Baugruppe von den mehreren inneren EFEM-Komponenten trennt und isoliert. In einer Ausführungsform ist die Zugangstür/Trägertür-Baugruppe abgesenkt hinein in einen separaten Luftstrom/Ablagebereich, der sich in dem Mini-Environment befindet. Der Aufbewahrungsbereich schützt davor, daß Partikel, die zum Beispiel von einem Waferhandhabungsautomat erzeugt werden, die Baugruppe kontaminieren.
  • Noch ein weiterer Aspekt der vorliegenden Erfindung ist es, eine Waferträger-Kopplungs/Interface-Platte zur Verfügung zu stellen, die einfach von dem EFEM entfernt werden kann, um auf das Innere des EFEM zuzugreifen. In einer Ausführungsform der vorliegenden Erfindung ist die entfernbare Platte aus einem transparenten Material hergestellt, so daß der Benutzer jegliche Probleme oder Fehlfunktionen beobachten kann, die innerhalb des Mini-Environments auftreten.
  • Noch ein weiterer Aspekt vorliegenden der Erfindung ist es, die Grundfläche des EFEM zu verringern. In einer Auführungsform wird das EFEM von einer rollbaren Auflage getragen, wobei die Unterseite des EFEM gegenüber dem Boden der Waferfabrikation erhöht ist. Der Bereich zwischen dem Waferfabrikationsboden und dem EFEM kann als ein Wartungszugang zu dem Bearbeitungswerkzeug dienen oder als ein Bereich, um darin Hilfskomponenten anzuordnen.
  • Noch ein weiterer Aspekt der Erfindung ist es, eine Wafermaschine zum Transportieren der Wafer zur Verfügung zu stellen. In einer Ausführungsform kann die Wafermaschine eine Zahl von Inspektions-, Markierungs und meßtechnischen Funktionen ausführen, wodurch die Notwendigkeit für separate Bearbeitungsstationen vermieden wird.
  • Noch ein weiterer Aspekt der Erfindung ist es, eine Wafermachine zur Verfügung zu stellen, die die Wafer innerhalb der verringerten Grundfläche des EFEM transferiert. In einer Ausführungsform umfaßt der Waferantrieb einen Linearantrieb zum Bewegen der Wafer entlang einer x-Achse, einen Vertikalantrieb zum Bewegen der Wafer in der z-Achse, einen Radialantrieb zum Bewegen der Wafer entlang einer radialen Achse und einen Drehantrieb zum Drehen des vertikalen und radialen Antriebs um eine θ-Achse.
  • Ein weiterer Aspekt der vorliegenden Erfindung ist es, eine lokale Filterung für verschiedenen Partikel zur Verfügung zu stellen, die in Mechanismen auf der Waferanmaschine erzeugt werden. In einer Ausführungsform ist eine Lüfter/Filter-Einheit an dem Radialantrieb angebracht, um Partikel einzufangen, die von dem Radialantrieb erzeugt werden. In einer anderen Ausführungsform erzeugt ein Lüftungssystem einen Luftstrom durch den Vertikalantrieb, um Partikel einzufangen, die von dem Vertikalantrieb erzeugt werden. Diese lokalisierten Lüfter/Filter-Einheiten versuchen, die Partikel zu kontrollieren, die von dem Waferantrieb erzeugt werden, indem die Partikel in ein Environment von "verunreinigter Luft" ausgestoßen werden oder indem zuerst die Luft gefiltert wird bevor sie dann zurück in das Environment der "verunreinigten Luft" ausgestoßen werden.
  • Noch ein weiterer Aspekt der Erfindung ist es, eine Wafermaschine zur Verfügung zu stellen, die Möglichkeiten zum Zweifachaustausch und zum Ausrichten im Fluge aufweist. In einer Ausführungsform weist die Wafermaschine einen Schnellaustauschradialantrieb und eine Speichermöglichkeit auf, um gleichzeitig zwei Wafer aufzubewahren und zu transferieren. In einer anderen Ausführungsform kann ein oberer Endeffektor einen ersten Wafer drehen und ausrichten, während ein zweiter Wafer aufbewahrt wird und/oder von einem unteren Endeffektor transportiert wird.
  • Ein weiterer Aspekt der Erfindung ist es, eine Wafermaschine zur Verfügung zu stellen, die einen entfernbaren/austauschbaren Gleitkörpermechanismus aufweist. In einer Ausführungsform umfaßt der Gleitkörpermechanismus integrierte Bearbeitungswerkzeuge, wie einen OCR-Leser, einen Ausrichter, einen ID-Leser oder ein meßtechnisches Werkzeug. Ein entfernbarer Gleitkörpermechanismus ermöglicht bei einer Waferfabrikation durchgängig die gleiche Wafermaschine einzusetzen, wobei lediglich ein Gleitkörpermechanismus für jede individuelle Bearbeitungsstation erworben werden muß.
  • Ein anderer Aspekt der Erfindung besteht darin, einen Waferantrieb mit einem Vertikaleantrieb zur Verfügung zu stellen, der oberhalb des θ-Antriebs angeordnet ist. Solch ein Vertikalantrieb ist im wesentlichen in dem Bereich des FOUP 10 angeordnet und verringert die Grundfläche der Wafermaschine.
  • Die vorliegende Erfindung stellt all diese Vorteile zur Verfügung.
  • Detaillierte Zeichnungen der vorliegenden Erfindung
  • 1 ist eine perspektivische Ansicht einer herkömmlichen Frontendbaugruppe entsprechend dem Stand der Technik;
  • 2 ist eine Draufsicht auf die Frontendbaugruppe, die in 1 gezeigt ist;
  • 3 ist eine Seitenansicht einer herkömmlichen Frontendbaugruppe entsprechend dem Stand der Technik;
  • 4 ist eine perspektivische Ansicht einer Ausführungsform der Gitterstabstruktur gemäß der vorliegenden Erfindung;
  • 5 ist eine Teilexplosionsansicht der Gitterstabstruktur, die in 4 gezeigt ist;
  • 6 ist eine perspektivische Ansicht einer Ausführungsform eines FOUP-Kopplungs-Interface entsprechend der vorliegenden Erfindung;
  • 7 ist eine perspektivische Teilexplosionsansicht einer Ausführungsform der Gitterstabstruktur und der Frontenden-Ladekomponenten gemäß der vorliegenden Erfindung;
  • 8 ist eine perspektivische Ansicht einer Ausführungsform einer Wafermaschine, die an einer Gitterstabstruktur entsprechend der vorliegenden Erfindung befestigt ist;
  • 9 ist eine perspektivische Ansicht einer Ausführungsform der Laufschiene einer Wafermaschine, die an der Gitterstabstruktur gemäß der vorliegenden Erfindung befestigt ist;
  • 10 ist eine Seitenansicht einer Ausführungsform des Frontendladeinterface entsprechend der vorliegenden Erfindung;
  • 11 ist eine Teilexplosionansicht einer anderen Ausführungsform des integrierten Mini-Environment und der Struktur gemäß der vorliegenden Erfindung;
  • 12 ist eine Seitenansicht des integrierten Mini-Environment und der Struktur, wie in 11 gezeigt;
  • 13 ist eine perspektivische Teilansicht einer Ausführungsform mit Zentralverbindungsstruktur entsprechend der vorliegenden Erfindung;
  • 14 ist eine perspektivische Ansicht einer anderen Ausführungsform des integrierten Mini-Environment und der Struktur entsprechend der vorliegenden Erfindung;
  • 15 ist eine hintere Ansicht des integrierten Mini-Environment und der Struktur, die in 14 gezeigt ist;
  • 16 ist eine Teilexplosionsansicht, die eine Ausführungsform des einheitlichen Rahmens und des intergrierten Mini-Environment und der Struktur, die in 15 gezeigt ist, darstellt;
  • 17A bis 17B: 17A ist eine Draufsicht einer Ausführungsform eines herkömmlichen Waferhandhabungsautomaten, und 17B ist eine Draufsicht eines Waferhandhabungsautomaten, der in 17A gezeigt ist, mit einem ausgefahrenen Endeffektor entsprechend dem Stand der Technik;
  • 18 ist eine perspektivische Ansicht einer Ausführungsform einer Schnellaustausch-Wafermaschine entsprechend der vorliegenden Erfindung;
  • 19 ist eine perspektivische Ansicht der Wafermaschine, die in 18 gezeigt ist, und stellt verschiedene Komponenten der Antriebsmechanismen und den Mechanismus der vertikalen Stange und des Gleitkörpers dar;
  • 20 ist eine perspektivische Ansicht einer anderen Ausführungsform einer Wafermaschine entsprechend der vorliegenden Erfindung;
  • 21 ist eine perspektivische Ansicht der Wafermaschine, die in 18 gezeigt ist, und stellt den Luftstrom dar, der von den Lüfter/Filter-Einheiten erzeugt wird;
  • 22A bis 22D: 22A ist eine perspektivische Ansicht einer anderen Ausführungsform einer Wafermaschine entsprechend der vorliegenden Erfindung, die mit ei nem Radausrichter und einem ID-Leser auf dem Gleitkörpermechanismus ausgestattet ist; 22B stellt eine Draufsicht der Wafermaschine dar, die in 22A gezeigt ist;
  • 22C ist eine Seitenansicht der Wafermaschine, die in 22A gezeigt ist; und
  • 22D ist eine Rückansicht der Wafermaschine, die in 22A gezeigt ist;
  • 23 zeigt eine perspektivische Ansicht einer Ausführungsform eines oberen Endeffektors, der in 22A gezeigt ist;
  • 24A bis 24C: 24A ist eine Schnittansicht einer Ausführungsform eines Rad-Endeffektor-Ausrichters, wobei ein Wafer dargestellt wird, welcher von einem Rad gehalten wird; 24B ist eine Schnittansicht des Rad-Endeffektor-Ausrichters der 24A, die den Wafer von dem Rad abgehoben und durch das Rad getragen zeigt; 24C ist eine Schnittansicht des Rad-Endeffektor-Ausrichters, der in 24A gezeigt ist, und stellt einen Wafer dar, der von dem Rad losgelassen wurde und zurück auf das Pad gesetzt wurde;
  • 25 ist eine perspektivische Ansicht einer anderen Ausführungsform der Wafermaschine entsprechend der vorliegenden Erfindung;
  • 26A und 26B: 26A ist eine perspektivische Ansicht einer anderen Ausführungsform des Radialantriebs und 26B eine weitere Ausführungsform des Radialantriebs;
  • 27A und 27B: 27A ist eine ebene Ansicht, welche die Vorteile der Reichweite und des Schwenkbereichs der Wafermaschine entsprechend der vorliegenden Erfindung darstellt, und 27B ist eine ebene Ansicht eines herkömmlichen Lineargleitautomaten, die den minimalen Abstand und die maximale Reichweite darstellt, die benötigt werden;
  • 28 stellt beispielhaft eine Bewegungssequenz für den Schnellaustauschgleitkörper mit einer aus der Mitte versetzten Drehachse entsprechend der vorliegenden Erfindung dar;
  • 29A bis 29D: 29A ist eine perspektivische Ansicht einer Ausführungsform des Frontendladerinterface entsprechend der vorliegenden Erfindung; 29B ist eine Frontansicht des integrierten Systems, das in 29A gezeigt ist; 29C ist eine Seitenansicht einer Ausführungsform des Frontendladeinterface, das in 29A ge zeigt ist, 29D ist eine ebene Ansicht einer Ausführungsform des Frontendladeinterface, das in 29A gezeigt ist;
  • 30A und 30B: 30A ist eine perspektivische Ansicht einer Ausführungsform des integrierten Systems, das an dem Bearbeitungswerkzeug montiert ist, und 30B ist eine Seitenansicht des integrierten Systems, das in 30A gezeigt ist;
  • 31 ist eine Seitenansicht des integrierten Systems, das in den 30A und 30B gezeigt ist, und stellt dar, wie das integrierte System einen Abstand für das temtoräre Aufbewahren des automatisierten Materialhandhabungssystems (AMHS) freigibt.
  • Detaillierte Beschreibung der vorliegenden Erfindung
  • Die vorliegende Erfindung wird nun mit Bezug auf die 4 bis 31 beschrieben, die sich allgemein auf ein Waferübergabesystem beziehen. Die bevorzugten Ausführungsformen der vorliegenden Erfindung werden zur Fabrikation von 300 mm Halbleiterwafern verwendet. Die vorliegende Erfindung kann auch von zu Halbleiterwafern unterschiedlichen Werkstücken verwendet werden, wie z.B., Retikel, Flachbildschirme und magnetische Speicherdisks. Die vorliegende Erfindung kann auch benutzt werden bei der Fabrikation von Werkstücken, die größer oder kleiner als 300 mm sind, wie beispielsweise 200 mm und 150 mm. Obgleich die vorliegende Erfindung vorzugsweise in einem FOUP-System betrieben wird, ist darüberhinaus zu verstehen, daß die vorliegende Erfindung auch mit anderen Werkstücktransportsystemen betrieben werden kann, einschließlich bei offenen Waferkassettensystemen.
  • Einheitliche Gitterstabstruktur
  • Die Gitterstabstruktur 100 basiert auf der Idee, daß ein einzelner einheitlicher Rahmen oder eine Struktur als ein Basisunterbau für ein EFEM dient. Dieser Unterbau kann in gleicher Ausführung mehrfach hergestellt werden, um die Kosten des Systems zu senken, und ermöglicht, die EFEM-Komponenten an den Rahmen zu montieren, um die Ausrichtung zu vereinfachen. Diese Struktur oder der Rahmen 100 minimiert den Raumbedarf, der von einem Frontendladewerkzeug benötigt wird. Ein Rahmen oder eine Struktur minimiert außerdem die Zeit zum Ausrichten und vereinfacht wesentlich den Zugang zu Komponenten, die innerhalb des Frontendwerkzeugs angeordnet sind, für Instandhaltungsarbeiten oder Wartungen, die benötigt werden.
  • Die 4 und 5 stellen eine bevorzugten Ausführungsform der einheitlichen Gitterstabstruktur 100 dar. Die Gitterstabstruktur 100 umfaßt mehrere vertikale Streben 102, die mit einem oberen Kanal oder Tragelement 104 und einem unteren Kanal oder Tragelement 106 miteinander verbunden sind. Jede vertikale Strebe 102 besitzt eine nach innen weisende Seite 108 und eine nach außen weisenden Seite 110. Wie in den 4 bis 10 gezeigt ist, besitzt jede vertikale Strebe 102 vorzugsweise einen im wesentlichen rechteckigen Querschnitt. Es ist ein rechteckiger Querschnitt bevorzugt, bei dem die nach außen weisende Seite 110 jeder vertikalen Strebe 102 eine Dichtung mit jeder EFEM-Komponente bildet, die an der vertikalen Strebe 102 befestigt ist. Der rechteckige Querschnitt jeder vertikalen Strebe 102 stellt auch sicher, daß das obere Tragelement 104 und das untere Tragelement 106 bündig mit der nach innen weisenden Seite 108 und der nach außen weisenden Seite 110 abschließen, wenn sie mit jeder vertikalen Strebe 102 verbunden sind. Es liegt im Umfang und in der Idee der Erfindung, daß die vertikale Strebe 102 einen anderen Querschnitt aufweist, wie z.B., aber nicht ausschließlich, rund oder oval.
  • In der bevorzugten Ausführungsform umfaßt die Gitterstabstruktur 100 in erster Linie eine Metallblechkomponente mit einigen maschinell bearbeiteten Komponenten, wo es die Präzision erfordert. Das Metallblech wird in einer An und Weise implementiert, die vorteilhaft die Präzision ausnutzt, die durch verschiedene Gesichtspunkte dieser Herstellungstechnik erlangt werden kann. Beispielsweise stellen die Längsabkantungen in dem oberen Tragelement 104 und dem unteren Tragelement 106, die eine U-Form bilden, eine sehr steife Referenz zur Ausrichtung der vertikalen Streben 102 zur Verfügung. In einer bevorzugten Ausführungsform sind in dem unteren und oberen Kanal 104 und 106 Löcher 120 und 122 ausgestanzt, um weiterhin eine gute Ausrichtung von Loch zu Loch zwischen jeder vertikalen Strebe 102 und dem unteren und oberen Kanal 104 und 106 zu garantieren.
  • Die Metallblechkomponenten dienen auch der Funktion als Außenverblendungen oder Montageflächen (nachfolgend beschrieben) für das System, sowie als struktureller Träger. In aktuellen EFEM-Systemen ist das Metallblech üblicherweise für nicht strukturelle Wände reserviert, die lediglich für einen kosmetischen Abschluß und eine Einfassung sorgen. Durch das Einbringen des Metallblechs in verschiedene der strukturellen Komponenten können die Materialkosten für das EFEM wesentlich reduziert werden.
  • Das obere Tragelement 104 ist mit dem oberen Abschnitt 114 jeder vertikalen Strebe 102 verbunden, während das untere Tragelement 106 mit dem unteren Abschnitt 112 jeder vertikalen Strebe 102 verbunden ist. Demgemäß stellt die Gitterstruktur 100 eine sehr stabile und torsions- und biegesteife Struktur zur Verfügung, um das Frontendladesystem darauf auszubilden. In einer bevorzugten Ausführungsform werden das oberen Tragelement 104 und das untere Tragelement 106 aus einem einzelnen Stück eines Metallblechs hergestellt. Die Abkantungen in dem Metallblech zur Erzeugung des oberen Tragelements 104 sind durch die Breite des oberen Abschnitts 114 jeder vertikalen Strebe 102 bestimmt, so daß die Breite des U-förmigen oberen Tragelements 104 im wesentlichen gleich zu der Breite des oberen Abschnitts 114 jeder vertikalen Strebe 102 ist. Ähnlich ist die Breite des unteren U-förmigen Tragelements 106 vorzugsweise im wesentlichen gleich zur der Breite des unteren Abschnitts 112 jeder vertikalen Strebe 102. Es ist beabsichtigt, daß jedes Tragelement 104 und 106 mit der nach innen gerichteten Seite 108 und der nach außen gerichteten Seite 110 jeder vertikalen Strebe 102 bündig abschließt.
  • In einer bevorzugten Ausführungsform ist der unterer Abschnitt 112 jeder vertikalen Streben 102 breiter als der obere Abschnitt 114 jeder vertikalen Strebe 102. Wie man am besten in den 4 und 5 sieht, ist in der Gitterstabstruktur 100 jede vertikale Strebe 102 in vertikaler Orientierung ausgerichtet, so daß jede vertikale Strebe 102 im wesentlichen parallel zu allen anderen liegt. Jede Strebe 102 ist mittig um vorzugsweise 505 mm beabstandet, welches der geringste erlaubte Abstand für benachbarte Ladezugänge nach SEMIE-15.1 ist. Es liegt im Umfang und der Idee der Erfindung, daß die vertikalen Streben 102 mit veränderlichen oder ungleichen Distanzen beabstandet sind.
  • Um eine starre Struktur sowohl in der Torsions- als auch in der lateralen Richtung zur Verfügung zu stellen, ist jede vertikale Strebe 102 sowohl mit dem oberen Tragelement 104 als auch mit dem unteren Tragelement 106 verbunden. Jede vertikale Strebe 102 ist zwischen dem oberen Tragelement 104 und dem unteren Tragelement 106, wie in 4, gezeigt angeordnet. Wie vorhergehend beschrieben ist jede vertikale Strebe 102 mit Montagelöchern 120 und 122 in dem oberen Tragelement 104 und dem unteren Tragelement 106 ausgerichtet. Beispielsweise ist jede vertikale Strebe 102 mit dem oberen Tragelement 104 durch eine Schraube oder einen Stift gesichert, der an dem oberen Abschnitt 114 der vertikalen Strebe 102 (d. h. durch das Montageloch 120) befestigt ist, und wenigstens eine Schraube oder ein Stift ist an der Vorderseite 110 oder der Rückseite 108 befestigt. Jede vertikale Strebe 102 muß außerdem an dem unteren Tragelement 106 befestigt sein. Nur beispielsweise ist eine Schraube oder ein Stift an dem unteren Abschnitt 112 jeder vertikalen Strebe 102 (d. h. durch das Montageloch 122) befestigt und wenigstens eine Schraube oder ein Stift ist sowohl an der Vorderseite 110 als auch an der Rückseite 108 befestigt.
  • Die U-förmige Konfiguration des oberen Tragelements 104 und des unteren Tragelements 106 schützt weiterhin vor einem Drehen einer beliebigen vertikalen Strebe an ihrem Ort. Obgleich der obere Kanal 104 und der untere Kanal 106, wie in den 4 und 5 gezeigt, aus einem einzelnen Stück eines Metallblechs hergestellt sind, liegt es im Umfang und in der Idee der Erfindung, daß das obere Tragelement 104 und das untere Tragelement 106 aus mehreren Materialstücken hergestellt wird. In einer bevorzugten Ausführungsform, wie man am besten in 5 sieht, weisen das obere Tragelement 104 und das untere Tragelement 106 gelochte Oberflächen auf. Die gelochten Oberflächen des oberen Tragelements 104 und des unteren Tragelements 106 ermöglichen das Durchströmen von Luft aus einer Lüfter/Filter-Einheit 105 (FFU) (s. 10).
  • Wenn das untere Tragelement 106 an der vertikalen Strebe 102 befestigt ist, bildet es eine frontseitige Montagefläche 118 und eine rückseitige Montagefläche 116, an der verschiedene EFEM-Komponenten montiert werden können (s. 6 bis 10). In allgemeinen bildet die Gitterstabstruktur 100 wenigstens drei parallele und kolineare Montageflächen: die Frontseite 110 des oberen Abschnitts 112, die frontseitige Montagefläche 118 und die rückseitige Montagefläche 116. Wie später beschrieben wird, werden die EFEM-Komponenten an einer dieser drei Flächen befestigt. Diese drei Flächen weisen ein bekanntes räumliches Verhältnis zwischen ihnen auf, und Komponenten, die an diese Flächen montiert werden, können mit minimalen Justierungen ausgerichtet werden oder es ist überhaupt keine Justierung erforderlich.
  • Das untere Tragelement 106 bildet außerdem einen Luftstrombereich 121, der zwischen der frontseitigen Montagefläche 118 und der rückseitigen Montagefläche 116 angeordnet ist. Dieser Luftstrombereich 121 ist dafür konstruiert, ein FOUP-Türöffnungs-/schließungs-Modul 139 aufzunehmen, das von der Zugangstüröffnung weggerichtet wurde und in den Luftstrombereich 121 abgesenkt wurde.
  • Die Isolierung des FOUP-Türöffnungs-/schließungs-Moduls 139 von dem Bereich der Wafermaschine 300 hat viele Vorteile. Beispielsweise wird ein einzelner Luftstrom, der von einem FFU 150 erzeugt wird, in zwei getrennte Luftströme aufgeteilt. Ein Luftstrom wird zu dem FOUP-Türöffnungs-/schließungs-Modul 139 geleitet, während ein zweiter separater Luftstrom direkt in den Wafermaschinenbereich eingeleitet wird. Die zwei getrennten Luftströme sorgen für ein reines Environment der FOUP/Zugangstür-Baugruppe 139, im Vergleich zu einem einzelnen Luftstrom, der sowohl über den Wafermaschinenbereich als auch über das FOUP-Türöffnungs-/schließungs-Modul 139 zirkuliert. Wenn lediglich ein einzelner Luftstrom sowohl die Wafermaschine 300 als auch die FOUP-Baugruppe 130 passiert, können Partikel, die von der Wafermaschine 300 erzeugt werden, die FOUP/Pod-Tür-Baugruppe 139 kontaminieren.
  • Die rückseitige Montagefläche 116 des unteren Tragelements 106 wirkt außerdem als eine Schutzbarriere zwischen dem FOUP-Türöffnungs-/schließungs-Modul 139 und dem Wafermaschinenbereich. Die rückseitige Montagefläche 116 schützt davor, daß Partikel, die von der Wafermaschine 300 erzeugt werden, den Luftstrombereich 121 erreichen, in dem das FOUP-Türöffnungs/-schließungs-Modul 139 aufbewahrt ist. Die rückseitige Montagefläche 116 ermöglicht außerdem, daß die Wafermaschine 300 lokale Filter- und Entlüftungssysteme aufweist, die "verunreinigte" Luft, die Partikel enthält, unter die Waferebene ausstößt, während sie das FOUP-Türöffnungs-/schließungs-Modul 139 nicht kontaminiert (nachfolgend beschrieben).
  • Die Gitterstabstruktur 100, wie sie in den 4 und 5 gezeigt ist, ist als ein Vier-FOUP-I/O-Zugang-EFEM konstruiert. Es liegt im Umfang und in der Idee der Erfindung, daß das EFEM beliebig viele I/O-Zugänge umfaßt. Zusätzlich kann das EFEM Abstands- oder Leer-Zugänge umfassen, die zwischen jedem I/O-Zugang angeordnet sind, durch die Wafer transportiert werden. Wie vorhergehend erwähnt, ist die Gitterstabstruktur 100 skalierbar. Die Zahl der vertikalen Streben 102 und die Länge des oberen Tragelements 104 und des unteren Tragelements 106 können modifiziert werden, damit sie zu der I/O-Zugangs-Konfiguration passen, die für das EFEM erforderlich ist.
  • Jede vertikale Strebe 102 weist außerdem eine Nockenführung 124 auf, die in die seitliche Fläche eingearbeitet ist. Die Nocke 124 wirkt als eine Führung oder ein Kanal zum Führen des FOUP-Türöffnungs-/schließungs-Modul 139 nach hinten von dem FOUP weg und nachfolgend nach unten in den Luftstrombereich 121. Die Bewegung der Zugang/Pod-Tür-Baugruppe 139 kann über eine Motorbaugruppe (nicht gezeigt) gesteuert werden, die in der Bearbeitungsstation angeordnet ist. Solch eine Motorbaugruppe ist aus dem Stand der Technik bekannt und erfordert keine weitere Offenbarungen. Es liegt im Umfang und in der Idee der Erfindung, die FOUP-Tür 12 und die Zugangstür 140 in den Aufbewahrungsbereich 121 mechanisch zu führen und zu bewegen.
  • Das FOUP-Kopplungs-Interface, das in den 6 und 7 dargestellt ist, zeigt verschiedene EFEM-Komponenten, die an der Gitterstabstruktur 100 montiert sind. Nur beispielhaft können die Komponenten eine Wafermaschine oder einen Automaten 300, eine FOUP-Träger-Baugruppe 130, eine FOUP-Kopplungs/Isolations-Platte 138 und eine Zugangstür 140 umfassen. Die FOUP-Träger-Baugruppe 130 umfaßt einen FOUP-Vorschubträger 132, ein FOUP-Vorschubmodul 133 und eine FOUP-Trägerplatte 134.
  • Um das Werkstück von dem FOUP 10 in das Mini-Evironment (siehe 10 – "Klasse-1-Bereich") zu übertragen, wird ein FOUP 10 manuell oder automatisch auf eine Zugang-Vorschubplatte 134 geladen, so daß die FOUP-Tür zur Tür 140 des Ladezugangs weist. Eine herkömmliche Ladezugangstür 140 umfaßt ein Paar Einklinkschlüsssel, die in einem entsprechenden Paar von Schlitzen in der Tür-Einklink-Baugruppe aufgenommen werden, die in der FOUP-Tür montiert ist. Ein Beispiel eines Türeinklinkung in einer FOUP-Tür, die dafür eingerichtet ist, solche Einklinkschlüssel aufzunehmen und mit diesen zusammenzuwirken, ist in dem US-Patent Nr. 6,188,323 mit dem Titel "WAFER MAPPING SYSTEM" ("WAFER ABBILDUNGSSYSTEM"), veröffentlicht auf Rosenquiest et al., wobei das Patent auf den Besitzer der vorliegenden Erfindung übertragen ist und das Patent im Wege der Bezugnahme hierin in seiner Gesamtheit aufgenommen wird, offenbart. Zusätzlich zum Entkoppeln der FOUP-Tür von dem FOUP-Gehäuse, verriegelt auch das Drehen der Einklinkschlüssel die Schlüssel in ihren entsprechenden Schlitzen der FOUP. Üblicherweise gibt es zwei Paare von Einklinkschlüsseln und Schlitzen, wobei jedes der Paare strukturell und operativ identisch ist.
  • Eine Pod-Vorschubplatte 134 umfaßt typischerweise drei kinematische Pins 135 oder andere Erkennungmerkmale, die in die entsprechenden Schlitze auf der Unterseite des FOUP 10 passen, um eine feste und wiederholbare Position der Unterseite des FOUP 10 auf der Vorschubplatte 134 festzulegen. Wenn ein FOUP 10 einmal auf der Zugangs-Vorschubplatte 134 erfaßt ist, wird das FOUP 10 zu der Zugangstür 140 vorgeschoben, bis die FOUP-Tür in Kontakt mit der Zugangstür 140 kommt oder nahe zu dieser liegt. Es ist wünschenswert, die Vorderseiten der entsprechenden Türen in Kontakt miteinander zu bringen, um Partikel einzufangen und um für einen dichten Sitz des Zugangstür-Einklinkschlüssels in dem FOUP-Türschlüssel-Schlitz sicherzustellen. Die US Patentanmeldung Nr. 09/115,414 mit dem Titel "POD DOOR TO PORT DOOR RETENTION SYSTEM" ("RÜCKHALTESYSTEM FÜR EINE POD-TÜR ZU EINER ZUGANGSTÜR") und die U.S. Patentanmeldung Nr. 09/130,254 mit dem Titel "POD TO PORT DOOR RETENTION AND EVACUATION SYSTEM" ("RÜCKHALTE- UND EVAKUIERUNGSSYSTEM FÜR EINE POD-ZUGANGSTÜR") von Fosnight et al. offenbart Systeme, die eine dichte, saubere Schnittstelle zwischen den Türen DES FOUP 10 und des Zugangs sicherstellen. Diese Anmeldungen sind auf den Besitzer der vorliegenden Erfindung übertragen und werden beide im Wege der Bezugsnahme in ihrer Gesamtheit hierin aufgenommen.
  • Wenn die Türen des FOUP 10 und des Zugangs gekoppelt sind, bewegen Linear- und/oder Drehantriebe in dem EFEM die Türen des FOUP 10 und des Zugangs zusammen in das Innere des EFEM und anschließend von der Ladezugangsöffnung weg, so daß das Werkstück danach für die Wafermaschine 300 zugänglich gemacht werden kann. Wie in 10 gezeigt ist, ist die Zugangstür 140 an der FOUP-Tür befestigt und eine Steuerung betätigt einen Gleitmechanismus, um die Türen des Trägers und des Zugangs entlang der Nocke 124, die in jeder vertikalen Strebe 102 angeordnet ist, zu verschieben. Die Nocke 124 führt die ineinander verriegelten Türen des Trägers und des Zugangs vertikal nach unten in den Luftstrombereich 121 des unteren Tragelements 106. Wie vorhergehend erwähnt, sind die Zugangstür 140 und die FOUP-Tür von dem Rest des Klasse-1-Bereichs isoliert, während sie in dem Luftstrombereich 121 aufbewahrt werden. Die Konstruktion des linearen Gleit- und des Drehantriebes (nicht gezeigt) sind aus dem Stand der Technik bekannt und erfordern keine weitere Offenbarung. Der lineare Gleitmechanismus kann ein lineares Lager und einen Antriebsmechanismus umfassen. Z. B. kann das linear Lager ein Kugellager oder ein Luftlager umfassen. Ähnlich kann der Antriebsmechanismus einen Motor mit einer Nockenführungsschraube, einem Riemenantrieb oder einen Linearmotor umfassen. Der Drehantrieb kann z.B. einen Getriebemotor, einen Direktantrieb, einen Riemenantrieb oder vergleichbar Einrichtungen umfassen.
  • Nachdem die Türen des FOUP 10 und des Zugangs von der Kopplungs/Isolationsplatte 138 entfernt sind, kann die Wafermaschine oder der Automat 300 Werkstücke in das Werkzeugfrontende transferieren, ohne von den aufbewahrten Türen des FOUP 10 oder des Zugangs gestört zu werden. Wenn die Bearbeitung an einer Werkstückcharge an dem Werkzeug beendet ist und die Werkstücke zu dem FOUP 10 zurückgekehrt sind, betätigt die Steuerung wieder den Antrieb und den Gleitmechanismus, um die Türen zurück in den I/O-Zugang zu bewegen, worauf die FOUP-Tür zu dem FOUP 10 verschoben und daran gesichert wird.
  • Die Kopplungs/Isolations-Platte 138 ist auf der Frontseite 110 jeder vertikalen Strebe 102 befestigt. Die Kopplungs/Isolations-Platte 138 isoliert den Innenbereich (Klasse 1 oder "reiner" Bereich) des Werkzeugfrontendes von der äußeren Umgebung oder vom Außenbereich. Die Kopplungs/Isolations-Platte 138 stellt außerdem eine Anschlußebene zur Verfügung, auf die das FOUP 10 auf einen engen und einstellbaren Abstand (z.B. von 0 bis 5 mm Abstand) vorgeschoben wird. Die Platte 138 bildet eine Hilfsdichtung mit dem FOUP 10 und der Zugangstür 140. Eine Hilfsdichtung ermöglicht, daß ein Abstand zwischen der Platte 138 und dem FOUP 10 besteht, aber immer noch eine luftdichte Abdichtung zwischen der Platte 138 und dem FOUP 10. Die luftdichte Abdichtung zwischen der Platte 138 und dem FOUP 10 ist wünschenswert, um eine Gasleckage aus dem Klasse-1-Bereich zu vermeiden oder um das inerte Environment des Ladezugangs-Interface aufrechtzuerhalten.
  • Die Kopplungs/Isolations-Platte 138 wird vorzugsweise aus einem einzelnen Materialstück hergestellt, welches ein oder mehrere FOUP-Öffnungen umfaßt, die darin maschinell (spanabhebend) eingearbeitet sind. Die Kopplungs/Isolations-Platte 138 umfaßt Registrierungslöcher 144, um sie genau gegenüber jeder vertikalen Strebe 102 anzuordnen. Dies sorgt für eine maschinell bearbeitete, präzise Beziehung zwischen allen Öffnungen des FOUP 10 für das EFEM. Die Kopplungs/Isolations-Platte 138 kann außerdem einzelne Materialteile umfassen, die an jeder vertikalen Strebe 102 unter Verwendung der gleichen Referenzmerkmale montiert sind. Die Platte 138 kann aus Materialien hergestellt werden, die, nicht ausschließend, Kunststoff, Metall, Metallblech oder sogar Glas umfassen.
  • In einer bevorzugten Ausführungsform ist die Kopplungs/Isolations-Platte 138 aus einem klaren Material, wie Polycarbonat, maschinell hergestellt. Das maschinelle Herstellen der Kopplungs/Isolations-Platte 138 aus einem klaren Material sorgt für den zusätzlichen Vorteil, daß es möglich ist, in das Mini-Environment oder den Klasse-1-Bereich zu sehen, während das Werkzeug in Betrieb ist. Das aktuelle E15-Load-Port/SEMI E63 Bolts Interface besitzt dieses Merkmal nicht. Die Kopplungs/Isolations-Platte 138 besitzt keinerlei strukturelle Merkmale und kann daher an jede vertikale Strebe 102 der Gitterstruktur 100 mit lediglich wenigen Schrauben und/oder Stiften befestigt werden. Dadurch kann die Kopplungs/Isolations-Platte 138 einfach entfernt werden. Da weiterhin keine der EFEM-Komponenten in Bezug auf die Kopplungs/Isolations-Platte 138 ausgerichtet ist, kann die Kopplungs/Isolations-Platte 138 von dem EFEM entfernt werden, ohne die Einstellung oder die Justierung der EFEM-Komponenten, wie die Zugangstür 140, die FOUP-Vorschubplatte 134 oder die Wafermaschine 300, zu stören. Dies stellt ein einfaches Verfahren zur Verfügung für einen besseren Zugang zu dem "reinen" Bereich (Klasse-1-Bereich in 10) des EFEM für einen Service, zu Wartungsarbeiten oder zur Fehlerbehebung.
  • 8 stellt eine Wafermaschine 300 dar, die an der Gitterstabkonstruktion 100 montiert ist. In dieser Ansicht wird deutlich gezeigt, daß die Wafermaschine 300 sich linear bewegen kann, um auf allen I/O-Zugänge des EFEM zuzugreifen. Die Wafermaschine 300 bewegt sich entlang eines Schienenaufbaus 302, der an der hinteren Montagefläche 116 des unteren Tragelements 106 montiert ist. In dieser Ausführungsform ist der Linearantrieb 302 als ein Riemenantrieb gezeigt. Es liegt im Umfang und in der Idee der Erfindung, daß der Linearantrieb 302 andere Antriebssysteme umfaßt, die beispielsweise, aber nicht beschränkend, einen Direktantrieb, einen Linearmotor, einen Kabelantrieb oder einen Kettenantrieb umfassen. Die Komponenten der Wafermaschine 300 werden später beschrieben. Solche Antriebssysteme sind im Stand der Technik gut bekannt und erfordern keine weitere Offenbarung.
  • 9 stellt weitere Details des in 8 gezeigten Schienensystems 302 dar, das an der Gitterstabstruktur 100 montiert ist. Das Schienensystem 302 umfaßt eine obere x-Schiene 310, eine untere x-Schiene 312 und eine Laufwagenführung 311, die alle an der hinteren Montageplatte 118 des unteren Kanals 106 montiert sind. In einer bevorzugten Ausführungsform sind die obere x-Schiene 310 und die untere x-Schiene 312 rund oder röhrenförmig und im wesentlichen parallel zueinander. Ein x-Laufwagen 304 greift in die obere x-Schiene 310, die untere x-Schiene 312 und in die Laufwagenführung 311 ein. Die obere und die untere x-Schiene 310 und 312 dienen auch als der Hauptträger für die Wafermaschine 300.
  • 9 stellt auch eine Steuerbox 147 dar, die vorzugsweise unterhalb der FOUP-Vorschub-Baugruppe 130 angeordnet ist. Das EFEM benötigt mehrere elektrische Steuereinrichtungen (z.B. Steuerleitungen, PCBs, etc.). Es wäre ein Vorteil, wenn man zur Wartung und zur Reparatur auf diese Einrichtungen einfach zugreifen kann. Die Steuerbox 147 stellt einen Bereich zur Montage elektrischer Einrichtungen zur Verfügung. In einer bevorzugten Ausführungsform besitzt die Steuerbox 147 eine schwenkbare Frontabdeckung, die heruntergelassen werden kann, um im Inneren auf die elektrischen Komponenten zuzugreifen. In der Steuerbox sind mehrere der elektrischen Komponenten und der Steuersystem angeordnet, die erforderlich sind, um die EFEM-Komponenten mit Strom zu versorgen und zu betreiben. Es ist beabsichtigt, daß auf diese elektrischen Komponenten zu Wartungszwecken einfach zugegriffen werden kann. Daher ist die schwenkbare Frontabdeckung der Steuerbox 147 durch wenige Schrauben oder Stifte befestigt, die entfernt werden können und es ermöglichen, die Frontabdeckung nach unten zum Boden der Fertigung zu schwenken.
  • Wie in den 10 und 30 bis 31 gezeigt ist, stellt die Architektur der Gitterstabstruktur 100 eine Möglichkeit zur Verfügung, die Grundfläche des EFEM zu minimieren und das Reinraumvolumen des Systems zu abzudichten, während die Gesamtsystem-Präzision immer noch erhalten wird. Die FFU 150 ist auf den oberen Kanal 104 und eine Werkzeuggrenzfläche 154 montiert und mit diesen abgedichtet und bildet die Oberseite des EFEM. Die vordere Abdichtung wird durch die Montage der Kopplungs/Isolations-Platte 138 auf die Frontseite 110 jeder vertikalen Strebe 102 erreicht. Eine Metallblechwand 152, welche vorzugsweise eine gelochte Oberfläche bildet, ist an dem unteren Tragelement 106 montiert, wodurch die Unterseite des EFEM gebildet wird. Die Wand 152 wirkt auch als eine Entlüftungsplatte, welche es ermöglicht, daß die Entlüftungsströmung von sowohl der FFU 150 als auch der Wafermaschine 300 in die äußere Umgebung ausströmen kann. Jede Seite des EFEM ist mit Abschlußplatten 156 abgedichtet, die mit der Gitterstabstruktur 100 (s. 30), der Werkzeug-Interface-Wand 154, der Wand 152 und dem FFU 150 verbunden und abdichtend sind. Wie in 10 gezeigt ist, führt die Reinluftströmung aus der FFU 150 und der Gleitkörper-FFU 420 durch das Mini-Environment oder den Klasse-1-Bereich und durch die untere Wand 152 und den unteren Kanal 106. Der Luftstrom, der aus der Z-Schlitz-Lüftung 354 (nachfolgend beschrieben) entweicht und der Partikel enthält, die durch den Vertikalantrieb 380 erzeugt werden, führt auch durch die untere Wand 152. Der Luftstrom aus der Z-Schlitz-Lüftung 354 erreicht niemals das reine Mini-Environment.
  • Allgemein erzeugt die Gitterstabstruktur 100 ein einzelnes Bezugssystem, um die EFEM-Komponenten, wie die Wafermaschine 300 und die FOUP-Vorschubbaugruppe 130, daran zu kalibrieren und auszurichten. Jede separate EFEM-Komponente kann auf eine bekannte und feste Position kalibriert werden, wie an einer vertikalen Strebe 102, anstelle einer Kalibrierung und Ausrichtung untereinander. Dieses Kalibrierungsverfahren ist sehr viel einfacher gegenüber den herkömmlichen Verfahren, die derzeit erforderlich sind.
  • Gitterstabstruktur mit einer Zentralverbindung
  • Die 11 bis 13 stellen einer andere Ausführungsform einer Gitterstabstruktur dar. Die strukturellen Hauptelemente dieser Ausführungsform umfassen einen horizontalen Stab 170, Positionierungsstreben 172 und eine frontseitige Montageplatte 174. Wie in 11 gezeigt ist, ist der horizontale Stab 170 vorzugsweise an dem unteren Abschnitt jeder Positionierungsstrebe 172 montiert, um einen starren Rahmen zu bilden. Die vordere Montageplatte 147 ist ebenfalls an jeder Positionierungsstrebe 172 montiert, wodurch eine Fläche zur Verfügung gestellt wird, an der die äußeren EFEM-Komponenten (z.B. FOUP-Vorschubsbaugruppe 130) montiert werden können. Der horizontale Stab 140 kann beispielsweise aus einem Aluminiumstrangpreßprofil, einer Stahlröhre, einer Struktur aus gebogenen Metallblechen, einer ebe nen Platte, einer laminierten Platte oder einer Kombination von diesen hergestellt sein. Der horizontale Stab 170 stellt ebenfalls eine Oberfläche zur Verfügung, um den Linearantrieb 306 (nachfolgend beschrieben) daran zu montieren. Ähnlich zu der Gitterstabstruktur 100 stellt diese Ausführungsform eine einzelne Referenz zur Verfgung, um die EFEM Komponenten daran zu montieren und auszurichten.
  • 12 zeigt, daß die FOUP-Tür 12 und in die Zugangstür 140 vorzugsweise noch in einem isolierten Bereich in dem Klasse-1-Bereicht aufbewahrt werden. Daher muß der Stab 170 weit genug von den Positionierungsstreben 172 entfernt sein, um zu ermöglichen, die FOUP-Tür 12 und die Zugangstür 140 zwischen dem Stab 170 und der Positionierungsstrebe 172 zu befestigen. Wie in 12 gezeigt, sind Abstandshalter 171 zwischen jeder Positionierungsstrebe 172 und dem Stab 170 mon1iert, um einen Aufbewahrungsbereich zu erzeugen. Es liegt im Umfang und in der Idee der Erfindung auch einen Aufbewahrungsbereich durch anderen Einrichtungen zu erzeugen. Der Stab 170 wirkt auch als eine Schutzbarriere, die davor schützt, daß Partikel, die von der Wafermaschine 300 erzeugt werden, die FOUP-Tür 12 oder in die Zugangstür 140 kontaminieren.
  • 13 zeigt, daß die Tragestruktur oder die Gitterstabstruktur den Stab 170 mit einer CNC-gefrästen Aluminiumplatte 176 umfassen kann, die an dem Stab 170 montiert ist, um die x-Achsen-Schienen 310 und 312 zu halten. Diese Struktur ist ferner durch einen U-förmigen Blechstreifenabschnitt 175 versteift. Die vertikalen Registrierungsstreben 172, die in dem Abschnitt 175 montiert sind, sind vergleichbar zu den vertikalen Streben 102 in der vorherigen Ausführungsform ausgerichtet. Wie in der 11 gezeigt ist, ist eine Frontmontageplatte 172 an den Registrierungsstreben 174 montiert. EFEM-Komponenten, wie die FOUP-Vorschubbaugruppe 130, sind an der Frontmontageplatte 172 montiert.
  • Der Stab 170 kann zwischen der Wafermaschine 300 und den Pod-Öffnern unterhalb des Arbeitsbereichs der Waferhandhabungsvorrichtung angeordnet sein. Der Stab 170 stellt, wie auch immer er konstruiert ist, ein strukturell gemeinsames Element zur Verfügung, an dem die EFEM-Komponenten präzise montiert sind, wodurch die Notwendigkeit für zeitaufwendige Justierungen außer Haus, wenn ein EFEM installiert oder ersetzt wird, entfällt.
  • Einzelrahmen/Gehäuse
  • Die 14 bis 16 zeigen eine andere Ausführungsform der Gitterstabstruktur, die als eine FOUP-Kopplungsstation konstruiert ist. In dieser Ausführungsform ist die Gitterstab struktur, an der die EFEM-Komponenten montiert sind, ein einzelner Rahmen oder ein Gehäuse 202. Der Rahmen 202 dient als eine einzelne Referenz für die inneren Komponenten (z.B. Wafermaschine 300) und äußeren Komponenten, um sie daran zu montieren und mit Komponenten (z.B. FOUP-Vorschubbaugruppe 130) auszurichten, ähnlich zu der Gitterstabstruktur 100.
  • Wie in 14 gezeigt ist, umfaßt die Gitterstabstruktur 200 drei Ladezugangsbaugruppen 204, die an dem Rahmen 202 montiert sind. Jede Ladezugangsbaugruppe ist ähnlich zu der Ladezugangsbaugruppe 130, die in der bevorzugten Ausführungsform offenbart ist. Eine Ladezugangstür 206, welche den Klasse-1-Bereich von den äußeren Umgebungsbedingungen isoliert, entspricht jeder Ladezugangsbaugruppe 204 zum Angreifen und Entfernen der FOUP-Tür von dem FOUP-Gehäuse. Es liegt im Umfang und in der Idee der Erfindung, daß der Rahmen 202 mehrere I/O-Zugänge aufweist. Ähnlich kann der Rahmen 202 einen ausgefüllten oder festen I/O-Zugang umfassen, der zwischen I/O-Zugängen angeordnet ist, durch die Wafers transportiert werden.
  • Der Rahmen 202 ist vorzugsweise aus einem einzelnen Materialstück hergestellt. Z.B. kann der Rahmen durch eine Stanzmaschine hergestellt werden. Der Rahmen 202 kann aus beliebig verschiedenen Materialien hergestellt werden. Z.B. kann der Rahmen 202 aus Materialien hergestellt werden wie Metallblech, Polypropylen, Kompositen oder Kunststoffen, wobei die Aufzählung nicht abschließend ist. Der Rahmen 202 kann auch ein eloxiertes Oberflächenfinish umfassen, um ein Ausgasen zu vermeiden oder zu verringern. Unabhängig, ob der Rahmen 202 aus einem einzelnen Materialstück oder aus separaten Teilen hergestellt wird, ist der Rahmen 202 skalierbar. Demgemäß kann der Rahmen 202 kundenspezifisch angepaßt werden, um so viele FOUP-I/O-Zugänge zu erzeugen, wie es für das EFEM notwendig ist.
  • 15 stellt verschiedene EFEM-Komponenten dar, die an dem Rahmen 202 montiert sind. Die bevorzugte Ausführungsform des Rahmens 202, welche aus einem einzelnen Stück eines rostfreiem Stahls hergestellt ist, ist flexibel. Der Rahmen 202 kann z.B. auch aus einem Aluminiumblech hergestellt werden. Der EFEM muß starr genug sein, um geeignete Trage- und Ausrichtpunkte für die EFEM-Komponenten zur Verfügung zu stellen. Zusätzliche Träger 210 sind an dem Rahmen 202 montiert, um starre und präzise Tragepunkte für Komponenten zur Verfügung zu stellen, wie den Linearantrieb 254, die Filtereinheit 220, die FOUP-Vorschubbaugruppe 208 und die Werkzeuganschlußebene.
  • Um einen Luftstrom durch das Ladezugangs-Interface zu treiben, sind die Oberseite 210 und die Unterseite 203 des Rahmens 202 gelocht. Eine Lüfter/Filter-Einheit 220 kann an der Oberseite 201 des Rahmens 202 montiert werden und mit dieser abgedichtet werden, um die Menge und die Qualität der Luft durch den Rahmen 202 zu steuern. Die Technologie einer solchen Lüfter/Filter-Einheit ist aus dem Stand der Technik gut bekannt und erfordert keine weitere Offenbarung. Eine einzelne Lüfter/Filter-Einheit 220 kann geeignet sein, um die gewünschte Luftströmungsrate zu erreichen. Wenn jedoch der Rahmen 202 in der Größe und im Volumen anwächst, können für den Rahmen 202 mehrere Lüfter erforderlich sein, um die gewünschten Bedingungen im Environment aufrechtzuerhalten. Wenn der Innenraum des EFEM nicht von den äußeren Umgebungsbedingungen isoliert ist (kein inertes Environment) kann Luft in das reine Mini-Environment durch die FFU 220 gezogen werden und nach außen durch die Lochungen 212 in der Bodenfläche 203 des Rahmens 202 ausströmen.
  • Wenn das EFEM ein inertes System ist, kann eine Strömungsaufnahmekammer 224 an der Unterseite 203 des Rahmens 202 montiert sein und mit dieser abgedichtet sein, so daß der Luftstrom, der von der Lüfter/Filter-Einheit 220 erzeugt wird, vollständig aufgenommen wird und wieder zirkuliert. Der Abschlußdeckel 210 kann auch ein Strömungsrückkehrführung aufweisen, welche die Luft, die den Sammelraum 242 verläßt, zurück in die Lüfter/Filter-Einheit 220 zur Zirkulation führt.
  • Aufgrund des minimal umschlossenen Volumens, das von den Rahmen 220 hervorgebracht wird, ist die vorliegende Erfindung vom Standpunkt der Luftführung ein sehr effizientes System. Ein Mini-Environment mit einem kleineren zu steuernden und zu filternden Luftvolumen erleichtert es, die Reinheitsvorgaben der Luft zu erhalten. Inerte Systeme oder Systeme, die Molekularfilter erfordern, welche sich verschlechtern je mehr Luft durch sie durchgedrückt wird, profitieren von einem Mini-Environment, das ein kleineres Gasvolumen enthält. Beispielsweise ist das Tauschen von Filtern weniger häufig erforderlich, wenn ein kleineres Volumen und eine kleinere Gasrate durch sie durchströmen.
  • Volumen-Raumausnutzung des Systems
  • Einer der Schlüsselunterschiede aller vorhergehend beschriebenen EFEMs (z.B. Gitterstabstruktur, Zentralverbindung und Rahmen) ist die grundsätzliche Änderung in der Raumausnutzung. Das Merkmal der Raumausnutzung wird nur auf die Gitterstabstruktur 100 bezogen, obgleich dieses Konzept auch auf die anderen Ausführungsformen, die in dieser Anmeldung offenbart werden, angewandt werden kann. In einem herkömmlichen Werkzeugfronten de, nimmt das Frontende den gesamten Raum von der Front des Ladezugangs (der Ladezugangsebene) bis zu der Bearbeitungswerkzeugfläche und von dem am Boden der Fabrikation bis zu ihrem höchsten Punkt, üblicherweise der Oberseite der FFU, und die gesamte Breite des Frontendes ein.
  • Ein EFEM, das mit einer Gitterstabstruktur 100 konstruiert ist, erzeugt erheblichen Raum unter dem Ladezugang 130, und der reine Bereich der Wafermaschinen kann dem Bearbeitungs/Meß-Werkzeug zurückgegeben werden oder für andere Zwecke verwendet werden. Außerdem ist die Gesamttiefe des umschlossenen Bereichs oder des Mini-Environment ebenfalls gegenüber dem veringert, was üblicherweise EFEM-Konfigurationen benötigen. Die Front des radialen Gleitkörpers 400 der Wafermaschine kann in einen überlicherweise unbenutzten Bereich gedreht werden für einen FOUP-Türmechanismus, der sich zwischen den vertikalen Streben 102 befindet. Der Raum kann dem Bearbeitungswerkzeug zurückgegeben werden sowie dem Endabnehmer, der geringere Erfordernisse für die untere Grundfläche des gesamten Werkzeugs realisieren kann. Die Konstruktion der Wafermaschine 300 profitiert von diesen neuen und kleineren Raumbeschränkungen. Beispielsweise kann der radiale Verschiebemechanismus 400 weiter in das Bearbeitungswerkzeug reichen als bei nicht versetzten Versionen.
  • Als Ergebnis des sehr viel kleineren Gehäuses des Systems ist es erheblich leichter, und, wenn es an einem unabhängigen Rollrahmen montiert ist, kann es von dem Bearbeitungswerkzeug weggerollt werden, um einen direkten Zugriff auf das Werkzeug zu ermöglichen. Da das System außerdem kürzer als typische Bearbeitungswerkzeuge ist, kann der Raum über ihm ebenfalls für andere Zwecke benutzt werden, wie für einen lokalen FOUP-Zwischenaufbewahrung 10 für das AMHS-System. Mit herkömmlichen AMHS-System mit Deckenzug können lokale Zwischenspeicherstationen nur zwischen den Ladezugängen oder den Werkzeugen untergebracht werden, da sie einen freien Weg nach oben zu dem Ladezugängen benötigt. Mit der nach außen gleitenden Regalanordnung könnte das Material in einem anderweitig nicht benutzten Bereich gelagert werden, direkt oberhalb des umschlossenen Bereichs des integrierten EFEM.
  • Wie in den 30 und 31 gezeigt, kann das System mit dem Bearbeitungswerkzeug auf verschiedene Weise integriert werden. Es ist so konstruiert, daß es eine Abstützung an vier Punkten benötigt. Zwei Punkte in der Front am Boden der zwei äußeren vertikalen Streben stellen befestigte und ausgleichbare Punkte zur Verfügung. Zwei Punkte an der hinteren unteren Ecke jeder Abschlußplatte stellen die hinteren Abstützstellen zur Verfügung. Die Ab stützpunkte könnten von einem ausrollbaren Rahmen zur Verfügung gestellt werden, der in einfacher Weise das Wegbewegen des Systems von dem Bearbeitungswerkzeug ermöglichen würde. Es könnte auf Rahmenteilen von dem Bearbeitungswerkzeug getragen werden, die von dem Werkzeug hervorstehen, oder von dem Boden getragen werden. Es kann auch eine Kombination aus beidem sein, wobei der ausrollbare Rahmen benutzt werden könnte, um das System aus den kinematischen Punkten, die von dem Bearbeitungswerkzeugrahmen bereitgestellt werden, abzuheben.
  • Alle integrierten Mini-Environments und Strukturen 100 oder 200, wie sie vorhergehend beschrieben wurden, sind an der Front eines Werkzeugs zur Halbleiterbearbeitung montiert. Wie hier benutzt, können solche Werkzeuge Bearbeitungswerkzeuge zum Bilden integrierter Schaltkreise auf Halbleiterwafern, meßtechnische Werkzeuge zum Testen verschiedener Eigenschaften und Werkstücke und Beschickungsanlagen für eine groß dimensionierte Aufbewahrung von Werkstückträgern umfassen, wobei die Aufzählung nicht beschränkend ist. Wie hier benutzt, kann das Werkzeug einfach ein Gehäuse sein, so daß die Werkstückhandhabung an der Rückseite der Platte, wie sie nachfolgend beschrieben wird, in einem umschlossenen Raum aufgenommen wird. Nur beispielsweise kann die Struktur 100 gemäß der vorliegenden Erfindung einen Sortierer zum Anordnen und Transferieren von Werkstücken mit einem oder mehreren Trägern umfassen.
  • Alternativ können die Strukturen 100 einen Sortierer oder eine alleinstehende Vorausrichterstation umfassen. In beiden Ausführungsformen, bei dem Sortierer und der alleinstehenden Vorausrichterstation, werden die Werkstückbearbeitungen vollständig von den EFEM-Komponenten ausgeführt, die an der Struktur 100 montiert sind. Das Gehäuse, das den Klasse-1-Bereich bildet, basiert auch auf der Struktur 100 und stellen ein umschlossenes reines Environment zur Verfügung, in dem die Werkstücke gehandhabt werden können. In verschiedenen Ausführungsformen der vorliegenden Erfindung kann die Struktur 100 als ein Teil des Werkzeugs vorgesehen sein (s. 3A). In anderen Ausführungsformen der vorliegenden Erfindung kann das System an dem Werkzeug befestigt, jedoch von diesem separat vorgesehen sein (29A bis 29D).
  • Wie man am besten in 10 sieht, wird die FOUP-Kopplungsstation um die Gitterstabstruktur 100 gebildet. Eine untere Wanne 118 ist an dem unteren Tragelement 106 befestigt und bildet mit diesem eine Abdichtung. In einer bevorzugten Ausführungsform ist die untere Wanne 118 eine gelochte Fläche, um das Durchtreten der Luft aus der FFU 150 zu ermöglichen. Die FFU 150 ist an dem oberen Tragelement 104 befestigt und bildet mit die sem eine Abdichtung. Eine Wafertransferplatte 122 ist an der unteren Wanne 118 und an der FFU-Einheit 150 befestigt und bildet mit diesen eine Abdichtung. Die Wafertransferplatte 122 kann Transferfenster 121 umfassen, die es der Wafermaschine 300 ermöglichen, Wafer zwischen dem Klasse-1-Bereich und dem Bearbeitungswerkzeug zu transferieren.
  • Das System bildet eine gegen Luft abgeschlossene Abdichtung, um das Environment der Klasse 1 aufrechtzuerhalten. Die Luftabdichtung wird zwischen sowohl der Gitterstabstruktur 100 und der unteren Wanne 118, die Gitterstabstruktur 100 mit dem FFU 150, als auch der Wafertransferplatte 122 mit der FFU 150 und der unteren Wanne 118 gebildet. Im allgemeinen wird der Druck in dem Bereich der Klasse 1 auf einem höheren Niveau gehalten als in der Atmosphäre, welche den Klasse-1-Bereich umgibt. Dieser Druckunterschied schützt davor, daß ungefilterte Luft in den Klasse-1-Bereich gelangt. Daher werden durch die Luft beförderte Partikel oder Kontaminierungen aus dem Klasse-1-Bereich durch die Öffnungen in der unteren Wanne 118 geblasen. Gelegentlich werden die Werkzeuge in einer ungünstigen Arbeitsbedingung, z.B. in einer reinen Stickstoffumgebung, betrieben. In einer solchen Umgebung ist es notwendig, den Klasse-1-Bereich von der äußeren Umgebung vollständig zu isolieren. Eine Kammer kann an der unteren Wanne 118 angebracht werden und mit dieser abgedichtet werden, so daß das Mini-Environment in der Struktur 100 vollständig von den atmosphärischen Bedingungen isoliert ist. Eine Kammer 224 (s. 14) kann an der unteren Wanne 118 montiert werden, um die Luft zu sammeln und zurück zu der Lüfter/Filter-Einheit 150, die an der Gitterstabstruktur 100 montiert ist, wieder in Umlauf zu bringen.
  • Wafermaschine
  • Die in den 18 bis 23 dargestellte Wafermaschine 300 minimiert mechanisch träge Massen im Hinblick auf die Benutzungsfrequenz und auf die kritische Wafertransferdurchlaufzeit. Nur beispielsweise umfassen einige Vorteile dieser Wafermaschine 300 (1) das Erreichen eine schnellen Waferaustauschzeit, (2) ein geringes Gesamtgewicht des Systems und (3) eine kompaktere einheitliche Bauform. Die Wafermaschine 300 kann in jeder der Ausführungsformen der einheitlichen Gitterstabstruktur 100, die in dieser Anmeldung offenbart sind, oder als eine alleinstehende Einrichtung betrieben werden.
  • Eine bevorzugte Ausführungsform der Wafermaschine 300 ist in den 18 und 19 dargestellt. Die Wafermaschine 300 umfaßt vier koordinierte Hauptantriebe, um den Transfer von Wafern in dem EFEM zu optimieren. Die vier Antriebe bewegen den Wafer entlang einer x-Achse, einer Theta-Achse, einer z-Achse und einer radialen oder r-Achse.
  • Die Wafermaschine 300 besitzt eine Linearantrebsbaugruppe 302, welche die Wafermaschine 300 entlang der x-Achse bewegt. Die Bewegung entlang der x-Achse ermöglicht der Wafermaschine auf jeden FOUP-I/O-Zugang zuzugreifen. Die Linearantriebsbaugruppe 302 umfaßt einen x-Laufwagen 340 und ein Schienensystem 306. Der x-Laufwagen 340 greift gleitfähig in die obere x-Schiene 310 und die untere x-Schiene 312 ein. Das Schienensystem 306 ist an der hinteren Montageplatte 116 montiert und umfaßt eine obere x-Schiene 310 und einer untere x-Schiene 312. Die obere x-Schiene 310 und die untere x-Schiene 312 erstrecken sich entlang der x-Achse und liegen im wesentlichen parallel zueinander. Die Unterbrechungslinien, die durch die Schienenbaugruppe 306 in 18 führen, zeigen, daß die Schienenbaugruppe 306 eine beliebige Länge haben kann. Die Schienenbaugruppe 306 ist skalierbar, so daß sich die Wafermaschine 300 entlang der Schienenbaugruppe 306 bewegen kann, um beispielsweise auf Wafer, die in einem beliebigen FOUP 10 aufbewahrt, zuzugreifen. Der Drehantrieb 350 der Wafermaschine 300 ist ebenfalls an dem x-Laufwagen 304 montiert. Dadurch treibt eine Bewegung von dem x-Laufwagen 304 die Wafermaschine 300 entlang der x-Achse an.
  • Die Wafermaschine 300 kann auch gedreht werden, wodurch sie um die Theta-Achse schwenkt. In einer bevorzugten Ausführungsform, wie in 18 gezeigt, umfaßt der Drehantrieb 350 eine Trägerstange 364, die sich entlang der Theta-Achse erstreckt und an dem z-Achsenträger 370 montiert ist. Der Drehantrieb 350 umfaßt einen Theta-Motor 362, um die Trägerstange 364 anzutreiben und zu drehen. Der Drehantrieb 350 kann entweder im Uhrzeigersinn oder gegen den Uhrzeigersinn gedreht werden. Der Drehantrieb 350 kann auch direkt an dem vertikalen Antrieb 380 montiert sein. Vorzugsweise bewegt sich die Theta-Achse nicht durch die Mitte des Gleitkörpers 400. Der Vorteil dieser Konstruktion des aus der Mitte versetzten Gleitkörpers 400 wird später beschrieben.
  • Der Drehantrieb 350 umfaßt weiterhin eine Lüfteranbauplattform 352. In einer bevorzugten Ausführungsform der Wafermaschine 300, wie in 20 gezeigt, ist ein z-Schlitzlüfter 354 an der Unterseite der Lüfterplattform 352 montiert. Bei dieser Konstruktion der Wafermaschine 300 ist der z-Schlitzlüfter 354 nahe dem Theta-Motor 362 angeordnet, und sie stellt ein Lüftungsventil zur Verfügung, um die Luft abzusaugen, die durch die z-Stange 380 der Wafermaschine 300 getrieben wird. Die Luft, welche durch die z-Stange 380 strömt, wird nach unten gerichtet, weg von einem Wafer, der zu der Wafermaschine 300 transportiert wird (s. 21). Alternativ kann der Luftstrom durch den Drehantrieb 350 und aus dessen unteren Ende heraus ausgeblasen werden.
  • Die vertikale Antriebsstange 380 ist an dem unteren Tragelement 370 montiert und erstreckt sich nach oben entlang der z-Achse. Die Antriebsstange 380 bewegt den Gleitkörper 400 (nachfolgend beschrieben) der Wafermaschine 300 und damit den Wafer entlang der z-Achse nach oben und unten. In einer Ausführungsform, wie in 19 gezeigt, ist die Antriebsstange 380 eine längliche Stange, die sich im wesentlichen senkrecht von dem Tragelement 370 erstreckt. Eine Antriebsbaugruppe ist in der Antriebsstange 380 angeordnet und umfaßt einen z-Antriebsmotor 382, einen z-Kabelweg 384, eine z-Führungsschiene 386 und eine z-Kugelgewindespindel 388. Solche Antriebseinrichtungen sind aus dem Stand der Technik gut bekannt und erfordern keine weitere Offenbarungen. Es liegt im Umfang und in der Idee der Erfindung, den Gleitkörpermechanismus 400 durch andere Einrichtungen zu bewegen.
  • Der Gleitkörper 400 umfaßt vorzugsweise einen oberen Endeffektor 402 und einen unteren Endeffektor 404 zum schnellen Austauschen einzelner Wafer entlang der r-Achse. Der Gleitkörper 400 hält den oberen und unteren Endeffektor 402 und 404 so, daß sie parallel zu den Wafern liegen, die in jedem FOUP 10 aufbewahrt sind. Wie man in 19 sieht, bewegen sich der untere Endeffektor 402 und der obere Endeffektor 404 entlang einem gleichen geradlinigen Weg. Der obere Endeffektor 402 und der untere Endeffektor 404 sind um einen Abstand voneinander getrennt, der ein gleichzeitiges Aufbewahren von Wafern durch den unteren Endeffektor 404 und den oberen Endeffektor 402 ermöglicht. Der Gleitkörper 400 umfaßt einen Radialantriebsmotor 410 zum Bewegen des oberen Endeffektors 402 und des unteren Endeffektors 404 linear entlang der Radial- oder r-Achse.
  • Der oberen Endeffektor 402 wird von einem ersten Träger 406 gehalten, und der unteren Endeffektor 404 wird von einem zweiten Träger 408 gehalten. Der Träger des oberen Endeffektors 406 und der Träger des unteren Endeffektors 408 greifen jeweils gleitfähig in eine radiale Führungsschiene 410 ein, die sich im wesentlichen quer über die Länge des Gleitkörpers 400 erstreckt, und bewegen sich in dieser. Jeder radiale Antriebsmotor 410 treibt einen radialen Treibriemen 414 an. Der radiale Treibriemen 414a ist mit dem ersten Träger 406 verbunden, und der zweite radiale Antriebsriemen 414b ist mit dem zweiten Träger 408 verbunden. Der radiale Antriebsmotor 410 kann sich im Uhrzeigersinn oder gegen den Uhrzeigersinn drehen, um den radialen Treibriemen um eine radiale Antriebsrolle 416 und einer Endumlenkrolle 418 zu drehen und um die entsprechenden Endeffektoren ein- und auszufahren. Ein solcher Antriebsmechanismus ist aus dem Stand der Technik gut bekannt und erfordert keine weitere Offenbarung. Es liegt im Umfang und im Gedanken der vorliegenden Er findung, andere Einrichtungen vorzusehen, um einen Wafer entlang der Radial- oder r-Achse zu bewegen.
  • Die Wafermaschine 300 hat viele bewegliche Teile. Bewegliche Teile neigen zum Erzeugen von Partikeln. Beispielsweise erzeugt das kontinuierliche Ein- und Ausfahren des oberen Endeffektors 402 und des unteren Endeffektors 404 Partikel innerhalb des Mini-Environments. Um davor zu schützen, daß die Partikel die auf den beiden Endeffektoren angeordneten Wafer kontaminieren, ist eine Gleitkörper-Lüfter/Filter-Einheit (FFU) 420 an der Unterseite des Gleitkörpers 400 montiert. Die Gleitkörper-FFU 420 saugt kontinuierliche Luft durch die Gleitkörperschlitze 420 an, drückt die Luft durch den Gleitkörper 400 und stößt anschließend die Luft in den Klasse-1-Bereich aus. Diese lokale Filterung des Luftstroms reduziert wesentlich die Menge der Partikel, die sich im Klasse-1-Bereich befinden.
  • Üblicherweise umfassen die meisten Mini-Environments eine einzelne Lüfter-Filter-Einheit, welche Luft durch das Mini-Environment zirkulieren läßt, und filtert die Luftströmung nur, wenn sie in das EFEM strömt. Alle Partikel, die innerhalb des Mini-Environments nach der Lüfter-Filter-Einheit erzeugt werden, verbleiben in dem Reinraum, bis sie aus dem EFEM ausgestoßen werden. Es ist wünschenswert, die Zahl der Partikel innerhalb des Mini-Environments zu minimieren, da insbesondere die Entwicklung in der Halbleiterfertigung mehr und mehr eine geringere Toleranz der Partikel-Kontamination auf den Wafern erfordert.
  • Die lokalisierte Filterung der Wafermaschine 300 entfernt Partikel, die von allen Dreh- oder Gleitmechanismen erzeugt werden, die auf der Wafermaschine 300 angeordnet sind, sobald der Partikel entsteht. In einer bevorzugten Ausführungsform, wie in den 19 und 21 gezeigt, ist eine lokale Lüfter/Filter-Einheit oder ein Lüftersystem nahe den beiden Linearantrieben der z-Stange 380 und dem Gleitkörpermechanismus 400 angeordnet. Wie insbesondere in 21 angezeigt ist, stößt die an dem Gleitkörpermechanismus 400 montierte Lüfter/Filter-Einheit die gefilterte Luft in das reine Mini-Environment, während das z-Schlitzlüftersystem des Vertikalantriebs 380 die ungefilterte Luft durch die untere Platte des EFEM ausstößt. Die Wafermaschine 300 filtert die Luft und stößt sie in den Klasse-1-Bereich des EFEM aus. Wenn die Wafermaschine 300 keine Lüfter/Filter-Einheit aufweist, die auf dem Gleitkörpermechanismus 400 montiert ist, würden sich die von dem Gleitkörpermechanismus erzeugten Partikel durch den Klasse-1-Bereich bewegen und den Wafer kontaminieren, der von einem der beiden Endeffektoren gehalten wird.
  • 20 stellt eine andere Ausführungsform der Wafermaschine 300 dar. In dieser Ausführungsform greift der Gleitkörper 400 an der z-Stange 380 an, so daß die z-Stange 380 im wesentlichen entlang der r-Achse liegt. Ähnlich zu den vorhergehenden Ausführungsformen der Wafermaschine 300 umfaßt diese Ausführungsform einen Theta-Motor 362, eine vertikale Antriebsstange 380 und einen Radialgleitkörper 400. Der Theta-Motor dreht die Wafermaschine um die Theta-Achse, die z-Stange bewegt den Radialgleitkörper 400 linear entlang der z-Achse und der Radialgleitkörper 400 bewegt den Endeffektor 401 entlang der Radial- oder r-Achse. Daher dreht sich die Wafermaschine und damit der Wafer um die Theta-Achse immer dann, wenn sich der Theta-Motor 362 dreht. Diese Ausführungsform kann außerdem eine Lüfter/Filter-Einheit umfassen, die an dem Radialgleitkörper 400 montiert ist in einem v-Schlitzentlüfter ähnlich zu der vorherigen Ausführungsfrom der Wafermaschine 300.
  • Wie vorhergehend erwähnt, kann der Gleitkörper 400 der Wafermaschine 300 verschiedenen Konstruktionen der Endeffektoren umfassen. Wie in den 18 und 19 dargestellt, können der obere und untere Endeffektor 402 und 404 einen passiven Randhalter umfassen. Eine solche Konfiguration ist in der Industrie als ein Endeffektor mit passivem Randgreifer für 300 mm Wafers bekannt. 22 stellt dar, wie der obere Endeffektor 402 einen aktiven Randeingreifer umfaßt, während der untere Endeffektor 404 einen passiven Randhalter umfaßt. Alternativ können die Endeffektoren 402 und 404 eine beliebige Kombination von beispielsweise einem Unterdruckgreifer mit rückseitigem Kontakt, einem reduzierten Kontaktbereich oder abnehmbaren Pads umfassen.
  • In ähnlicher Weise kann der Radialantrieb 400 verschiedene Arten von Endeffektoren zum Handhaben der Wafer zu verschiedenen Stadien umfassen. Beispielsweise kann ein Effektor nur "verunreinigte" Wafer handhaben, während der zweite Endeffektor nur "reine" Wafer handhabt. Alternativ kann ein Endeffektor dafür konstruiert werden, die Wafer-ID vor dem Transport zum Bearbeitungswerkzeug auszurichten und zu lesen, während der zweite Endeffektor Hochtemperatur-Pads zum Handhaben von warmen Wafern nach dem Bearbeiten umfassen kann.
  • Integrierte Werkzeuge in der Wafermaschine
  • Ein konventioneller Waferhandhabungsautomat transportiert einzelne Wafer beispielsweise von einem FOUP 10 zu einer separaten Bearbeitungsstation. Die Bearbeitungs station untersucht den Wafer oder richtet ihn aus, und anschließend kann der Waferhandhabungsautomat den Wafer zur nächsten Station transportieren. Häufig muß der Waferhandhabungsautomat abwarten oder zu einem FOUP 10 zurückkehren, um einen zweiten Wafer zu transportieren, während die Bearbeitungsstation in Betrieb ist. Ein solcher Betrieb reduziert den Durchsatz des Systems.
  • In einer Ausführungsform umfaßt die Wafermaschine 300 einen Gleitkörper 400, der eine oder mehrere dieser Funktionen ausführen kann, die normalerweise an separaten Bearbeitungsstationen ausgeführt werden. Das Integrieren einer oder mehrerer dieser Funktionen in einen Gleitkörper 400 erhöht den Durchsatz des Systems und reduziert die Grundfläche des EFEM.
  • Die 22 und 23 stellen eine Wafermaschine 300 dar, die mit einem an dem Gleitkörper 400 montierten Radausrichter 440 und ID-Leser 430 ausgestattet ist. Diese Ausführungsform ist ähnlich zu der Wafermaschine 300, wie sie in den 18 und 19 gezeigt ist mit dem Zusatz eines Radausrichters 440, der an dem oberen Endeffektor 402 montiert ist, und einem ID-Leser 430, der an dem Gleitkörper 400 montiert ist. Es liegt im Umfang und in der Idee der Erfindung, daß auch der untere Endeffektor 404 einen Radausrichter umfaßt.
  • Der ID-Leser 430 kann zum Lesen der Markierungen auf der Ober- und/oder Unterseite des Wafers nach oben oder nach unten weisen. Es liegt am Umfang und in der Idee der Erfindung, daß der ID-Leser 430 an dem vertikalen Antrieb 380 montiert ist oder daß er an einem festen Ort irgendwo auf der Wafermaschine 300 montiert ist. In der bevorzugten Ausführungsform ist es vorteilhaft, einen Oberseiten-ID-Leser 430 an dem Gleitkörper 400 zum schnellen Lesen von IDs zu montieren. Ein zweiter ID-Leser kann an einem festen Ort an einer anderen Stelle des EFEM zum Lesen der T7-Marke an der Unterseite, zur Bestätigung oder Klärung der Wafer-ID montiert sein, falls dies erforderlich ist.
  • Wenn ein ID-Leser erforderlich ist, die Orientierung des Wafers jedoch unwichtig ist, kann auf den Ausrichter verzichtet werden und der ID-Leser 430 kann die ID-Marke sehen unabhängig von der Lage, in der der Wafer auf dem Endeffektor ankommt. Um diese Operation auszuführen, kann der ID-Leser 430 oder eine Spiegelbaugruppe über die Oberfläche des Wafers zum Betrachten der ID-Marke gedreht werden. Dadurch entfällt die Notwendigkeit, den Wafer zum Lesen der ID zu drehen, was die Reinheit und den Durchsatz verbessert.
  • Ein Ausrichter steuert die Drehung des Wafers um eine Achse, beispielsweise durch ein Rad oder andere Einrichtungen. Die 23 und 24 stellen eine Ausführungsform eines Endeffektors mit einem Radausrichters 440 dar. Der Radausrichter 440 umfaßt ein Antriebssystem 446 und eine Schaufelfläche (paddle plate) 442. Die Schaufelfläche 442 ist der Hauptträger für den Wafer. An dem Ende der Schaufelfläche 442 befinden sich zwei Sätze von passiven Außenrädern 446 und zwei Pads 448. Die Räder 446 und die Pads 448 tragen den Wafer zu unterschiedlichen Zeiten während dem Ausrichten. Ein Antriebsrad 450, das auf der Rückseite der Schaufelfläche 442 angeordnet ist, trägt den Wafer entlang einer dritten Kontaktfläche, während der Wafer ausgerichtet wird.
  • In einer Ausführungsform gleitet der Radendeffektor 440 unter einem in einem FOUP angeordneten Wafer und wird angehoben bis der Wafer von den Pads 448 gehalten wird. Die Pads 448 halten vorzugsweise den Wafer nur entlang seiner unteren Kante. Um den Wafer auszurichten, wird der Wafer von dem Antriebsrad 450 nach vorne und auf die Räder 446 gedrückt. Der Wafer wird von den Pads 448 abgehoben und wird vollständig durch das Antriebsrad 450 und die Außenräder 446 gehalten. An diesem Punkt kann sich das Antriebsrad 450 drehen, um den Wafer in situ zu drehen. Dieser Vorgang kann ausgeführt werden, während die Wafermaschine 300 den Wafer transportiert. Die Wafermaschine 300 braucht nicht an einem Ort zu stehen, um den Wafer auszurichten.
  • Alternativ kann, wie in 26B gezeigt, der Gleitkörper 400 einen Ausrichter mit einer Unterdruckhalteeinrichtung 411 umfassen. Der Antriebsmechanismus für den Ausrichter mit Unterdruckhalteeinrichtung 411, der eine Hebeeinrichtung und Drehachse umfaßt, kann sich innerhalb des Gleitkörpers 400 befinden. Ein Sensor 409 kann an dem Endeffektor 403 montiert sein, um die Kante des Wafers zu lokalisieren, während er sich auf dem Endeffektor befindet. Der Sensor 409 kann auch an einer Struktur montiert sein, die unabhängig von dem Endeffektor 403 ist. Im allgemeinen kann der Sensor 409 an verschiedenen Orten angeordnet sein, solange der Sensor zum Lesen der Oberseite des Wafers positioniert werden kann.
  • Die Position der Kante kann relativ zu dem Drehwinkel erfaßt werden, um die Mitte des Wafers und die Orientierung des Wafers zu finden. Der Sensor 409 funktioniert als eine sekundäre Rückmeldungseinrichtung. Der Ort des Sensors 409 ist gegenüber dem Wafer zu allen Zeiten bekannt. Dadurch kann der Sensor 409 Fehlersignale absenden, die anzeigen, daß der Wafer nicht ausgerichtet ist. Da der Ausrichter zusätzliche Fehlerdaten von dem Sensor 409 empfängt, verbessert ein Ausrichter mit solch einem Sensor die Genauigkeit des Aus richters. Der Wafer kann durch die Halteeinrichtung 411 reorientiert werden und an der nächsten Ablagestation von der Wafermaschine 300 in der Mitte plaziert werden.
  • Der Sensor 409 kann unabhängig in dem EFEM montiert werden und eine von der Wafermaschine 300 separate Komponente sein. In einer solchen Konstruktion wird der Wafer auf der Halteeinrichtung 411 plaziert, die sich drehen kann. Der Sensor 409, der auf einem Mechanismus montiert ist, der eine Positionssteuerung und eine Meßeinrichtungen (nicht gezeigt) aufweist, wird an die Nähe der Waferkante bewegt bis das Sensorsignal auf einem gewünschten Level liegt. Der Wafer kann dann gedreht werden, während der Sensormechanismus das Signal aus dem Sensor 409 benutzt, um die Position des Sensors 409 auf diesem gewünschten Level zu halten, wodurch effektiv der Sensor 409 an der gleichen relativen Position gegenüber der Waferkante gehalten wird. Wenn der Wafer gedreht wird, wird die Sensorposition gegenüber der Winkelposition des Wafers aufgezeichnet. Diese Daten stellen die Änderungen in der radialen Position der Waferkante gegenüber der Waferdrehposition dar und können benutzt werden, um die Mitte des Wafers gegenüber der Mitte der Waferhalteeinrichtung und der Orientierung der Bezugspunkte zu berechnen. Wenn außerdem die Sensorsignalhöhe zusammen mit der Position des Sensormechanismus aufgezeichnet wird, können zusätzliche Randpositionsinformationen bereitgestellt werden, welche die Genauigkeit der Berechnung des Wafermittelpunkts oder der Bezugspunktorientierung anzeigen.
  • Der Radendeffektorausrichter 440 kann andere Komponenten umfassen, wie z.B. einen optischen Nutsensor 452, um die Nut entlang des Waferrands zu detektieren. Wenn beispielsweise die Nut entlang der Waferkante von dem optischen Nutsensor 452 lokalisiert wurde, kann das Antriebsrad 450 den Wafer in die gewünschte Position drehen und zurückfahren, wodurch das Zurückfallen des Wafers auf die Pads 448 ermöglicht wird. Dieser Vorgang kann ausgeführt werden während der Endeffektor in Ruhe ist oder sich bewegt. Die Möglichkeit, den Wafer auszurichten während er zwischen den FOUPs 10 oder zwischen einem FOUP 10 und einem Bearbeitungswerkzeug transportiert wird, eliminiert oder verringert erheblich die Zeit, in der ein Endeffektor stillstehen muß. Weiterhin besteht keine Notwendigkeit für eine separate Bearbeitungsstation, wenn die Wafermaschine den Wafer "im Flug" ausrichten kann.
  • Der Gleitkörper 400 ermöglicht eine stabile Montageplattform für verschiedene Hilfsfunktionen, Messungen und Sensoren, um verschiedene Waferdaten aufzunehmen. Nur beispielhaft können Komponenten in dem Gleitkörper 400 integriert sein oder daran montiert sein, um die Waferkante zu detektieren, die Nutposition auf dem Wafer zu detektieren, den OCR-Bar-Code zu lesen, eine Partikelzählung auszuführen (auf der Rückseite oder Vorderseite), die Filmdicke/Einheitlichkeit oder die Linienbreite der Schaltungselemente zu ermitteln und den Widerstand (über Kontaktfühler oder kontaktlose Einrichtungen) und die Waferdicke zu ermitteln. Andere Vorgänge, die aus dem Stand der Technik zum Inspizieren und Markieren eines Wafers bekannt sind, können im Gleitkörper 400 inkorporiert werden.
  • Um Werkstücke von einem Träger zu transferieren, bewegen sich die Endeffektoren 402 und 404 horizontal unter das zu transferierenden Werkstück und bewegen sich anschließend nach oben, um das Werkstück aus seiner Ruheposition anzuheben. Die Endeffektoren 402 und 404 können auch Kantengreifeinrichtungen zum Halten des Werkstücks an seinen Kanten umfassen. Alternativ können die Endeffektoren 402 und 404 ein Endeffektor eines ebenen Typs sein, um ein Werkstück an seiner Unterseite zu halten. In solchen Ausführungsformen kann eine Unterdruckquelle (nicht gezeigt) an der Schaufelseite 442 befestigt sein oder von dieser entfernt angebracht sein, welche einen Unterdruck erzeugt, der über flexible Vakuumschläuche durch den Werkstückhandhabungsautomat mit der Oberfläche der Endeffektorauflage in Verbindung steht. Durch Aktivieren der Unterdruckquelle bildet sich ein Unterdruck an der Oberfläche der Endeffektorauflage, wodurch ein Sog entsteht, der in der Lage ist, das Werkstück darauf sicher festzuhalten. Ein Unterdrucksensor (nicht gezeigt) einer bekannten Konstruktion kann auch an dem Automaten vorgesehen sein und mit dem Unterdrucksystem verbunden sein zum Detektieren, wann ein Werkstück an dem Endeffektor anliegt, und zum Drosseln des Luftsogs durch die Vakuumschläuche. Es ist dabei zu verstehen, daß die Erfindung nicht auf den Endeffektor beschränkt ist, der vorhergehend beschrieben wurde, und daß verschiedene Bauformen von Endeffektoren benutzt werden können, solange der Endeffektor die Möglichkeit besitzt, das Werkstück aufzunehmen und abzusetzen.
  • Der Gleitkörper 400 kann auch dafür eingerichtet sein, einen Wafer zu bearbeiten und den Wafer aus dem Klasse-1-Bereich gegen das Environment abgeschirmt zu isolieren. Nur beispielsweise kann der Gleitkörper 400 ein Bearbeitungswerkzeug umfassen, um entweder die Oberfläche des Wafers zu erwärmen oder abzukühlen, oder um eine thermische Oberflächenbearbeitung durchzuführen. In anderen Ausführungsformen kann der Gleitkörper 400 ein Gehäuse (nicht gezeigt) umfassen, in das der Wafer zurückgezogen werden kann und in dem er temporär aufbewahrt werden kann, während die Wafermaschine 300 den Wafer aus dem Bearbeitungswerkzeug und in den Klasse-1-Bereich transferiert. Das Gehäuse stellt ein inertes oder reines Environment zur Verfügung, das besser als das Environment des Klasse-1- Bereichs ist. Ein solches System kann Sauerstoff oder ein Edelgas über die Oberfläche des Wafers spülen, während er transportiert wird.
  • Doppelaustauschmöglichkeit
  • Die Zeit, zwischen der ein bearbeiteter Wafer aus der Bearbeitungsstation entnommen wird und zu der ein neuer Wafer in die Bearbeitungsstation plaziert wird, bezeichnet man als "Austauschzeit". Für die meisten Bearbeitungswerkzeuge ist der Durchsatz durch die Prozeßzeit zuzüglich der Austauschzeit bestimmt. Das Reduzieren von einem der beiden erhöht den Durchsatz. Die Bearbeitungszeit liegt im Bereich der Werkzeughersteller, die Austauschzeit liegt im Bereich der Möglichkeiten des EFEM-Herstellers.
  • Für einen herkömmlichen Waferhandhabungsautomaten mit einzelnem Endeffektor in einem EFEM (s. 17) kann die Austauschzeit zwischen 8 und 16 Sekunden abhängig von der Anordnung der Stationen und der Geschwindigkeit des Waferhandhabungsautomaten liegen. Die nachfolgende Abfolge von Vorgängen wird üblicherweise von einem solchen Automaten zum Waferaustauschen an einer Bearbeitungsstation verwendet. Die Schritte, welche die Austauschzeit betreffen, sind kursiv gedruckt. Schritte außerhalb der kritischen Abfolge, die den Durchsatz bestimmen, sind in Klammern gesetzt.
    • 1. Übernehmen des Wafers aus der Bearbeitungsstation
    • 2. Übergeben des bearbeiteten Wafers zu dem Ladezugang
    • 3. Übernehmen des ausgerichteten Wafers von dem Ausrichter
    • 4. Übergeben des ausgerichteten Wafers zur Bearbeitungsstation [Beginn der Waferbearbeitung]
    • 5. (Beim Bearbeiten erhält der Automat einen neuen Wafer aus dem Ladezugang)
    • 6. (Beim Bearbeiten übergibt der Automat einen neuen Wafer dem Ausrichter)
    • 7. (Beim Bearbeiten richtet der Ausrichter den Wafer aus) [Wiederholung]
  • Ein schneller Austauschautomat (z. B. die Wafermaschine 300) besitzt zwei Endeffektoren und kann daher die Austauschzeit wesentlich reduzieren, durch Ausführen der gleichen Funktion, wie oben, unter Verwendung folgender abgekürzter Abfolge:
    • [Bearbeitung beendet] 1. Übernehmen des Wafers aus der Bearbeitungsstation mit der Schaufel 1
    • 2. Übergeben des ausgerichteten Wafers zur Bearbeitungsstation mit der Schaufel 2 [Waferbearbeitung]
    • 3. (Beim Bearbeiten Übernehmen eines neuen Wafers aus dem Ladezugang)
    • 4. (Beim Bearbeiten Übergeben eines neuen Wafers zum Ausrichter)
    • 5. (Beim Bearbeiten Ausrichten des Wafers durch den Ausrichter)
    • 6. (Beim Bearbeiten Übernehmen des ausgerichteten Wafers von dem Ausrichter) [Wiederholung]
  • In diesem Fall kann die Austauschzeit um 3 bis 6 Sekunden abhängig von der Geschwindigkeit des Automaten verringert werden. Die Gesamtzeit für den Automaten, um alle seine Bewegungen zu beenden, kann ebenfalls etwas reduziert werden. Die Gesamtbewegungszeit ist von vorrangigem Interesse bei Anwendungen, bei denen die Bearbeitungszeit sehr langsam ist und daher die obigen Schritte in Klammern in dem kritischen Weg oder dem Durchsatz eingehen würden.
  • Eine weitere Verbesserung des Durchsatzes und eine Reduktion der gesamten Automatenbewegungen kann erreicht werden, wenn der Automat die Möglichkeit einer Ausrichtung im Fluge besitzt, sowie die Möglichkeit eines sehr schnellen Austauschs, wie die Wafermaschine 300, mit einem Radendeffektorausrichter 440. Ein Ausrichten im Fluge reduziert die Austauschzeit nicht, es verringert sich jedoch die Gesamtautomatenbewegungszeit und damit erhöht sich der Durchsatz, wenn die Bearbeitungszeit niedrig ist oder wenn der Automat mehrere Bearbeitungsstationen bedienen muß. Außerdem kann durch Verringerung der Zahl der Automatenbewegungen und der Waferübergaben das Ausrichten im Fluge die Lebenszeit des Automaten erhöhen und den Reinheitsgrad verbessern.
  • Bei einer Wafermaschine mit einer schnellen Austauschzeit durch Ausrichten im Fluge ist die entsprechende Abfolge der Vorgänge folgende:
    • [Bearbeitung beendet] 1. Übernehmen eines Wafers aus der Bearbeitungsstation mit der Schaufel 1
    • 2. Übergeben eines ausgerichteten Wafers an die Bearbeitungsstation mit der Schaufel 2 [Waferbearbeitung]
    • 3. (Beim Bearbeiten Übernehmen eines neuen Wafers aus dem Ladezugang)
    • 4. (Beim Bearbeiten Ausrichten eines Wafers und gleichzeitiges Bewegen zur Position zum nächsten schnellen Austausch) [Wiederholung]
  • Unbeschränkte z-Achsen-Bewegung
  • 25 stellt eine Wafermaschine 300' dar, die einen aus der Mitte versetzten Gleitkörper 400 mit einem Radausrichter 454 und einem ID-Leser 430 umfaßt, und eine erweiterte z-Achsenantriebsstange 380'. Diese Ausführungsform der Wafermaschine umfaßt eine erweiterte z-Stange 380', um beispielsweise auf eine Beschickungsanlage zuzugreifen oder auf einen Ladezugang oder eine Bearbeitungsstation, die oberhalb des FOUP-I/O-Zugangs angeordnet sein kann. Die Höhe der z-Achsenantriebsstange 380 oder 380' ist im Grunde unbeschränkt. Die Wafermaschine 300 oder 300' kann auf einen Wafer zugreifen, der in einem FOUP angeordnet ist, durch Bewegen des oberen Endeffektors 402 oder des unteren Endeffektors 404 entlang der Radial- oder der r-Achse. Die Strecke, die sich der obere Endeffektor 402 oder der untere Endeffektor 404 in das FOUP 10 bewegen muß, ist möglichst kurz konstruiert, da dies die am häufigsten benötigte Bewegung der Wafermaschine 300 oder 300' ist. Die Höhe der vertikalen Antriebsstange 380 und 380' hat keinen Einfluß auf den Abstand, den sich entweder der obere Endeffektor 402 oder der untere Endeffektor 404 bewegen muß. Daher hat die Höhe der vertikalen Antriebsstange 380 oder 380' keinen Effekt auf die Bewegung entlang der Radial- oder r-Achse.
  • Herkömmliche Waferhandhabungsautomaten müssen die z-Antriebsstange linear zu dem FOUP 10 bewegen, so daß der Endeffektor auf den Wafer zugreifen und diesen aus dem FOUP 10 entfernen kann. Daher erfordert eine schlanke vertikale Antriebsstange für solch einen Waferhandhabungsautomaten die Bewegung einer großen vertikalen Stange durch einen Motor oder durch einen Riemenantrieb. Die Bewegung einer solchen trägen Masse übt eine große Belastung auf den Waferhandhabungsautomaten aus. Die Wafermaschinen, die in dieser Anwendung offenbart sind, stellen eine Verbesserung gegenüber solchen Waferhandhabungsautomaten dar, weil die Bewegungsachse entlang der Radial- oder r-Achse, welche die am häufigsten bewegte ist, auch die kürzesten Abstände besitzt.
  • 27A zeigt, daß ein herkömmlicher linearer Gleitautomat in das Bearbeitungswerkzeug 250 mm hineinreichen kann, um die Wafer in das Bearbeitungswerkzeug zu übergeben und daraus zurückzuziehen. Genauso benötigt ein herkömmlicher Waferhandhabungsautomat einen minimalen Freiraum in dem EFEM-Arbeitsbereich von 520 mm, so daß der Waferhandhabungsautomat innerhalb des EFEM manövriert werden kann. 27B stellt den Vorteil der Reichweite und des Schwenkfreiraums bei der Drehung des aus der Mitte versetzten Gleitkörpers um die Theta-Achse dar. In einer bevorzugten Ausführungsform ist die Drehachse des aus der Mitte versetzten Gleitkörpers, die als Theta-Achse in 19 gezeigt ist, um etwa 50 mm versetzt. Die aus der Mitte versetzte Drehachse der Wafermaschine 300 hat zwei verschiedene Vorteile. Erstens ist die maximale Reichweite eines Endeffektors (beispielsweise des oberen Endeffektors 402 oder des unteren Endeffektors 404) in das Bearbeitungswerkzeug auf 350 mm erhöht. Zweitens ist der minimale Freiraum, der in dem EFEM-Arbeitsraum benötigt wird, auf 420 mm reduziert. Die Längen der maximalen Reichweite und des minimalen Freiraums sind lediglich beispielhaft. Ein Erhöhen der Reichweite des Endeffektors in das Bearbeitungswerkzeug bei gleichzeitigem Verringern des minimalen Freiraums, der für die Wafermaschine 300 zum Manövrieren in dem EFEM benötigt wird, reduziert die Gesamtgrundfläche des EFEM.
  • 28 stellt ein Beispiel einer Bewegungsabfolge für die Wafermaschine 300 mit einem Schnellaustauschgleitkörper 400 mit einer aus der Mitte versetzten Drehachse dar. Nur beispielsweise stellt der Schritt eins die Wafermaschine 300 dar, die den Wafer auf den Ladezugangs-Bereich eins anhebt. Schritt zwei stellt die Wafermaschine 300 dar, die den Wafer aus dem Ladezugang eins entlang der radialen Achse zurückzieht. Schritt drei stellt die Wafermaschine 300 dar, die sich um die Theta-Achse dreht und gleichzeitig sich entlang der x-Achse zurückbewegt, um eine Kollision mit dem Ladezugang eins zu vermeiden. Schritt vier stellt die Wafermaschine 300 dar, die sich entlang der x-Achse zu dem IO-Zugang der Bearbeitungsstation bewegt. Schritt fünf stellt die Wafermaschine 300 dar, welche die Drehung um die Theta-Achse und die Bewegung entlang der x-Achse fortführt, um den Wafer zum Eintritt in die Bearbeitungsstation zu positionieren. Schritt sechs stellt die Wafermaschine 300 dar, die darauf wartet, daß die Bearbeitung beendet wird. Schritt sieben stellt die Wafermaschine 300 dar, die den bearbeiteten Wafer gegen einen neuen Wafer austauscht, der zum Eintreten in die Bearbeitungsstation bereit ist. Schließlich stellt Schritt acht die Wafermaschine 300 dar, die den bearbeiteten Wafer in einer Radialachse zurückzieht bei einer gleichzeitigen Bewegung entlang der x-Achse und der Theta-Achse, um den bearbeiteten Wafer in den Ladezugang eins, zwei oder drei, zurückzuführen.
  • Die Wafermaschinen 300 und 300', die oben beschrieben sind, stellen verschiedene Vorteile gegenüber herkömmlichen Waferhandhabungsautomaten zur Verfügung. Für die meisten Waferhandhabungsanwendungen besitzt die Radialbewegung, welche zum Einsetzen und der Wafer in ein FOUP 10 oder eine Bearbeitungsstation oder zum Entfernen aus diesen benötigt wird, den höchsten Betriebszyklus und die längste bewegte Gesamtstrecke. Die Wafermaschine 300 plaziert den Radialantrieb 400 so nah wie möglich an den Wafer bevor sie versucht, auf den Wafer zuzugreifen. Diese Plazierung verringert die bewegte Masse und die Bewegungszeit des oberen Endeffektors 402 und des unteren Endeffektors 404 und die Abnutzung.
  • Die z-Antriebsstange 380 nimmt das gleiche Raumvolumen ein, um das der Wafer ausschwenkt, wenn die Wafermaschine 300 sich dreht. Diese Antriebsstange 380 erstreckt sich auch nicht unter die Arbeitsebene. Ein herkömmlicher Waferhandhabungsautomat benötigt den Bereich, der sich unterhalb der Waferebene befindet, um auf einige der Wafers in den FOUP 10 zuzugreifen. Typischerweise ist der Endeffektor oben an einer Stange montiert, die sich nach oben und unten entlang der z-Achse bewegt. Diese Stange nimmt Raum ein, der für andere Zwecke anderweitig benutzt werden könnte. Wenn sich die Stange horizontal entlang der x-Achse bewegt, muß ähnlich der Bereich, der sich unter der Waferebene befindet, im wesentlichen frei sein, so daß die Stange nicht hineinläuft und irgendwelche Hindernisse beschädigt.
  • Es gibt verschiedene Variationen und/oder Modifikationen, die an der Wafermaschine 300 ausgeführt werden können und die immer noch die einheitlichen Elemente und die vorhergehend aufgelisteten Vorteile besitzen. Nur beispielsweise kann für einige Anwendungen auf den x-Achsenantrieb 302 verzichtet werden. Genauso kann eine einzelne Radialachse ausreichen. Weiterhin kann für einige Anwendungen (z. B. Sortierer) auf den Drehantrieb verzichtet werden. Stattdessen würde der x-Achsenantrieb 380 an dem x-Laufwagen 308 montiert werden. Bei einer Sortiereranwendung können beispielsweise alle Ladezugänge so montiert werden, daß sie in die gleiche Richtung weisen, und, wenn die Ausrichtung und das ID-Lesen in die Wafermaschine 300 integriert ist, würde die Notwendigkeit der Drehung vermieden werden.
  • 29-31 stellen verschiedene Konfigurationen des integrierten Systems dar. 29A stellt das integrierte System dar, das auf einem ausrollbaren Rahmen montiert ist. Wie vorhergehend erwähnt, erstrecken sich herkömmliche EFEMs über den gesamten Weg nach unten bis zum Boden der Waferfabrikation. Mit den Raumeinsparungen, die mit der Konstruktion eines EFEM aus einer Gitterrahmenstruktur 100 oder anderen in dieser Anmeldung offenbarten Ausführungsform erlangt werden, ist die Grundfläche des integrierten Systems erheblich verringert. Wie in 29A gezeigt, ist das integrierte System auf einem ausrollbaren Rahmen montiert, so daß die Ladezugangsbaugruppen in der SEMI-Standardhöhe von 900 mm verbleiben. Wenn in einer bevorzugten Ausführungsform dieses integrierte System an das Frontende eines Bearbeitungswerkzeugs geschraubt wird, entsteht ein Freiraum von etwa 2 Fuß zwischen dem integrierten System und dem Boden der Waferfabrikation. Dieser Raum war früher für die Waferfabrikation nie verfügbar. Ein solcher Raum erlaubt es den Halbleiterherstellern, andere Gegenstände, wie eine elektrische Steuerbox, unter dem integrierten System anzuordnen.
  • Alternativ kann ein Bearbeitungswerkzeug nun einen Wartungszugang aufweisen, der erreicht werden kann, wenn man unter das integrierte System kriecht. Der ausrollbare Rahmen verbessert außerdem die gesamten Eigenschaften der Maschinenwartung für das Bearbeitungswerkzeug, an dem das integrierte System angeschraubt ist. Wenn eine Wartungsarbeit an dem Bearbeitungswerkzeug durchgeführt werden muß, kann beispielsweise das integrierte System von dem Bearbeitungswerkzeug abgeschraubt werden, die Räder des Ausrollrahmens können entriegelt werden und das integrierte System kann von dem Frontende des Bearbeitungswerkzeugs weggerollt werden. Ein herkömmliches EFEM, das an dem Bearbeitungswerkzeug angeschraubt ist, enthält keine Räder, auf denen das EFEM herausgerollt werden kann, und ist üblicherweise eine so schwere Vorrichtung, daß mehr als eine Person zur Wartung erforderlich ist, um das EFEM von dem Bearbeitungswerkzeug abzuheben. Wie vorhergehend erwähnt, wiegt das integrierte System der vorliegenden Erfindung nur wenige hundert Pfund und kann daher einfach von der Front des Bearbeitungswerkzeugs durch eine einzelne Wartungsperson weggerollt werden.
  • 30 stellt das integrierte System dar, das in einem Bearbeitungswerkzeug integriert ist. Nur beispielsweise kann das System der vorliegenden Erfindung integral ausgeformt sein und an dem Bearbeitungswerkzeug montiert sein. Ein Vorteil dieses Systems ist, daß, wenn an jedem Bearbeitungswerkzeug in der Waferfabrikation ein integriertes System montiert wurde, die Waferfabrikation eine Front und ein Ladesystem hätte, das auf die Bedürfnisse jedes Bearbeitungswerkzeugs konfiguriert werden kann, und dennoch ein gleiches Environment enthält, um die Notwendigkeit zur Lagerung von Ersatzteilen und der Ausbildung von Wartungspersonal zu verringern.
  • Elektrisches Steuersystem
  • Herkömmliche EFEMs müssen eine Netzverteilung aufweisen, die mit den Netzerfordernissen aller möglichen nationalen Länder kompatibel ist. Daher müssen heutzutage die meisten EFEMs in der Lage sein, entweder auf ein 110 Volt- oder ein 220 Volt-System ange paßt werden zu können. Die Anpassung an eine der beiden Stromsysteme erfordert, daß ein EFEM Stromkomponenten umfaßt, wie einen Aufwärts- oder Abwärts-Transformator, sowie andere elektrische Komponenten. Solche elektrische Komponenten müssen in dem EFEM montiert sein und vergrößern daher die Grundfläche des EFEM.
  • Das EFEM der vorliegenden Erfindung ist so konstruiert, daß alle elektrischen Komponenten, wie die FOUP-Vorschubplattenbaugruppe, die Wafermaschine 300 und die Lüfter/Filter-Einheit 150, unter einem 48 Volt-System betrieben werden. Im allgemeinen kann das EFEM der vorliegenden Erfindung entweder an ein 110 Volt- oder ein 220 Volt-System elektrisch angeschlossen werden, die auf 48 Volt abgestuft werden, um alle vorhergehend beschriebenen Elemente zu steuern. Die Vereinfachung des elektrischen Verteilungssystems des EFEM eliminiert die Notwendigkeit vieler herkömmlicher Netzverteilungskomponenten, wie einen Abwärtstransformator, und dies verringert weiterhin die Grundfläche des EFEM der vorliegenden Erfindung.
  • Zusammenfassung
  • Das Handhabungssystem für Halbleitermaterial ist ein EFEM, das entweder an dem Frontende eines Bearbeitungswerkzeuges montiert werden oder in einem Bearbeitungswerkzeug integriert werden kann. Das EFEM wird aus einem einheitlichen Rahmen gebildet, an den EFEM-Komponenten, wie eine Wafermaschine und eine SMIF-Pod-Vorschubplatte, montiert werden können. Der Rahmen dient als eine gemeinsame Montagestruktur, die als eine Referenz für Ausrichtungsvorgänge für die EFEM-Komponenten benutzt werden kann. Da die EFEM-Komponenten nicht in Bezug zu der Position von jedem anderen ausgerichtet werden müssen, ist die Kalibrierung, falls eine erforderlich ist, sehr stark vereinfacht. Das EFEM besitzt außerdem eine verringerte Grundfläche, die es ermöglicht, das EFEM an das Frontende eines Bearbeitungswerkzeuges zu montieren, und es erstreckt sich nicht zum Fabrikationsboden. Dadurch wird Raum zwischen dem EFEM und dem Fabrikationsboden frei. Dieser Raum kann nur beispielsweise als ein Wartungszugangsbereich benutzt werden, ohne daß zuerst das EFEM entfernt werden muß.

Claims (15)

  1. System zum Transferieren von Halbleiter-Wafern und ähnlichen Substrat-Gegenständen zwischen einen Waferträger mit einer Trägertür und einem Trägergehäuse und einem gegenüber Außenumgebungsbedingungen isolierten Environment, umfassend: einen einheitlichen Rahmen, der zumindest zwei voneinander beabstandete und an ein unteres Tragelement und an ein oberes Tragelement montierte vertikale Streben umfaßt, wobei der Rahmen zumindest einen I/O-Zugang und einen Zugangstür-/Trägertür-Aufbewahrungsbereich definiert, eine Träger-Kopplungs-/Isolierungsplatte, die an jeder vertikalen Strebe montiert ist; eine Träger-Vorschubbaugruppe, die an das untere Tragelement montiert ist, zum Tragen des Wafer-Trägers, Bewegen des Wafer-Trägers zur Träger-Kopplungs-/Isolationsplatte und zum Wegbewegen des Wafer-Trägers von der Träger-Kopplungs-/Isolationsplatte; eine Zugangstürbaugruppe mit einer Zugangstür und einem Antriebsmechanismus, wobei die Zugangstür zum Eingriff und zum Zusammenpassen mit der Trägertür und der Antriebsmechanismus zur Bewegung der Zugangstür zwischen dem I/O-Zugang und dem Zugangstür-/Trägertür-Aufbewahrungsbereich vorgesehen ist; und einen Wafer-Handhabungsautomaten, der an das untere Tragelement montiert ist und in dem gegenüber Außenumgebungsbedingungen isolierten Environment angeordnet ist.
  2. System nach Anspruch 1, wobei die vertikalen Streben im wesentlichen parallel zueinander sind.
  3. System nach Anspruch 1, wobei die Träger-Kopplungs-/Isolationsplatte abnehmbar an jede vertikale Strebe montiert ist.
  4. System nach Anspruch 3, wobei die Träger-Kopplungs-/Isolationsplatte transparent ist.
  5. System nach Anspruch 1, wobei der Zugangstür-/Trägertür-Aufbewahrungsbereich zumindest eine gelochte Oberfläche umfaßt.
  6. System nach Anspruch 1, wobei der Wafer-Handhabungsautomat umfaßt: einen Linearantrieb, der an das untere Tragelement des einheitlichen Rahmens montiert ist, mit einer Linearbewegung längs einer x-Achse; einen Drehantrieb, der an den Linearantrieb montiert ist, zur Drehung um eine Theta-Achse; einen z-Achsen-Linearantrieb, der sich vom Drehantrieb erstreckt, mit einer Linearbewegung längs einer z-Achse, wobei die z-Achse von der Theta-Achse versetzt und im wesentlichen dazu parallel ist; und einen Radialantrieb, der abnehmbar an den z-Achsen-Linearantrieb montiert ist und zumindest einen Endeffektor umfaßt, mit einer Linearbewegung längs einer Radialachse.
  7. System nach Anspruch 6, wobei sich die Radialachse um die Theta-Achse dreht, wenn sich der Drehantrieb dreht.
  8. System zum Transferieren von Halbleiter-Wafern und ähnlichen Substrat-Gegenständen zwischen einem Waferträger mit einer Trägertür und einem Träger-Gehäuse und einem gegenüber Außenumgebungsbedingungen isolierten Environment, umfassend: einen einheitlichen Rahmen mit einer inneren Montagefläche und einer äußeren Montagefläche, so daß Frontend-Ladekomponenten daran montiert werden, wobei die äußere Montagefläche Außenumgebungsbedingungen ausgesetzt ist und die innere Montagefläche gegenüber Außenumgebungsbedingungen isoliert ist, wobei der einheitliche Rahmen zumindest einen I/O-Zugang und einen Trägertür-/Zugangstür-Aufbewahrungsbereich bildet; eine Träger-Vorschubbaugruppe, die an die äußere Montagefläche montiert ist; eine Träger-Kopplungs-/Isolationsplatte, die an die äußere Montagefläche montiert ist; eine Wafermaschine, die an die innere Montagefläche montiert ist; und eine Zugangstürbaugruppe mit einer Zugangstür und einem Antriebsmechanismus, wobei die Zugangstür gleitfähig mit dem einheitlichen Rahmen in Eingriff steht, wobei der Antriebsmechanismus zur Bewegung der Zugangstür zwischen dem I/O-Zugang und dem Trägertür-/Zugangstür-Aufbewahrungsbereich bewegbar ist.
  9. System nach Anspruch 8, wobei das System an ein Bearbeitungsgerät montiert ist, so daß das System über den Boden der Waferfabrikation angehoben ist und durch eine Tragstruktur getragen wird, so daß ein offener Raum unter dem System zwischen dem System und dem Boden der Waferfabrikation vorhanden ist.
  10. System nach Anspruch 8, wobei das System des weiteren ein Steuergerät umfaßt, das an die äußere Montagefläche montiert ist.
  11. System zum Transportieren von Wafern, umfassend: zumindest eine Frontend-Ladekomponente, die aus der Gruppe ausgewählt ist, bestehend aus (i) einer Ladezugangsbaugruppe, (ii) einer Lüfter-/Filter-Einheit, (iii) einem Wafer-Handhabungsautomaten, (iv) einer FOUP-Kopplungs-/Isolationsplatte und (v) einer Zugangstür-Baugruppe; und einen einheitlichen Rahmen, an den die Frontend-Ladekomponenten montiert sein können, wobei der Rahmen eine einzelne Referenz bildet, um die Frontend-Ladekomponenten präzise auszurichten, wobei der einheitliche Rahmen zumindest zwei vertikale Streben umfaßt, die an ein oberes Tragelement und ein unteres Tragelement montiert sind.
  12. System zum Transferieren von Halbleiter-Wafern und ähnlichen Substrat-Gegenständen zwischen einem Waferträger mit einer Trägertür und einem Trägergehäuse und einem gegenüber Außenumgebungsbedingungen isolierten Environment, umfassend: einen einheitlichen Rahmen, der zumindest zwei vertikale, voneinander beabstandete und an ein unteres Tragelement und ein oberes Tragelement montierte Streben umfaßt, wobei der Rahmen zumindest einen I/O-Zugang und einen Zugangstür-/Trägertür-Aufbewahrungsbereich definiert; eine transparente Träger-Kopplungs-/Isolationsplatte, die an jeder vertikalen Strebe montiert ist; eine Träger-Vorschub-Baugruppe, die an das untere Tragelement montiert ist, wobei die Träger-Vorschub-Baugruppe den Außenumgebungsbedingungen ausgesetzt ist; eine Zugangstür-Baugruppe mit einer Zugangstür und einem Antriebsmechanismus, wobei der Antriebsmechanismus zur Bewegung der Zugangstür zwischen dem I/O-Zugang und dem Zugangstür-/Trägertür-Aufbewahrungsbereich vorgesehen ist; und einen Wafer-Handhabungsautomat, der sich in dem gegenüber den Außenumgebungsbedingungen isolierten Environment befindet, umfassend: einen Linearantrieb, der an das untere Tragelement montiert ist, mit einer Linearbewegung längs einer x-Achse; einen Drehantrieb, der an den Linearantrieb montiert ist, zur Drehung um eine Theta-Achse; einen z-Achsen-Linearantrieb, der sich vom Drehantrieb erstreckt, mit einer Linearbewegung längs einer z-Achse, wobei die z-Achse von der Theta-Achse versetzt und im wesentlichen dazu parallel ist; und einen Radialantrieb, der abnehmbar an den z-Achsen-Linearantrieb montiert ist und zumindest einen Endeffektor umfaßt, mit einer Linearbewegung längs einer Radialachse.
  13. Ein EFEM zum Transport von Halbleiter-Wafern und ähnlichen Substraten zwischen einem SMIF-Pod und einem Environment, das gegenüber Außenumgebungsbedingungen isoliert ist, umfassend: einen einheitlichen Rahmen mit zumindest zwei vertikalen Streben, die an ein oberes Tragelement und ein unteres Tragelement montiert sind, wobei der Rahmen einen I/O-Zugang definiert; eine Wafermaschine, die an das untere Tragelement montiert ist, wobei die Wafermaschine in dem Environment angeordnet ist, die gegenüber den Außenumgebungsbedingungen isoliert ist; eine SMIF-Pod-Vorschubbaugruppe, die an das untere Tragelement montiert ist, wobei die Pod-Vorschubbaugruppe den Außenumgebungsbedingungen ausgesetzt ist; eine SMIF-Pod-Kopplungsplatte, die an jede vertikale Strebe montiert ist, wobei die Kopplungsplatte den Außenumgebungsbedingungen ausgesetzt ist; und wobei die vertikalen Streben des einheitlichen Rahmens eine gemeinsame Referenz bilden, an der die Wafermaschine, die SMIF-Pod-Vorschubbaugruppe und die SMIF-Pod-Kopplungsplatte ausgerichtet sein können.
  14. System nach Anspruch 13, wobei die Wafermaschine umfaßt: einen Linearantrieb, der an das untere Tragelement montiert ist, mit einer Linearbewegung längs einer x-Achse; einen Drehantrieb, der an den Linearantrieb montiert ist, zur Drehung um eine Theta-Achse; einen z-Achsen-Linearantrieb, der sich vom Drehantrieb erstreckt, mit einer Linearbewegung längs einer z-Achse, wobei die z-Achse von der Theta-Achse versetzt und im wesentlichen dazu parallel ist; und einen Radialantrieb, der abnehmbar an dem z-Achsen-Linearantrieb montiert ist und zumindest einen Endeffektor umfaßt, mit einer Linearbewegung längs einer Radialachse.
  15. System nach Anspruch 13, wobei die SMIF-Pod-Kopplungsplatte transparent ist.
DE10297169T 2001-08-31 2002-08-30 Handhabungssystem für Halbleitermaterial Withdrawn DE10297169T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31672201P 2001-08-31 2001-08-31
US60/316722 2001-08-31
US10/087,092 US7217076B2 (en) 2001-08-31 2002-03-01 Semiconductor material handling system
US10/087,092 2002-03-01
PCT/US2002/027769 WO2003021643A2 (en) 2001-08-31 2002-08-30 Semiconductor material handling system

Publications (1)

Publication Number Publication Date
DE10297169T5 true DE10297169T5 (de) 2004-07-29

Family

ID=26776585

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10297169T Withdrawn DE10297169T5 (de) 2001-08-31 2002-08-30 Handhabungssystem für Halbleitermaterial

Country Status (6)

Country Link
US (1) US7217076B2 (de)
JP (1) JP4309264B2 (de)
KR (1) KR100800612B1 (de)
CN (1) CN1327477C (de)
DE (1) DE10297169T5 (de)
WO (1) WO2003021643A2 (de)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7145643B2 (en) * 2003-08-07 2006-12-05 Asml Netherlands B.V. Interface unit, lithographic projection apparatus comprising such an interface unit and a device manufacturing method
WO2006009723A2 (en) * 2004-06-15 2006-01-26 Brooks Automation, Inc. Substrate processing apparatus with removable component module
GB2415291B (en) * 2004-06-15 2008-08-13 Nanobeam Ltd Charged particle beam system
DE102004057057A1 (de) * 2004-11-25 2006-06-01 Leica Microsystems Cms Gmbh Substrat-Arbeitsstation und Zusatzmodul für eine Substrat-Arbeitsstation
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7357256B2 (en) * 2005-03-31 2008-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer pod with working sheet holder
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7762755B2 (en) * 2005-07-11 2010-07-27 Brooks Automation, Inc. Equipment storage for substrate processing apparatus
ITBO20060244A1 (it) * 2006-04-05 2007-10-06 Ima Spa Sistema e metodo per trasferire e movimentare elementi di una macchina automatica confezionatrice.
ITBO20060245A1 (it) * 2006-04-05 2007-10-06 Ima Spa Apparato per trasferire e movimentare elementi di una macchina operatrice.
KR100818044B1 (ko) * 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
JP4606388B2 (ja) * 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
DE102006029003A1 (de) * 2006-06-24 2008-01-03 Vistec Semiconductor Systems Gmbh Waferhandhabungsvorrichtung
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
WO2009014647A1 (en) * 2007-07-20 2009-01-29 Applied Materials, Inc. Dual-mode robot systems and methods for electronic device manufacturing
US8757026B2 (en) 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
JP5168329B2 (ja) * 2010-08-31 2013-03-21 Tdk株式会社 ロードポート装置
DE102010048909A1 (de) * 2010-10-11 2012-04-12 Ekra Automatisierungssysteme Gmbh Prozessmaschine, insbesondere zum Bearbeiten und/oder Inspizieren von Substraten
US9184078B2 (en) * 2011-05-07 2015-11-10 Brooks Automation, Inc. Narrow width loadport mechanism for cleanroom material transfer systems
JP5364769B2 (ja) * 2011-09-26 2013-12-11 株式会社安川電機 搬送ロボットおよび基板処理装置
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
WO2014088414A1 (en) * 2012-12-03 2014-06-12 Asm Ip Holding B.V. Modular vertical furnace processing system
CN104375293B (zh) * 2014-11-20 2017-05-17 武汉精测电子技术股份有限公司 基于机器视觉的lcd屏自动检测机台
CN105668143B (zh) * 2016-03-23 2017-08-25 北京轩宇智能科技有限公司 一种密封操作系统及其磁耦合式密封输料装置
US11031265B2 (en) * 2018-11-28 2021-06-08 Brooks Automation, Inc. Load port module
WO2022015863A1 (en) * 2020-07-14 2022-01-20 Vicarious Fpc, Inc. Method and system for monitoring a container fullness
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11735455B2 (en) * 2021-03-12 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Systems, devices, and methods for air flow optimization including adjacent a FOUP
US20220297320A1 (en) * 2021-03-18 2022-09-22 Applied Materials, Inc. Increased number of load ports on factory interface with robot that moves on track

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3030160B2 (ja) 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
KR940006241A (ko) 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6053983A (en) * 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
US6138721A (en) 1997-09-03 2000-10-31 Asyst Technologies, Inc. Tilt and go load port interface alignment system
US6013920A (en) 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
JPH11220001A (ja) * 1998-01-30 1999-08-10 Hitachi Ltd 半導体基板処理装置におけるロードポート及びロードポート搬送台車
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
FR2778496B1 (fr) 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6220808B1 (en) 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6261044B1 (en) 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6135698A (en) * 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
JP2001031211A (ja) 1999-07-26 2001-02-06 Murata Mach Ltd 搬送システム
US6428262B1 (en) 1999-08-11 2002-08-06 Proteros, Llc Compact load lock system for ion beam processing of foups
US6305500B1 (en) * 1999-08-25 2001-10-23 Maxtor Corporation Material delivery system for clean room-like environments
US6520727B1 (en) * 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter

Also Published As

Publication number Publication date
CN1572013A (zh) 2005-01-26
CN1327477C (zh) 2007-07-18
JP4309264B2 (ja) 2009-08-05
KR100800612B1 (ko) 2008-02-05
US20030044261A1 (en) 2003-03-06
WO2003021643A2 (en) 2003-03-13
KR20040040447A (ko) 2004-05-12
WO2003021643A3 (en) 2003-08-07
US7217076B2 (en) 2007-05-15
JP2005508570A (ja) 2005-03-31

Similar Documents

Publication Publication Date Title
DE10297169T5 (de) Handhabungssystem für Halbleitermaterial
DE10297170T5 (de) Einheitlicher Rahmen für ein Handhabungssystem für Halbleitermaterial
DE10297171T5 (de) Wafermaschine
DE69936645T2 (de) Behälter mit waferkassette
US7597523B2 (en) Variable lot size load port
DE60025708T2 (de) Mehrstufiger einzelantrieb für foup-türöffnungssystem
CN1996552B (zh) 晶片机
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE4425208C2 (de) Einrichtung zur Kopplung von Be- und Entladegeräten mit Halbleiterbearbeitungsmaschinen
DE69934668T2 (de) Schleusenkammer für zwei wafer für eine waferverarbeitungsvorrichtung und be- und entladeverfahren dafür
DE19726305A1 (de) System zum Transportieren von Objekten zwischen Umgebungen mit kontrollierten Bedingungen
US7585144B2 (en) Variable lot size load port
US20080031709A1 (en) Variable lot size load port
DE10053232C2 (de) Substrat-Zuführungsmodul und System aus Substrat-Zuführungsmodul und Arbeitsstation
EP3750180B1 (de) Ladeschleuse für einen substratbehälter und vorrichtung mit einer ladeschleuse
TW579564B (en) Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8125 Change of the main classification

Ipc: H01L 21/677 AFI20090911BHDE

8139 Disposal/non-payment of the annual fee