DE102012103295A1 - Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space - Google Patents

Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space Download PDF

Info

Publication number
DE102012103295A1
DE102012103295A1 DE201210103295 DE102012103295A DE102012103295A1 DE 102012103295 A1 DE102012103295 A1 DE 102012103295A1 DE 201210103295 DE201210103295 DE 201210103295 DE 102012103295 A DE102012103295 A DE 102012103295A DE 102012103295 A1 DE102012103295 A1 DE 102012103295A1
Authority
DE
Germany
Prior art keywords
maintenance
module
transfer
transfer module
loading
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE201210103295
Other languages
German (de)
Inventor
Walter Franken
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron SE filed Critical Aixtron SE
Priority to DE201210103295 priority Critical patent/DE102012103295A1/en
Publication of DE102012103295A1 publication Critical patent/DE102012103295A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

Device comprises: a processing unit (2), which is centrally arranged transfer module (7), which connects the closable transfer openings with the transfer module for carrying out a coating process; a loading- or unloading interface for supplying the substrates to be coated and for removing the coated substrates; power modules respectively comprising a gas mixing system for providing mass flow-controlled process gases; pipelines through which process gases are fed to reactor housings; and at least one service space (6) from which at least one supply module, and a reactor housing is accessible. Device comprises: a processing unit (2), which is centrally arranged transfer module (7), which connects the closable transfer openings with the transfer module for carrying out a coating process in a process chamber (4) arranged in a reactor housing (12); a loading- or unloading interface for supplying the substrates to be coated and for removing the coated substrates; power modules respectively comprising a gas mixing system for providing mass flow-controlled process gases; pipelines through which the process gases are fed to the reactor housings, where the process gases are fed to the process chambers; and at least one service space (6) from which at least one supply module, and a reactor housing is accessible. The process unit is surrounded by the power supply modules such that a common service space is arranged between the power supply module and reactor housing of the process unit.

Description

Vorrichtung zum Beschichten von Halbleitersubstraten mit einer Prozesseinheit, die ein zentral angeordnetes Transfermodul, mehrere mit dem Transfermodul über jeweils eine verschließbare Transferöffnung verbundene, jeweils in einem Reaktorgehäuse angeordnete Prozesskammern zur Durchführung eines Beschichtungsprozesses aufweist, mit einer Be-/Entladeschnittstelle zur Zufuhr zu beschichtender und zur Abfuhr beschichteter Substrate, mit Versorgungsmodulen, die jeweils ein Gasmischsystem zur Bereitstellung von Massenflusskontrollierten Prozessgasen aufweisen, mit Rohrleitungen, durch die hindurch die Prozessgase zu den Reaktorgehäusen gebracht werden, wo die Prozessgase in die Prozesskammern eingespeist werden, und mit mindestens einem Wartungsfreiraum, von dem aus zumindest ein Reaktorgehäuse und ein Versorgungsmodul zugänglich ist.Apparatus for coating semiconductor substrates having a process unit which has a centrally arranged transfer module, a plurality of process chambers, each connected to the transfer module via a closable transfer opening, arranged in a reactor housing for carrying out a coating process, with a loading / unloading interface for supply to be coated and Draining coated substrates with utility modules, each having a gas mixing system for providing mass flow controlled process gases, with conduits through which the process gases are delivered to the reactor housings where the process gases are fed into the process chambers and at least one maintenance space therefrom at least one reactor housing and a supply module is accessible.

Eine Vorrichtung der zuvor beschriebenen Art wird von der US 2008/0 019 806 A1 beschrieben. Die dort beschriebene Vorrichtung besitzt ein zentrales Transfermodul mit einem Greifer, das über mehrere Transferöffnungen mit insgesamt drei Prozesskammern jeweils eines Prozesskammergehäuses verbunden ist. Eine Öffnung des Transfermoduls bildet eine Be-/Entladeschnittstelle. Jedes der dortigen Prozesskammergehäuse ist mit einem Gasversorgungsaggregat verbunden, welches unmittelbar neben dem Prozesskammergehäuse angeordnet ist. Über einen Wartungsfreiraum, der um die Gasversorgungseinrichtungen angeordnet ist, sind die Gasversorgungseinrichtungen zu Wartungszwecken zugänglich. In einem räumlichen Abstand befinden sich Stromversorgungseinheiten, um die Versorgungsmodule bzw. die Prozesskammermodule mit elektrischer Energie zu versorgen.A device of the type described above is of the US 2008/0 019 806 A1 described. The device described therein has a central transfer module with a gripper, which is connected via a plurality of transfer openings with a total of three process chambers each of a process chamber housing. An opening of the transfer module forms a loading / unloading interface. Each of the local process chamber housings is connected to a gas supply unit, which is arranged directly next to the process chamber housing. About a maintenance space, which is arranged around the gas supply facilities, the gas supply facilities are accessible for maintenance purposes. In a spatial distance are power supply units to supply the supply modules or the process chamber modules with electrical energy.

Die US 6,939,403 B2 beschreibt eine Beschichtungseinrichtung, die eine Vielzahl von neben- und übereinander angeordnete Prozesskammern aufweist. Über einen Greifer können die Prozesskammern mit Substraten bestückt werden, die innerhalb der Prozesskammern behandelt werden. Über einen Versorgungskanal sind die zu einem Cluster zusammengefassten Prozesskammern mit einem Versorgungsmodul verbunden.The US 6,939,403 B2 describes a coating device having a plurality of juxtaposed and stacked process chambers. Using a gripper, the process chambers can be equipped with substrates that are treated within the process chambers. Via a supply channel, the process chambers combined into a cluster are connected to a supply module.

Die WO 2010/054 206 A2 beschreibt eine Beschichtungseinrichtung für Halbleitersubstrate, bei der eine Vielzahl von Modulen über- und nebeneinander angeordnet sind. Zwischen den einzelnen Modulen sind zugängliche Freiräume angeordnet, um die Module zu Wartungszwecken erreichen zu können.The WO 2010/054 206 A2 describes a coating device for semiconductor substrates, in which a plurality of modules are arranged above and next to each other. Between the individual modules, accessible free spaces are arranged in order to be able to reach the modules for maintenance purposes.

Die Vorrichtungen der zuvor beschriebenen Art finden bei der industriellen Fertigung von Halbleiterbauelementen Anwendung. Als Rohling wird ein sog. Wafer verwendet, bei dem es sich um eine dünne, in der Regel kreisrunde Scheibe aus einem Halbleitermaterial oder einem anderen tauglichen Werkstoff handelt. In der Silizium-Technologie aber auch in der Galliumnitrid-Technologie bestehen derartige Wafer aus Silizium, insbesondere einkristallinem Silizium, aber auch aus Saphir. In der III-V-Technologie können die Wafer aber auch aus einem geeigneten III-V-Werkstoff bestehen. Die Erfindung betrifft eine Anordnung von Aggregaten, die der Beschichtung der Wafer oder aber auch deren thermischer Behandlung dienen. Die, im Folgenden auch als Substrate bezeichneten Wafer werden in einer Prozesseinheit behandelt. Eine Prozesseinheit kann ein oder mehrere Reaktorgehäuse aufweisen. Jedes der ein oder mehreren Reaktorgehäuse kann eine oder mehrere Prozesskammern aufweisen. In diesen Prozesskammern werden ein oder mehrere Substrate gleichzeitig einem Behandlungsschritt unterzogen. Typischerweise ist der Behandlungsschritt ein Beschichtungsprozess. Die Substrate werden dabei mit einer dünnen Halbleiterschicht, Isolationsschicht oder elektrisch leitenden Schicht beschichtet, wobei die Bestandteile der Schicht im gasförmigen Zustand durch Rohrleitungen zu den Reaktorgehäusen gefördert werden. Die Bereitstellung dieser Prozessgase erfolgt in einem Gasmischsystem, welches elektronisch gesteuerte Massenflusscontroller und Ventile aufweist, mit denen eine Vielzahl verschiedener Gasströme gemischt werden, die über mehrere Rohrleitungen in die Prozesskammern eingespeist werden. Das Gasmischsystem ist Teil einer Versorgungseinheit, die ggf. auch eine Vakuumeinrichtung umfasst, falls der in den Prozesskammern durchgeführte Beschichtungsprozess in einem Niedrigdruckbereich stattfindet. Es ist bekannt, mehrere Prozesskammern bzw. Reaktorgehäuse zu einer Cluster-Einheit zusammenzufassen, die eine Transfer-Einheit aufweist, die einen Greifarm besitzt, mit dem die Substrate von einem Speichermodul geholt werden können und in eine Prozesskammer eingesetzt werden. Eine derartige Cluster-Einheit kann mehrere Speichermodule besitzen, in denen eine Vielzahl von Substraten zwischengespeichert werden. Derartige Vorrichtungen sind bekannt aus der DE 101 59 702 A1 , US 6,454,367 B1 , US 6,440,261 B1 und der US 5,855,675 . Die Anordnung der Prozesskammern untereinander sowie in Bezug auf die Speichermodule und die Transfereinheit kann variieren. Beispielsweise beschreibt die US 4,951,601 eine pentagonale, die US 5,061,144 A eine lineare, die US 19930175114 eine ringförmige und die US 4,592,306 eine hexagonale Anordnung.The devices of the type described above find application in the industrial manufacture of semiconductor devices. As a blank, a so-called. Wafer is used, which is a thin, usually circular disc of a semiconductor material or other suitable material. In silicon technology but also in gallium nitride technology, such wafers consist of silicon, in particular monocrystalline silicon, but also of sapphire. In the III-V technology, however, the wafers can also consist of a suitable III-V material. The invention relates to an arrangement of aggregates which serve for the coating of the wafers or else for their thermal treatment. The wafers, also referred to below as substrates, are treated in a process unit. A process unit may include one or more reactor housings. Each of the one or more reactor housings may include one or more process chambers. In these process chambers, one or more substrates are simultaneously subjected to a treatment step. Typically, the treatment step is a coating process. The substrates are coated with a thin semiconductor layer, insulating layer or electrically conductive layer, wherein the constituents of the layer are conveyed in the gaseous state through pipes to the reactor housings. The provision of these process gases takes place in a gas mixing system, which has electronically controlled mass flow controllers and valves, with which a multiplicity of different gas streams are mixed, which are fed into the process chambers via a plurality of pipelines. The gas mixing system is part of a supply unit, which optionally also includes a vacuum device, if the coating process carried out in the process chambers takes place in a low pressure range. It is known to combine a plurality of process chambers or reactor housings into a cluster unit which has a transfer unit which has a gripping arm with which the substrates can be retrieved from a storage module and inserted into a process chamber. Such a cluster unit may have a plurality of memory modules in which a plurality of substrates are cached. Such devices are known from the DE 101 59 702 A1 . US 6,454,367 B1 . US Pat. No. 6,440,261 B1 and the US 5,855,675 , The arrangement of the process chambers with each other and with respect to the memory modules and the transfer unit may vary. For example, this describes US 4,951,601 a pentagonal, that US 5,061,144 A a linear, the US 19930175114 an annular and the US 4,592,306 a hexagonal arrangement.

Derartige Cluster-Einheiten werden in sog. Reinräumen betrieben, in denen die Luft eine minimale Konzentration an Schwebstoffen enthält. Die Transfereinheit und die Be- und Entladeöffnungen der Reaktorgehäuse können dabei in einem einen besonders hohen Reinheitsgrad aufweisenden Gehäuse angeordnet sein. Generell gilt, dass der zur Verfügung stehende Raum teuer ist, also optimal genutzt werden muss. Andererseits dürfen die zur Bearbeitung der Halbleitersubstrate verwendeten Aggregate nicht beliebig dicht aneinander gestellt werden, da sie zu Wartungszwecken von Wartungspersonen zugänglich sein müssen. Im Stand der Technik sind zu Wartungszwecken öffenbare Luken oder dergleichen vorgesehen, durch die eine Wartungsperson zu einem der Aggregate Zugang bekommen kann. Beim Stand der Technik ist die Wartung der einzelnen Komponenten aufgrund der konstruktiven Gegebenheiten umständlich. Es steht nur wenig Raum für die Wartung zur Verfügung.Such cluster units are operated in so-called. Clean rooms in which the air contains a minimum concentration of suspended solids. The transfer unit and the loading and unloading the reactor housing can have in a particularly high degree of purity Housing be arranged. In general, the available space is expensive, so it must be used optimally. On the other hand, the units used to process the semiconductor substrates must not be placed close to each other as they must be accessible by maintenance personnel for maintenance purposes. In the prior art openable hatches or the like are provided for maintenance purposes, through which a maintenance person can get access to one of the units access. In the prior art, the maintenance of the individual components is cumbersome due to the structural conditions. There is little room for maintenance.

Der Erfindung liegt die Aufgabe zugrunde, die Anordnung der zur Bearbeitung der Halbleitersubstrate erforderlichen Komponenten derart zu optimieren, dass der Zugang zu Wartungszwecken vereinfacht ist.The object of the invention is to optimize the arrangement of the components required for processing the semiconductor substrates in such a way that access for maintenance purposes is simplified.

Gelöst wird die Aufgabe durch die in den Ansprüchen angegebene Erfindung. Zunächst und im Wesentlichen ist vorgesehen, dass die Prozesseinheit in einem zentralen Bereich der Vorrichtung angeordnet ist. Dieser zentrale Bereich der Vorrichtung ist von einem zusammenhängenden oder aus mehreren einzelnen Abschnitten bestehenden Wartungsfreiraum umgeben. Die Prozesseinheit und die Versorgungseinheit bilden erfindungsgemäß räumlich voneinander getrennte Komponenten. Die Wartungsöffnungen eines Versorgungsgehäuses bzw. eines Prozesseinheitsgehäuses können sich gegenüberliegen. Die Wartungsbodenfläche, von der aus sowohl die Versorgungseinheit, als auch die Prozesseinheit zugänglich sind, braucht nicht größer zu sein, als zur Aufnahme einer einzigen Person ausreichend, da Wartungsarbeiten an der Versorgungseinheit und Wartungsarbeiten an der Prozesseinheit nicht gleichzeitig stattzufinden brauchen. Die Wartungsöffnungen können sich vertikal erstreckenden Gehäusewänden zugeordnet ein. Es kann sich dabei jeweils um eine verschließbare Öffnung handeln, die in einer Vertikalwandung des Gehäuses der Versorgungseinheit bzw. in einer Vertikalwandung des Gehäuses der Prozesseinheit angeordnet sind. Die Wartungsöffnungen können sich derart gegenüberliegen, dass eine Wartungsperson, die mit der Brust vor einer Wartungsöffnung steht, eine andere Wartungsöffnung im Rücken hat. Die minimale Breite der Wartungsbodenfläche bzw. der minimale horizontale Abstand zwischen der Prozesseinheit und der Versorgungseinheit kann der gesetzlich geforderten Fluchtwegbreite entsprechen und bspw. im Bereich zwischen 80 cm und 90 cm liegen. Von besonderem Vorzug ist die räumliche Trennung der Cluster-Einheit von ihrer Versorgungseinheit, wobei die Cluster-Einheit eine Mehrzahl von Prozesskammern und eine Transfereinheit mit Greifarm aufweist. Die räumlich voneinander getrennte Prozesseinheit ist mit der Versorgungseinheit über einen Versorgungs- bzw. Verbindungskanal verbunden, der die Rohrleitungen aufnimmt, mit denen die Prozessgase von der Versorgungseinheit zur Prozesseinheit gefördert werden. Durch den Verbindungskanal können darüber hinaus elektrische Leitungen zur Steuerung bzw. zur Energieversorgung laufen. Ferner kann die Prozesseinheit über eine weitere Rohrleitung, die ebenfalls durch diesen oder einen anderen Verbindungskanal verläuft, mit einer Vakuumvorrichtung verbunden sein, die eine Vakuumpumpe aufweist, mit der innerhalb der Prozesskammer ein Niedrigdruck erzeugt wird. Die erfindungsgemäße Vorrichtung kann darüber hinaus einen Plasma-Generator oder einen Hochfrequenz-Generator aufweisen. Mit dem Plasma-Generator kann innerhalb der Prozesskammer ein Plasma erzeugt werden. Mit dem Hochfrequenz-Generator kann die Prozesskammer und insbesondere ein in der Prozesskammer angeordneter, die Substrate tragender Suszeptor aufgeheizt werden. Hierzu besteht der Suszeptor aus einem elektrisch leitenden Material, bspw. Graphit oder Molybdän, und wird mittels darin induzierten Wirbelströmen aufgeheizt. Der Generator kann räumlich der Prozesseinheit aber auch räumlich der Versorgungseinheit zugeordnet sein. Der Verbindungskanal kann auf Bodenhöhe verlaufen. Er kann aber auch einen vertikalen Abstand zur Wartungsbodenfläche besitzen.The object is achieved by the invention specified in the claims. First and foremost, it is provided that the process unit is arranged in a central region of the device. This central area of the device is surrounded by a contiguous or a plurality of individual sections maintenance space. The process unit and the supply unit according to the invention form spatially separate components. The maintenance openings of a supply housing or a process unit housing may be opposite. The maintenance floor area from which both the supply unit and the process unit are accessible need not be larger than sufficient to accommodate a single person, since maintenance work on the supply unit and maintenance on the process unit need not take place simultaneously. The service openings may be associated with vertically extending housing walls. It may in each case be a closable opening, which are arranged in a vertical wall of the housing of the supply unit or in a vertical wall of the housing of the process unit. The maintenance openings may face each other such that a service person who is standing with his chest in front of a maintenance opening has another maintenance opening in his back. The minimum width of the maintenance floor area or the minimum horizontal distance between the process unit and the supply unit may correspond to the legally required escape route width and, for example, be in the range between 80 cm and 90 cm. Of particular advantage is the spatial separation of the cluster unit from its supply unit, the cluster unit having a plurality of process chambers and a transfer unit with gripper arm. The spatially separate process unit is connected to the supply unit via a supply or connecting channel, which receives the pipes, with which the process gases are conveyed from the supply unit to the process unit. In addition, electrical lines for controlling or supplying energy can run through the connecting channel. Furthermore, the process unit can be connected via a further pipeline, which also runs through this or another connecting channel, to a vacuum device which has a vacuum pump with which a low pressure is generated within the process chamber. The device according to the invention can furthermore have a plasma generator or a high-frequency generator. With the plasma generator, a plasma can be generated within the process chamber. With the high-frequency generator, the process chamber and in particular a arranged in the process chamber, the substrates bearing susceptor can be heated. For this purpose, the susceptor consists of an electrically conductive material, for example graphite or molybdenum, and is heated by means of eddy currents induced therein. The generator may spatially be assigned to the process unit but also spatially to the supply unit. The connecting channel can run at ground level. But it can also have a vertical distance to the maintenance floor area.

Bevorzugt ist dieser vertikale Abstand größer als eine Mannhöhe, so dass dort eine Wartungsperson aufrecht stehen kann. Der Verbindungskanal kann den Wartungsfreiraum kreuzen. Dies ist insbesondere dann von Vorteil, wenn sich die Prozesseinheit und die Wartungseinheit gegenüberliegen, und insbesondere, wenn sich Wartungsöffnungen des Gehäuses der Prozesseinheit und Wartungsöffnungen des Versorgungsgehäuses gegenüberliegen. Die Prozesseinheit kann eine Vielzahl einzelner Reaktoren aufweisen, die jeweils eine Prozesskammer besitzen. Die Prozesskammer kann über das Transfermodul mit zu beschichtenden Substraten beladen werden. Die Substrate befinden sich hierzu auf einem Substrathalter, der mit Hilfe einer Greifereinrichtung des Transfermoduls in die Prozesskammer eingebracht wird. Nach dem Beschichten der auf dem Substrathalter aufliegenden Substrate wird der Substrathalter zusammen mit den Substraten aus der Prozesskammer entnommen. Die Vorrichtung besitzt vorzugsweise ein Speichermodul. In diesem Speichermodul können vertikal übereinander angeordnet mehrere Substrathalter gespeichert werden. Es können sowohl Substrathalter mit zu beschichtenden, als auch Substrathalter mit bereits beschichteten Substraten innerhalb des Speichermoduls gespeichert werden. Das Speichermodul ist ebenso wie die Transferkammer und die Prozesskammern mittels einer Vakuumpumpe evakuierbar. Bevorzugt werden die Transferkammer und das Speichermodul permanent im evakuierten Zustand gehalten. Die Beladung des Speichermoduls erfolgt über eine Schleuse, die mit einer Be-/Entladeschnittstelle verbunden ist. Die Schleuse kann mit einer Greifereinrichtung verbunden sein, so dass Substrathalter von der Be-/Entladeeinrichtung automatisiert oder halbautomatisiert mittels der Greifereinrichtung in die Schleuse gebracht werden können. Mittels der Greifereinrichtung des Transfermoduls können die Substrathalter aus der Schleuse in das Speichermodul bzw. die Prozesskammern gebracht werden. Die Schleuse ist nach außen hin gasdicht abgeschlossen. Die Substrathalter, die im Speichermodul zwischengespeichert werden, werden von der Greifereinrichtung des Transfermoduls aufgenommen und in eine der Prozesskammern eingebracht, wo auf den Substraten eine Schicht abgeschieden wird oder wo die Substrate thermisch behandelt werden. In einer Prozesskammer kann jeweils ein Prozessschritt durchgeführt werden. Es ist aber auch möglich, innerhalb einer Prozesskammer eine Vielzahl von Prozessschritten hintereinander durchzuführen. In einer bevorzugten Ausgestaltung der Erfindung besitzt das Transfermodul eine zentrale Transferkammer mit einem Greifer und insgesamt sechs Transferöffnungen. Eine Transferöffnung ist mit der Schleuse verbunden, über die die Be-und/Entladung erfolgt. Eine dieser Transferöffnung gegenüberliegende Transferöffnung ist mit dem Speichermodul verbunden. Jeweils zwei nebeneinanderliegende Transferöffnungen sind mit Prozesskammern jeweils eines MOCVD-Reaktors verbunden, wobei, bevorzugt, zwei MOCVD-Reaktoren zu einem Zwillingsreaktor zusammengefasst sind. Die beiden Zwillingsreaktoren liegen auf sich gegenüberliegenden Seiten einer Achse, die von dem Speichermodul und der Be-/Entladeschnittstelle gebildet ist. Auf beiden Seiten dieser Achse befinden sich Wartungsfreiräume, von denen aus jeweils ein Reaktor der Zwillingsreaktoranordnung zugänglich ist. Das gemeinsame Gehäuse der Zwillingsreaktoranordnung ist über einen Versorgungskanal, der den Wartungsfreiraum kreuzt, mit einer Versorgungseinheit verbunden. Innerhalb der Versorgungseinheit befindet sich ein Gasmischsystem, in dem die Prozessgase vorbereitet werden, die über die Rohrleitungen zu den MOCVD-Reaktoren gebracht werden. Flüssige oder feste metallorganische Quellen können ebenfalls in den Versorgungseinheiten bevorratet sein. Die Vakuumeinrichtungen, mit denen die Prozesskammern evakuiert werden, sind vorzugsweise innerhalb der Prozesseinheit, und besonders bevorzugt, unterhalb eines jeden MOCVD-Reaktors angeordnet. Die Gasableitungen laufen von der Prozesseinheit durch die Versorgungskanäle zu den jeweiligen Versorgungseinheiten, wo die Abgase aufbereitet bzw. gereinigt werden können. Die Be-/Entladeeinrichtung kann eine Handschuhbox aufweisen. Dort können die Substrathalter mit den Substraten bestückt werden. Die Be-/Entladeschnittstelle wird vorzugsweise von einem Be-/Entladegehäuse ausgebildet. Das Transfermodul ist in der Regel nur von oben her zu Wartungszwecken zugänglich. Die Vorrichtung kann eine entsprechende Brücke besitzen, über die das Wartungspersonal zur Transferkammeroberseite gelangen kann. Zusätzlich oder alternativ ist aber auch vorgesehen, dass eines der Aggregate, insbesondere das Speichermodul, von der Transferkammer getrennt werden kann. Dann ist auch ein seitlicher Zugang zum Transfermodul möglich, um dort Wartungsarbeiten durchzuführen.Preferably, this vertical distance is greater than a man height, so that there may be a maintenance person upright. The connecting channel can cross the maintenance clearance. This is particularly advantageous when facing the process unit and the maintenance unit, and in particular, when maintenance openings of the housing of the process unit and maintenance openings of the supply housing are opposite. The process unit may comprise a plurality of individual reactors each having a process chamber. The process chamber can be loaded via the transfer module with substrates to be coated. The substrates are for this purpose on a substrate holder, which is introduced by means of a gripper device of the transfer module in the process chamber. After coating the substrates resting on the substrate holder, the substrate holder is removed from the process chamber together with the substrates. The device preferably has a memory module. In this memory module can be stored vertically stacked several substrate holder. Both substrate holders with substrates to be coated and substrate holders with already coated substrates can be stored within the memory module. The storage module as well as the transfer chamber and the process chambers can be evacuated by means of a vacuum pump. Preferably, the transfer chamber and the storage module are permanently in the evacuated state held. The loading of the memory module via a lock, which is connected to a loading / unloading interface. The lock may be connected to a gripper device, so that substrate holder can be brought by the loading / unloading automated or semi-automated means of the gripper device in the lock. By means of the gripper device of the transfer module, the substrate holders can be brought from the lock into the storage module or the process chambers. The lock is closed gas-tight to the outside. The substrate holders, which are temporarily stored in the memory module, are picked up by the gripper device of the transfer module and introduced into one of the process chambers, where a layer is deposited on the substrates or where the substrates are thermally treated. In one process chamber, one process step can be carried out in each case. But it is also possible to carry out a plurality of process steps in a row within a process chamber. In a preferred embodiment of the invention, the transfer module has a central transfer chamber with a gripper and a total of six transfer openings. A transfer port is connected to the lock via which the loading and unloading takes place. A transfer opening opposite to this transfer opening is connected to the storage module. In each case two adjacent transfer openings are connected to process chambers of a respective MOCVD reactor, wherein, preferably, two MOCVD reactors are combined to form a twin reactor. The two twin reactors are located on opposite sides of an axis formed by the memory module and the loading / unloading interface. On both sides of this axis there are maintenance clearances, from each of which a reactor of the twin reactor arrangement is accessible. The common housing of the twin reactor arrangement is connected to a supply unit via a supply channel which crosses the maintenance space. Within the supply unit is a gas mixing system in which the process gases are prepared, which are brought via the pipes to the MOCVD reactors. Liquid or solid organometallic sources may also be stored in the supply units. The vacuum devices with which the process chambers are evacuated are preferably located within the process unit, and more preferably below each MOCVD reactor. The gas leads run from the process unit through the supply channels to the respective supply units, where the exhaust gases can be treated or cleaned. The loading / unloading device may have a glove box. There, the substrate holder can be equipped with the substrates. The loading / unloading interface is preferably formed by a loading / unloading housing. The transfer module is usually only accessible from above for maintenance purposes. The device may have a corresponding bridge, via which the maintenance personnel can reach the transfer chamber top side. Additionally or alternatively, however, it is also provided that one of the units, in particular the storage module, can be separated from the transfer chamber. Then a lateral access to the transfer module is possible to perform maintenance there.

Ein Ausführungsbeispiel der Erfindung wird nachfolgend anhand beigefügter Zeichnungen erläutert. Es zeigen:An embodiment of the invention will be explained below with reference to accompanying drawings. Show it:

1 schematisch den Grundriss eines Ausführungsbeispiels, 1 schematically the plan of an embodiment,

2 eine erste Seitenansicht, und 2 a first side view, and

3 eine zweite Seitenansicht. 3 a second side view.

Die in den Zeichnungen dargestellte Vorrichtung steht in einem Reinst-Raum und beinhaltet Komponenten zum Abscheiden von Halbleiterschichten auf Halbleitersubstraten.The apparatus shown in the drawings is in a high-purity space and includes components for depositing semiconductor layers on semiconductor substrates.

Die Vorrichtung weist eine Versorgungseinheit 1 auf, die räumlich von einer Prozesseinheit 2 getrennt ist. Zwischen der Prozesseinheit 2 und der Versorgungseinheit 1 befindet sich ein Wartungsfreiraum 6, der von einem Versorgungskanal 3 gekreuzt wird. Der Versorgungskanal 3 verbindet die Prozesseinheit 2 mit der Versorgungseinheit 1, so dass die Versorgungseinheit 1 und die Prozesseinheit 2 von der Breite des Wartungsfreiraumes 6 voneinander getrennt sind. Die Breite beträgt etwa 80 cm bis 90 cm. Mit den Bezugsziffern 15, 16 und 17 sind Wartungsöffnungen angedeutet. Die Wartungsöffnungen bestehen aus verschließbaren Luken des Gehäuses der Versorgungseinheit 1 einerseits und des Gehäuses der Prozesseinheit 2 andererseits.The device has a supply unit 1 on, spatially from a process unit 2 is disconnected. Between the process unit 2 and the supply unit 1 there is a maintenance room 6 coming from a supply channel 3 is crossed. The supply channel 3 connects the process unit 2 with the supply unit 1 so that the supply unit 1 and the process unit 2 from the width of the maintenance clearance 6 are separated from each other. The width is about 80 cm to 90 cm. With the reference numbers 15 . 16 and 17 Maintenance openings are indicated. The maintenance openings consist of closable hatches of the housing of the supply unit 1 on the one hand and the housing of the process unit 2 on the other hand.

Das Gehäuse der Prozesseinheit 2 steht auf dem horizontalen Boden eines Reinraumes. Es beinhaltet ein Reaktorgehäuse 12, in dem sich eine Prozesskammer 4 befindet. Die Prozesskammer 4 besitzt einen Prozesskammerboden, der von einem Suszeptor ausgebildet ist, auf den die zu beschichtenden Halbleitersubstrate aufgelegt werden können. Der Suszeptor wird von unten beheizt. Durch nicht dargestellte Gaseinlassorgane werden Prozessgase in die Prozesskammer geleitet, die sich dort pyrolytisch zerlegen, so dass auf dem Halbleitersubstrat zumindest eine Schicht abgeschieden wird. Das Reaktorgehäuse 12 kann in einer Handschuh-Box angeordnet sein, die von dem Gehäuse der Prozesskammer 2 ausgebildet ist. Eine der Wände der Handschuh-Box kann die Wartungsöffnung 17 ausbilden.The housing of the process unit 2 stands on the horizontal floor of a clean room. It contains a reactor housing 12 in which there is a process chamber 4 located. The process chamber 4 has a process chamber bottom, which is formed by a susceptor, on which the semiconductor substrates to be coated can be placed. The susceptor is heated from below. By gas inlet means, not shown, process gases are passed into the process chamber, which decompose there pyrolytically, so that at least one layer is deposited on the semiconductor substrate. The reactor housing 12 may be located in a glove box that is separate from the housing of the process chamber 2 is trained. One of the walls of the glove box may be the maintenance opening 17 form.

Die Prozessgase werden über Rohrleitungen 11 zum Reaktorgehäuse 12 transportiert. In den Figuren ist der Übersichtlichkeit halber lediglich eine Rohrleitung 11 symbolisch angedeutet. Durch den Kanal 3 verlaufen darüber hinaus nicht dargestellte elektrische Leitungen zu Sensoren oder dergleichen innerhalb der Prozesskammer 12. Durch den Verbindungskanal 3 können darüber hinaus auch Energieversorgungsleitungen verlaufen, mit denen die Prozesskammer 4 beheizt wird. Die Beheizung kann über eine Widerstandsheizung oder über eine RF-Heizung erfolgen. Ein Gasauslassorgan des Reaktorgehäuses 12 ist mit einer Gasableitung 14 verbunden. Diese Rohrleitung 14 verläuft ebenfalls durch den Verbindungskanal 3. Der Verbindungskanal 3 kann entlang des Bodens 5 des Wartungsfreiraumes 6 und/oder vertikal davon beabstandet verlaufen. The process gases are piped over 11 to the reactor housing 12 transported. In the figures, for the sake of clarity, only one pipeline 11 symbolically indicated. Through the channel 3 In addition, electrical lines, not shown, extend to sensors or the like within the process chamber 12 , Through the connection channel 3 In addition, energy supply lines can also run with which the process chamber 4 is heated. The heating can be done via a resistance heater or via an RF heater. A gas outlet member of the reactor housing 12 is with a gas discharge 14 connected. This pipeline 14 also runs through the connection channel 3 , The connection channel 3 can along the ground 5 the maintenance free space 6 and / or vertically spaced therefrom.

Die Breite des Wartungsfreiraumes 6 zwischen Versorgungseinheit 1 und Prozesseinheit 2 ist derart gewählt, dass sich dort zumindest eine Person zu Wartungszwecken aufhalten kann und dabei Zugang zur Wartungsöffnung 17 der Prozesseinheit 2 und zu den Wartungsöffnungen 15, 16 der Versorgungseinheit 1 bekommt.The width of the maintenance clearance 6 between supply unit 1 and process unit 2 is chosen such that there at least one person can stay for maintenance purposes and access to the maintenance opening 17 the process unit 2 and to the maintenance openings 15 . 16 the supply unit 1 gets.

Die Versorgungseinheit 1 weist ein Gehäuse auf, in dem ein mit der Bezugsziffer 10 angedeutetes Gasmischsystem angeordnet ist. In dem Gasmischsystem 10 befinden sich Massenflussregler und Ventile. Das Gasmischsystem 10 ist mit einem nicht dargestellten, insbesondere außerhalb des Reinraumbereichs angeordneten Gasversorgungssystem verbunden, in dem in Gasflaschen oder Tanks die Prozessgase bzw. Trägergase bevorratet werden. In dem Gasmischsystem 10, welches sich innerhalb des in der Reinraumzone angeordneten Versorgungsgehäuses befindet, werden die Prozessgase mit den Trägergasen gemischt. Sie werden mittels der Massenflusscontroller dosiert und über Ventile in die einzelnen Rohrleitungen 11 eingespeist, die die Prozessgase in die Prozesskammer 4 fördern. Innerhalb des Gasmischsystems können aber auch flüssige oder feste Ausgangsstoffe bevorratet werden. Beispielsweise können flüssige oder feste metallorganische Verbindungen in sogenannten Bubblern bevorratet sein, die von einem Trägergasstrom durchspült werden, um die metallorganische Verbindung gasförmig zur Prozesskammer zu fördern. Über eine oder mehrere Wartungsöffnungen 16 erhält eine Wartungsperson Zugang zum Gasmischsystem. Innerhalb des Gehäuses der Versorgungseinheit 1 kann auch eine Aufbereitungseinrichtung für Abgase angeordnet sein.The supply unit 1 has a housing in which one with the reference numeral 10 indicated gas mixing system is arranged. In the gas mixing system 10 There are mass flow controllers and valves. The gas mixing system 10 is connected to a gas supply system, not shown, in particular arranged outside the clean room area, in which the process gases or carrier gases are stored in gas cylinders or tanks. In the gas mixing system 10 , which is located within the supply housing arranged in the clean room zone, the process gases are mixed with the carrier gases. They are metered by means of the mass flow controller and via valves in the individual pipelines 11 fed to the process gases in the process chamber 4 promote. Within the gas mixing system but also liquid or solid starting materials can be stored. For example, liquid or solid organometallic compounds can be stored in so-called bubblers, which are flushed through by a carrier gas stream in order to convey the organometallic compound in gaseous form to the process chamber. Over one or more maintenance openings 16 a maintenance person gets access to the gas mixing system. Inside the housing of the supply unit 1 can also be arranged a treatment device for exhaust gases.

Mit der Bezugsziffer 13 ist eine Vakuumeinrichtung bezeichnet, die eine Vakuumpumpe aufweist, über die die Prozesskammer 4 bzw. die Transferkammer des Transfermoduls 7 oder der Innenraum des Speichermoduls 8 evakuiert werden kann.With the reference number 13 is referred to a vacuum device having a vacuum pump via which the process chamber 4 or the transfer chamber of the transfer module 7 or the interior of the memory module 8th can be evacuated.

Das in den Zeichnungen dargestellte Ausführungsbeispiel beisitzt eine spiegelsymmetrische Anordnung. In der Symmetrieebene befindet sich das Transfermodul 7, welches insgesamt sechs Transferöffnungen 20 aufweist. Zwei sich diametral gegenüberliegende Transferöffnungen 20 liegen in der Symmetrieachse. Eine Transferöffnung 20 verbindet die Transferkammer des Transfermoduls 7 mit einer Schleusenkammer 18. Die gegenüberliegende Transferöffnung 20 verbindet die Transferkammer mit dem Innenraum des Speichermoduls 8, in dem mehrere Substrathalter übereinander gestapelt werden können, die mit beschichteten bzw. zu beschichtenden Substraten bestückt sind.The exemplary embodiment illustrated in the drawings includes a mirror-symmetrical arrangement. The transfer module is located in the symmetry plane 7 which has a total of six transfer openings 20 having. Two diametrically opposite transfer openings 20 lie in the axis of symmetry. A transfer opening 20 connects the transfer chamber of the transfer module 7 with a lock chamber 18 , The opposite transfer opening 20 connects the transfer chamber to the interior of the storage module 8th in which a plurality of substrate holders can be stacked one above the other, which are equipped with coated or coated substrates.

Die Schleusenkammer 18 verbindet die Transferkammer des Transfermoduls 7 bzw. sämtliche daran angeschlossenen Kammern mit der Außenwelt. Die Schleusenkammer 18 kann mit einem Greifer 21 beladen werden, wobei der Greifer 21 bestückte Substrathalter von der Be-/Entladeeinrichtung 22 in die Schleusenkammer 18 bringen kann. Der Greifer 23 der Transferkammer kann nach Evakuierung der Schleusenkammer 18 die Substrathalter aus der Schleusenkammer 18 entnehmen und bspw. im Speichermodul 8 ablegen.The lock chamber 18 connects the transfer chamber of the transfer module 7 or all connected chambers with the outside world. The lock chamber 18 can with a gripper 21 be loaded, the gripper 21 equipped substrate holder of the loading / unloading device 22 into the lock chamber 18 can bring. The gripper 23 The transfer chamber can after evacuation of the lock chamber 18 the substrate holder from the lock chamber 18 and, for example, in the memory module 8th lay down.

Das Transfermodul 7 besitzt einen in etwa hexagonalen Grundriss. Auf zwei sich gegenüberliegenden Seiten befinden sich zwei nebeneinander angeordnete Transferöffnungen 20, mit denen die Transferkammer jeweils mit einer Prozesskammer 4 eines MOCVD-Reaktors 12 verbunden ist. Auf jeder Seite der Symmetrieebene befinden sich zwei zu einer Zwillingsanordnung zusammengefasste Reaktoren 12, die jeweils über einen Verbindungskanal 3 mit Prozessgasen versorgt werden. Die Verbindungskanäle 3 kreuzen dabei jeweils einen Wartungsfreiraum 6.The transfer module 7 has a roughly hexagonal floor plan. On two opposite sides there are two juxtaposed transfer openings 20 , with which the transfer chamber each with a process chamber 4 a MOCVD reactor 12 connected is. On each side of the plane of symmetry are two reactors combined into a twin arrangement 12 , each via a connection channel 3 be supplied with process gases. The connection channels 3 in each case intersect a maintenance space 6 ,

Mit der Bezugsziffer 9 ist ein Generator bezeichnet, mit dem eine Hochfrequenz erzeugt wird, um die Suszeptoren der Prozesskammern 4 zu beheizen. Der Generator 9 ist im Ausführungsbeispiel räumlich der Prozesseinheit 2 zugeordnet. Der Generator 9 kann alternativ dazu aber auch räumlich der Versorgungseinheit 1 zugeordnet sein. Er ist dann über Leitungen, die durch den Verbindungskanal 3 gehen, mit der Prozesseinheit 2 funktionell verbunden.With the reference number 9 is a generator referred to, with which a high frequency is generated to the susceptors of the process chambers 4 to heat. The generator 9 is in the embodiment spatially the process unit 2 assigned. The generator 9 Alternatively, it may also be spatially the supply unit 1 be assigned. He is then on lines through the connection channel 3 go with the process unit 2 functionally connected.

Aus den 2 und 3 geht hervor, dass die Prozesseinheit 2 über zwei übereinander angeordnete Wartungskanäle 3 mit den ihnen funktional zugeordneten Versorgungseinheiten 1 verbunden sind. Jede Versorgungseinheit 1 besitzt ein Gasmischsystem 10, in dem die Prozessgase vorbereitet werden, die über die Rohrleitungen 11 zu den MOCVD-Reaktoren 12 gebracht werden.From the 2 and 3 shows that the process unit 2 via two superimposed maintenance channels 3 with the supply units functionally assigned to them 1 are connected. Each supply unit 1 owns a gas mixing system 10 in which the process gases are prepared via the pipelines 11 to the MOCVD reactors 12 to be brought.

Die Wartungsfreiräume 6 bilden Serviceflächen, die doppelt genutzt werden können. Bei einer ersten Nutzungsart kann eine auf der Servicefläche 5 stehende Person über Wartungsöffnungen 17 Wartungsarbeiten an einer Prozesseinheit 2 vornehmen. In der zweiten Funktion kann eine Person Wartungsarbeiten durch die Wartungsöffnungen 15, 16 hindurch an der Versorgungseinheit 1 vornehmen. Die jeweiligen Wartungsöffnungen 15, 16 bzw. 17 liegen bezogen auf die Servicefläche 5 in entgegengesetzten Raumrichtungen, so dass eine brustseitig zur Wartungsöffnung 15, 16 stehende Person die Wartungsöffnung 17 im Rücken hat bzw. umgekehrt. Auch hier entspricht die Breite des Wartungsfreiraumes 6 der gesetzlichen Fluchtwegbreite von etwa 80 cm bis 90 cm. The maintenance clearances 6 form service areas that can be used twice. For a first usage, one can be on the service area 5 standing person over maintenance openings 17 Maintenance work on a process unit 2 make. In the second function, a person can perform maintenance through the maintenance openings 15 . 16 through at the supply unit 1 make. The respective maintenance openings 15 . 16 respectively. 17 are related to the service area 5 in opposite spatial directions, leaving a breast side to the maintenance opening 15 . 16 standing person the maintenance opening 17 in the back or vice versa. Again, the width of the maintenance free space corresponds 6 the legal escape route width of about 80 cm to 90 cm.

Wie den Zeichnungen zu entnehmen ist, ist das Transfermodul 7 lediglich von oben zugänglich. Um zu Wartungszwecken auch eine Zugänglichkeit von der Seite her zu ermöglichen, ist die Verbindung zwischen dem Speichermodul 8 und dem Transfermodul 7 trennbar. Das Speichermodul 8 kann bspw. auf Rollen stehen, so dass es nach Lösen der Verbindung vom Transfermodul 7 getrennt werden kann. Es bildet sich dann eine Wartungsfreifläche aus, über die das Transfermodul 7 zu Wartungszwecken zugänglich ist.As can be seen from the drawings, the transfer module 7 only accessible from above. In order to allow accessibility from the side for maintenance purposes, the connection between the memory module 8th and the transfer module 7 separable. The memory module 8th can, for example, stand on rollers, so that after releasing the connection from the transfer module 7 can be separated. It then forms a maintenance area, via the transfer module 7 is accessible for maintenance purposes.

Alle offenbarten Merkmale sind (für sich) erfindungswesentlich. In die Offenbarung der Anmeldung wird hiermit auch der Offenbarungsinhalt der zugehörigen/beigefügten Prioritätsunterlagen (Abschrift der Voranmeldung) vollinhaltlich mit einbezogen, auch zu dem Zweck, Merkmale dieser Unterlagen in Ansprüche vorliegender Anmeldung mit aufzunehmen. Die Unteransprüche charakterisieren in ihrer fakultativ nebengeordneten Fassung eigenständige erfinderische Weiterbildungen des Standes der Technik, insbesondere um auf Basis dieser Ansprüche Teilanmeldungen vorzunehmen.All disclosed features are essential to the invention. The disclosure of the associated / attached priority documents (copy of the prior application) is hereby also incorporated in full in the disclosure of the application, also for the purpose of including features of these documents in claims of the present application. The subclaims characterize in their optionally sibling version independent inventive developments of the prior art, in particular to make on the basis of these claims divisional applications.

BezugszeichenlisteLIST OF REFERENCE NUMBERS

11
Versorgungseinheit/-modulSupply unit / module
22
Prozesseinheitprocess unit
33
Versorgungskanal/VerbindungskanalSupply channel / link channel
44
Prozesskammerprocess chamber
55
Bodenflächefloor area
66
WartungsfreiraumService space
77
Transfermodultransfer module
88th
Speichermodulmemory module
99
Generatorgenerator
1010
GasmischsystemGas mixing system
1111
Rohrleitungpipeline
1212
Reaktorgehäuse/MOCVD-ReaktorReactor housing / MOCVD reactor
1313
Vakuumeinrichtungvacuum equipment
1414
Rohrleitungpipeline
1515
Wartungsöffnung/WartungszugangMaintenance opening / maintenance access
1616
Wartungsöffnung/WartungszugangMaintenance opening / maintenance access
1717
Wartungsöffnung/WartungszugangMaintenance opening / maintenance access
1818
Schleusenkammerlock chamber
1919
Versorgungskanalsupply channel
2020
Transferöffnungtransfer opening
2121
Greifergrab
2222
Be-/Entladeeinrichtung/Be-/EntladeschnittstelleLoading / unloading / loading / Entladeschnittstelle
2323
Greifergrab

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 2008/0019806 A1 [0002] US 2008/0019806 A1 [0002]
  • US 6939403 B2 [0003] US 6939403 B2 [0003]
  • WO 2010/054206 A2 [0004] WO 2010/054206 A2 [0004]
  • DE 10159702 A1 [0005] DE 10159702 A1 [0005]
  • US 6454367 B1 [0005] US 6454367 B1 [0005]
  • US 6440261 B1 [0005] US 6440261 B1 [0005]
  • US 5855675 [0005] US 5855675 [0005]
  • US 4951601 [0005] US 4951601 [0005]
  • US 5061144 A [0005] US Pat. No. 5,061,144 A [0005]
  • US 19930175114 [0005] US 19930175114 [0005]
  • US 4592306 [0005] US 4592306 [0005]

Claims (10)

Vorrichtung zum Beschichten von Halbleitersubstraten mit einer Prozesseinheit (2), die ein zentral angeordnetes Transfermodul (7), mehrere mit dem Transfermodul (7) über jeweils eine verschließbare Transferöffnung (20) verbundene, jeweils in einem Reaktorgehäuse (12) angeordnete Prozesskammern (4) zur Durchführung eines Beschichtungsprozesses aufweist, mit einer Be-/Entladeschnittstelle (22) zur Zufuhr zu beschichtender und zur Abfuhr beschichteter Substrate, mit Versorgungsmodulen (1), die jeweils ein Gasmischsystem (13) zur Bereitstellung von Massenfluss-kontrollierten Prozessgasen aufweisen, mit Rohrleitungen (11), durch die hindurch die Prozessgase zu den Reaktorgehäusen (12) gebracht werden, wo die Prozessgase in die Prozesskammern (4) eingespeist werden, und mit mindestens einem Wartungsfreiraum (6), von dem aus zumindest ein Reaktorgehäuse (12) und ein Versorgungsmodul (1) zugänglich ist, dadurch gekennzeichnet, dass die Prozesseinheit (2) derart von den Versorgungsmodulen (1) umgeben ist, dass zwischen jeweils einem Versorgungsmodul (1) und einem Reaktorgehäuse (12) der Prozesseinheit (2) ein gemeinsamer Wartungsfreiraum (6) angeordnet ist.Device for coating semiconductor substrates with a process unit ( 2 ), which is a centrally located transfer module ( 7 ), several with the transfer module ( 7 ) via in each case a closable transfer opening ( 20 ), each in a reactor housing ( 12 ) arranged process chambers ( 4 ) for carrying out a coating process, with a loading / unloading interface ( 22 ) for supplying substrates which are to be coated and removed for removal, with supply modules ( 1 ), each one a gas mixing system ( 13 ) for the provision of mass flow-controlled process gases, with pipelines ( 11 ), through which the process gases to the reactor housings ( 12 ), where the process gases into the process chambers ( 4 ) and at least one maintenance space ( 6 ), from which at least one reactor housing ( 12 ) and a supply module ( 1 ), characterized in that the process unit ( 2 ) from the supply modules ( 1 ) is surrounded, that between each one supply module ( 1 ) and a reactor housing ( 12 ) of the process unit ( 2 ) a common maintenance space ( 6 ) is arranged. Vorrichtung nach Anspruch 1 oder insbesondere danach, dadurch gekennzeichnet, dass ein oder mehrere Wartungsfreiräume (6) jeweils eine horizontale Wartungsbodenfläche (5) besitzen und vertikal verlaufende, an den Wartungsfreiraum (6) angrenzende Gehäusewände der zugeordneten Prozesseinheit (2) und des zugeordneten Versorgungsmoduls (1) Wartungsöffnungen (15, 16, 17) aufweisen, die sich im Wesentlichen gegenüberliegen.Device according to claim 1 or in particular according thereto, characterized in that one or more maintenance clearances ( 6 ) each have a horizontal maintenance floor surface ( 5 ) and vertically extending, to the maintenance space ( 6 ) adjacent housing walls of the associated process unit ( 2 ) and the associated supply module ( 1 ) Maintenance openings ( 15 . 16 . 17 ) which are substantially opposite one another. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Be-/Entladeschnittstelle (22) über eine Schleusenkammer (18) mit dem Transfermodul (7) verbunden ist und/oder das Transfermodul (7) über eine Transferöffnung (20) mit einem Speichermodul (8) verbunden ist, in dem mit zu beschichtenden und/oder beschichteten Substraten bestückte Substrathalter zwischenspeicherbar sind.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the loading / unloading interface ( 22 ) via a lock chamber ( 18 ) with the transfer module ( 7 ) and / or the transfer module ( 7 ) via a transfer opening ( 20 ) with a memory module ( 8th ), in which substrate holders equipped with substrates to be coated and / or coated are temporarily storable. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass auf zwei sich gegenüberliegenden Seiten des Transfermoduls (7) jeweils zwei Reaktorgehäuse (12) angeordnet sind, denen jeweils ein Wartungsfreiraum (6) zugeordnet ist, wobei jeder Wartungsfreiraum (6) an einen Abschnitt eines Versorgungsmoduls (1) angrenzt.Device according to one or more of the preceding claims or in particular according thereto, characterized in that on two opposite sides of the transfer module ( 7 ) two reactor housings ( 12 ), each having a maintenance space ( 6 ), each maintenance space ( 6 ) to a section of a supply module ( 1 ) adjoins. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass sich die Be-/Entladungsschnittstelle (22) und das Speichermodul (8) gegenüberliegen.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the loading / unloading interface ( 22 ) and the memory module ( 8th ) are opposite. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, gekennzeichnet durch ein oder mehrere Vakuumeinrichtungen (13), um die Prozesskammer (4), das Transfermodul (7) und das Speichermodul (8) zu evakuieren, wobei das Transfermodul (7), das Speichermodul (8) und die Prozesskammern (4) bei geöffneten Transferöffnungen (20) ein gemeinsames Vakuum-Volumen ausbilden, das mit der Be-/Entladeschnittstelle (22) über die Schleuse (18) verbunden ist.Device according to one or more of the preceding claims or in particular according thereto, characterized by one or more vacuum devices ( 13 ) to the process chamber ( 4 ), the transfer module ( 7 ) and the memory module ( 8th ) to evacuate, with the transfer module ( 7 ), the memory module ( 8th ) and the process chambers ( 4 ) with opened transfer openings ( 20 ) form a common vacuum volume associated with the loading / unloading interface ( 22 ) over the lock ( 18 ) connected is. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Prozesseinheit (2) über einen die Rohrleitungen (11, 14) und gegebenenfalls eine elektrische Leitung zur Steuerung bzw. Energieversorgung aufnehmenden Verbindungskanal (3) miteinander verbunden ist.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the process unit ( 2 ) via a pipeline ( 11 . 14 ) and optionally an electrical line to the control or energy supply receiving connecting channel ( 3 ) is interconnected. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass der Verbindungskanal (3) auf vertikaler Höhe über der Wartungs-Bodenfläche (5) oder in einem vertikalen Abstand insbesondere größer als eine Mannshöhe oberhalb der Wartungs-Bodenfläche (5) angeordnet ist.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the connecting channel ( 3 ) at a vertical height above the maintenance floor surface ( 5 ) or at a vertical distance in particular greater than a man height above the maintenance floor surface ( 5 ) is arranged. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass der Verbindungskanal (3) den Wartungsfreiraum (6) kreuzt, wobei sich ein Wartungszugang (17) der Prozesseinheit (2) und ein Wartungszugang (15, 16) der Versorgungseinheit (1) gegenüber liegen.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the connecting channel ( 3 ) the maintenance space ( 6 ), whereby a maintenance access ( 17 ) of the process unit ( 2 ) and a maintenance access ( 15 . 16 ) of the supply unit ( 1 ) are opposite. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass das Speichermodul (8) zu Wartungszwecken vom Transfermodul (7) trennbar ist.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the memory module ( 8th ) for maintenance purposes by the transfer module ( 7 ) is separable.
DE201210103295 2012-01-09 2012-04-17 Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space Withdrawn DE102012103295A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE201210103295 DE102012103295A1 (en) 2012-01-09 2012-04-17 Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102012100121.9 2012-01-09
DE102012100121 2012-01-09
DE201210103295 DE102012103295A1 (en) 2012-01-09 2012-04-17 Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space

Publications (1)

Publication Number Publication Date
DE102012103295A1 true DE102012103295A1 (en) 2013-07-11

Family

ID=48652563

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201210103295 Withdrawn DE102012103295A1 (en) 2012-01-09 2012-04-17 Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space

Country Status (1)

Country Link
DE (1) DE102012103295A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013111790A1 (en) 2013-10-25 2015-04-30 Aixtron Se Energy and material consumption optimized CVD reactor
DE102013113052A1 (en) 2013-11-26 2015-05-28 Aixtron Se Heating device for a CVD reactor
DE102017120649A1 (en) * 2017-09-07 2019-03-07 Khs Corpoplast Gmbh Device for coating containers
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11255480B2 (en) 2017-09-07 2022-02-22 Khs Corpoplast Gmbh Support frame for a pump stand for receiving vacuum pumps, and main support frame for a coating device for containers, and coating device
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US175114A (en) 1876-03-21 Improvement in middlings-purifiers
US4592306A (en) 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
DE10159702A1 (en) 2000-12-23 2002-07-18 Aixtron Ag Method and device for processing semiconductor substrates
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6454367B1 (en) 1997-06-26 2002-09-24 Trikon Equipments Limited Apparatus for processing workpieces
US6939403B2 (en) 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
US20080019806A1 (en) 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
WO2010054206A2 (en) 2008-11-07 2010-05-14 Applied Materials, Inc. Improved process equipment architecture

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US175114A (en) 1876-03-21 Improvement in middlings-purifiers
US4592306A (en) 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6454367B1 (en) 1997-06-26 2002-09-24 Trikon Equipments Limited Apparatus for processing workpieces
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
DE10159702A1 (en) 2000-12-23 2002-07-18 Aixtron Ag Method and device for processing semiconductor substrates
US6939403B2 (en) 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
US20080019806A1 (en) 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
WO2010054206A2 (en) 2008-11-07 2010-05-14 Applied Materials, Inc. Improved process equipment architecture

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013111790A1 (en) 2013-10-25 2015-04-30 Aixtron Se Energy and material consumption optimized CVD reactor
DE102013113052A1 (en) 2013-11-26 2015-05-28 Aixtron Se Heating device for a CVD reactor
DE102017120649A1 (en) * 2017-09-07 2019-03-07 Khs Corpoplast Gmbh Device for coating containers
US11255480B2 (en) 2017-09-07 2022-02-22 Khs Corpoplast Gmbh Support frame for a pump stand for receiving vacuum pumps, and main support frame for a coating device for containers, and coating device
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Similar Documents

Publication Publication Date Title
DE102012103295A1 (en) Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
DE102008019023B4 (en) Vacuum continuous flow system for the processing of substrates
DE69935351T2 (en) Process for depositing atomic layers
DE112014001586B4 (en) Device for processing two or more substrates in a batch process
DE112012001864T5 (en) Semiconductor substrate processing system
DE102012101438B4 (en) Method for cleaning a process chamber of a CVD reactor
CH707855B1 (en) Device for storing objects from the manufacture of electronic components.
DE112012000579T5 (en) Plant, process and reaction chamber
DE102017107299A1 (en) Film forming apparatus and film forming method
WO2014128045A1 (en) Cvd device and method for cleaning a processing chamber of a cvd device
EP3475472A1 (en) Method and device for producing coated semiconductor wafers
DE102014107636B4 (en) Vacuum processing system
DE102009009022A1 (en) Method and device for coating flat substrates with chalcogens
DE112019006554T5 (en) GAS PHASE SEPARATION DEVICE AND SUPPORT FOR USE IN THE SAME
DE112019006420T5 (en) GAS PHASE SEPARATION DEVICE
DE102011106859A1 (en) Method and device for the continuous coating of substrates
EP4069882B1 (en) Gas inlet device for a cvd reactor
DE112008002689T5 (en) Transfer of high temperature wafers
EP1344243A1 (en) Method and device for treating semiconductor substrates
EP2984035B1 (en) Gas distributor for a siemens reactor
WO2020225228A1 (en) Method for depositing a semiconductor layer system, which contains gallium and indium
DE102012104475A1 (en) Device useful for depositing layer on substrate comprises processing chamber having susceptor heated by heating device for receiving substrate, gas inlet element, gas outlet element and gas-tight reactor housing which is outwardly arranged
DE102011007619A1 (en) System, useful for processing a substrate in a continuous process, comprises lock areas arranged at two opposite ends of the system, a process region arranged between the lock areas, and a transport unit
DE102008030679B4 (en) Device for the diffusion treatment of workpieces
DE102010016477A1 (en) A thermal treatment method comprising a heating step, a treatment step and a cooling step

Legal Events

Date Code Title Description
R005 Application deemed withdrawn due to failure to request examination