DE102009024311A1 - Semiconductor component and method for its production - Google Patents

Semiconductor component and method for its production Download PDF

Info

Publication number
DE102009024311A1
DE102009024311A1 DE200910024311 DE102009024311A DE102009024311A1 DE 102009024311 A1 DE102009024311 A1 DE 102009024311A1 DE 200910024311 DE200910024311 DE 200910024311 DE 102009024311 A DE102009024311 A DE 102009024311A DE 102009024311 A1 DE102009024311 A1 DE 102009024311A1
Authority
DE
Germany
Prior art keywords
masking layer
substrate
trench
layer
semiconductor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE200910024311
Other languages
German (de)
Inventor
Vadim Dr. habil. Lebedev
Volker Dr. habil. Cimalla
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Original Assignee
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV filed Critical Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority to DE200910024311 priority Critical patent/DE102009024311A1/en
Priority to DE112010001934.7T priority patent/DE112010001934B4/en
Priority to PCT/EP2010/056690 priority patent/WO2010139546A1/en
Publication of DE102009024311A1 publication Critical patent/DE102009024311A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Abstract

Die Erfindung betrifft ein Verfahren zur Herstellung eines Halbleiterbauelementes, in welchem ein eindimensionales Elektronengas ausbildbar ist, welches die folgenden Schritte enthält: Bereitstellen eines Substrates mit einer ersten Oberfläche; Abscheiden einer Maskierungsschicht mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der Maskierungsschicht auf der ersten Oberfläche des Substrates angeordnet ist; Einbringen von mindestens einem Graben in die Maskierungsschicht, welcher bis zur ersten Oberfläche des Substrates reicht; Einbringen eines Halbleitermaterials in den mindestens einen Graben und Entfernen der ersten Maskierungsschicht. Weiterhin betrifft die Erfindung ein nach diesem Verfahren hergestelltes Halbleiterbauelement.The invention relates to a method for producing a semiconductor component in which a one-dimensional electron gas can be formed, which includes the following steps: providing a substrate with a first surface; Depositing a masking layer having a first surface and a second surface, the second surface of the masking layer being arranged on the first surface of the substrate; Introducing at least one trench in the masking layer which extends to the first surface of the substrate; Introducing a semiconductor material into the at least one trench and removing the first masking layer. The invention also relates to a semiconductor component produced by this method.

Description

Die Erfindung betrifft ein Verfahren zur Herstellung eines Halbleiterbauelementes, in welchem ein eindimensionales Elektronengas ausbildbar ist, welches die folgenden Schritte enthält: Bereitstellen eines Substrates mit einer ersten Oberfläche; Abscheiden einer Maskierungsschicht mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der Maskierungsschicht auf der ersten Oberfläche des Substrates angeordnet ist; Einbringen von mindestens einem Graben in die Maskierungsschicht; Einbringen eines Halbleitermaterials in den mindestens einen Graben und Entfernen der ersten Maskierungsschicht. Weiterhin betrifft die Erfindung ein nach diesem Verfahren hergestelltes Halbleiterbauelement.The The invention relates to a method for producing a semiconductor component, in which a one-dimensional electron gas can be formed, which the following steps are included: Providing a Substrate with a first surface; Depositing a masking layer with a first surface and a second surface, wherein the second surface of the masking layer on the first surface of the substrate is arranged; bring at least one trench into the masking layer; bring of a semiconductor material in the at least one trench and removing the first masking layer. Furthermore, the invention relates a manufactured according to this method semiconductor device.

Halbleiterbauelemente der eingangs genannten Art können beispielsweise Feldeffekttransistoren, optische Wellenleiter oder nanoelektromechanische Systeme enthalten.Semiconductor devices of the type mentioned in the introduction, for example, field-effect transistors, optical waveguides or nanoelectromechanical systems.

Aus V. Lebedev et. al.: ”Fabrication of one-dimensional trenched GaN nanowires and their interconnections”, Phys. Stat. Sol. (A) 204, No. 10, 3387 (2007) ist ein gattungsgemäßes Halbleiterbauelement bekannt. Gemäß diesem Stand der Technik wird vorgeschlagen, auf ein Substrat aus Aluminiumnitrit oder Saphir eine Hartmaske aufzubringen und in diese Hartmaske sowie das Substrat durch Ätzen einen Graben einzubringen. Die Breite soll dabei 20 nm bis 100 nm betragen. Durch Einbringen von Galliumnitrit in diesen Graben und nachfolgendes Entfernen der Hartmaske entsteht dann ein teilweise in das Substrat eingebetteter Nanodraht aus GaN, in welchem sich ein eindimensionales Elektronengas ausbilden kann.Out V. Lebedev et. al .: "Fabrication of one-dimensional trenched GaN nanowires and their interconnections", Phys. Stat. Sol. (A) 204, no. 10, 3387 (2007) a generic semiconductor device is known. According to this prior art, it is proposed to apply a hard mask to a substrate of aluminum nitrite or sapphire and to introduce a trench into this hard mask and the substrate by etching. The width should be 20 nm to 100 nm. By introducing gallium nitrite into this trench and subsequently removing the hard mask, a nanowire of GaN partially embedded in the substrate is formed, in which a one-dimensional electron gas can form.

Nachteilig an diesem Stand der Technik ist jedoch, dass das Halbleitermaterial aus einer Vielzahl von Kristalliten mit dazwischen liegenden Korngrenzen zusammengesetzt ist. Die Korngrenzen bilden dabei unerwünschte Störstellen, welche den Ladungsträgertransport innerhalb des Halbleitermaterials behindern und/oder Zentren für die Rekombination von Nichtgleichgewichtsladungsträgern bilden. Die Leistungsfähigkeit dieser vorbekannten Halbleiterbauelemente ist daher herabgesetzt.adversely However, in this prior art is that the semiconductor material from a multitude of crystallites with intervening grain boundaries is composed. The grain boundaries form undesirable Defects that the carrier transport hinder within the semiconductor material and / or centers for the recombination of nonequilibrium carriers form. The performance of these prior art semiconductor devices is therefore reduced.

Ausgehend von diesem Stand der Technik liegt der Erfindung daher die Aufgabe zugrunde, niedrigdimensionale Halbleiterstrukturen, insbesondere Nanodrähte, zur Verfügung zu stellen, welche einen geringeren elektrischen Widerstand und/oder eine erhöhte Ladungsträgerbeweglichkeit und/oder eine erhöhte Lebensdauer der Ladungsträger aufweisen.outgoing From this prior art, the invention is therefore the task underlying, low-dimensional semiconductor structures, in particular Nanowires, which provide one lower electrical resistance and / or increased Charge carrier mobility and / or increased Have life of the charge carriers.

Die Aufgabe wird erfindungsgemäß gelöst durch ein Verfahren zur Herstellung eines Halbleiterbauelements, in welchen ein eindimensionales Elektronengas ausbildbar ist, welches die folgenden Schritte enthält: Bereitstellen eines Substrats mit einer ersten Oberfläche; Abscheiden einer Maskierungsschicht mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der Maskierungsschicht auf der ersten Oberfläche des Substrats angeordnet ist; Einbringen von mindestens einem Graben in die Maskierungsschicht, welcher bis zur ersten Oberfläche des Substrats reicht; Einbringen eines Halbleitermaterials in den mindestens einen Graben und Entfernen der ersten Maskierungsschicht.The The object is achieved by a method of manufacturing a semiconductor device in which a one-dimensional electron gas can be formed, which is the following steps includes: providing a substrate with a first one Surface; Depositing a masking layer with a first surface and a second surface, wherein the second surface of the masking layer on the first surface of the substrate is disposed; bring at least one trench in the masking layer, which is up to the first surface of the substrate is sufficient; Introducing a Semiconductor material in the at least one trench and removing the first masking layer.

Weiterhin besteht die Lösung der Aufgabe in einem Halbleiterbauelement, welches durch die folgenden Schritte erhältlich ist: Bereitstellen eines Substrats mit einer ersten Oberfläche; Abscheiden einer Maskierungsschicht mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der Maskierungsschicht auf der ersten Oberfläche des Substrats angeordnet ist; Einbringen von mindestens einem Graben in die Maskierungsschicht, welcher bis zur ersten Oberfläche des Substrats reicht; Einbringen eines Halbleitermaterials in den mindestens einen Graben und Entfernen der ersten Maskierungsschicht.Farther is the solution of the problem in a semiconductor device, which is available through the following steps: Provide a substrate having a first surface; Separating one Masking layer having a first surface and a second surface, wherein the second surface the masking layer on the first surface of the substrate is arranged; Introducing at least one trench into the masking layer, which extends to the first surface of the substrate; Introducing a semiconductor material into the at least one trench and removing the first masking layer.

Erfindungsgemäß wurde erkannt, dass die Kristallqualität eines niedrigdimensionalen Halbleitermaterials, wie beispielsweise eines Nanodrahtes, gegenüber dem Stand der Technik verbessert werden kann, wenn das Halbleitermaterial im Wesentlichen auf der Oberfläche des Substrats angeordnet und nicht im Substrat vergraben ist. Weiterhin ermöglicht die erfindungsgemäß vorgeschlagene, planare Geometrie des Halbleiterbauelementes die Verwendung üblicher Herstellungsverfahren, um Halbleiterbauelemente mit Nanodrähten zu produzieren. Dadurch erleichtert die planare Geometrie der erfindungsgemäß vorgeschlagenen Halbleiterbauelemente die Kontaktierung der Nanodrähte sowie deren Verbindung untereinander und/oder deren Verbindung mit weiteren, monolithisch auf demselben Substrat integrierten Bauelementen, auch solchen, in welchen sich kein eindimensionales Elektronengas ausbildet.According to the invention was recognized that the crystal quality of a low-dimensional Semiconductor material, such as a nanowire, opposite The prior art can be improved if the semiconductor material arranged substantially on the surface of the substrate and not buried in the substrate. Furthermore, the proposed according to the invention, planar geometry the semiconductor device, the use of conventional manufacturing methods, to produce semiconductor devices with nanowires. This facilitates the planar geometry of the inventively proposed Semiconductor devices contacting the nanowires as well as their connection with each other and / or their connection with further, monolithically integrated on the same substrate components, even those in which there is no one-dimensional electron gas formed.

Völlig überraschend hat sich gezeigt, dass der räumliche Einschluss des Halbleitermaterials in den Gräben der Maskierungsschicht zu einer Verbesserung der Kristallqualität des Halbleitermaterials führt. Das erfindungsgemäß verwendete Substrat kann beispielsweise Silizium, Siliziumkarbid, Saphir, Diamant, Magnesiumoxid oder Zinkoxid enthalten. Die Maskierungsschicht enthält bevorzugt SixNy und/oder SiO2.Quite surprisingly, it has been found that the spatial confinement of the semiconductor material in the trenches of the masking layer leads to an improvement in the crystal quality of the semiconductor material. The substrate used according to the invention may contain, for example, silicon, silicon carbide, sapphire, diamond, magnesium oxide or zinc oxide. The masking layer preferably contains Si x N y and / or SiO 2 .

Das erfindungsgemäß verwendete Halbleitermaterial enthält bevorzugt einen III-V-Halbleiter, beispielsweise InN, GaN, AlInGaN oder auch Elementhalbleiter wie beispielsweise Silizium oder Germanium.The semiconductor material used according to the invention preferably contains a III-V semiconductor, For example, InN, GaN, AlInGaN or elemental semiconductors such as silicon or germanium.

Das Einbringen von mindestens einem Graben in die Maskierungsschicht kann in einer Ausführungsform der Erfindung mittels Elektronenstrahllithographie und/oder UV-Lithographie und/oder einem Nanodruckverfahren erfolgen. Hierzu kann ein Fotolack verwendet werden, welcher in einem nachfolgenden trocken- oder nasschemischen Ätzschritt Teilflächen der Maskierungsschicht vor dem Angriff des Ätzmittels schützt.The Introducing at least one trench into the masking layer may in one embodiment of the invention by means of electron beam lithography and / or UV lithography and / or a nanoprinting process. For this purpose, a photoresist can be used, which in a subsequent dry or wet-chemical etching step partial surfaces protects the masking layer from the attack of the etchant.

In einer Weiterbildung der Erfindung kann vor dem Abscheiden der Maskierungsschicht eine Isolationsschicht mit einer ersten Seite und einer zweiten Seite abgeschieden werden, wobei die zweite Seite der Isolationsschicht auf der ersten Seite des Substrats angeordnet ist und die zweite Seite der Maskierungsschicht auf der ersten Seite der Isolationsschicht angeordnet ist. Bei dieser Ausführungsform der Erfindung ist das Halbleitermaterial bzw. der Nanodraht vom Substrat getrennt, so dass der Einfluss des Substrats auf die Kristallstruktur und/oder die elektrischen Eigenschaften des Halbleitermaterials verringert werden kann. Die Isolationsschicht kann dabei eine Dicke von etwa 100 nm bis etwa 10 μm aufweisen. Die Isolationsschicht kann beispielsweise AlN, AlGaN, AlInN, GaN, Al2O3, SiC oder Diamant enthalten. Bevorzugt ist die Isolationsschicht nominal undotiert, was jedoch nicht ausschließt, dass Fremdatome in der Schicht nachweisbar sein können, beispielsweise als unvermeidbare Verunreinigungen. Die Isolationsschicht kann elektrisch isolierend oder semi-isolierend ausgebildet sein. Die Isolationsschicht kann heteroepitaktisch oder homoepitaktisch auf dem Substrat abgeschieden werden. Auf diese Weise kann eine Oberfläche mit gegenüber der Oberfläche des Substrats verbesserter Qualität zur Aufnahme des Halbleitermaterials bereitgestellt werden. Auf diese Weise kann die Kristallqualität des Halbleitermaterials weiter gesteigert werden.In one development of the invention, an insulating layer having a first side and a second side can be deposited before the deposition of the masking layer, wherein the second side of the insulating layer is arranged on the first side of the substrate and the second side of the masking layer on the first side of the insulating layer is arranged. In this embodiment of the invention, the semiconductor material or the nanowire is separated from the substrate, so that the influence of the substrate on the crystal structure and / or the electrical properties of the semiconductor material can be reduced. The insulating layer may have a thickness of about 100 nm to about 10 microns. The insulating layer may contain, for example, AlN, AlGaN, AlInN, GaN, Al 2 O 3 , SiC or diamond. Preferably, the insulating layer is nominally undoped, but this does not preclude that impurities in the layer may be detectable, for example, as unavoidable impurities. The insulating layer may be formed electrically insulating or semi-insulating. The insulating layer can be deposited heteroepitactically or homoepitaxially on the substrate. In this way, a surface with improved quality with respect to the surface of the substrate for receiving the semiconductor material can be provided. In this way, the crystal quality of the semiconductor material can be further increased.

In einer Weiterbildung der Erfindung kann vorgesehen sein, dass nach dem Entfernen der Maskierungsschicht eine unterhalb des Halbleitermaterials liegende Teilfläche des Substrats und/oder der Isolationsschicht entfernt wird. Auf diese Weise wird das Halbleitermaterial zumindest abschnittsweise freigestellt, so dass der Nanodraht in diesem Abschnitt keinen Kontakt zum Substrat bzw. zur Isolationsschicht mehr aufweist.In a development of the invention can be provided that after removing the masking layer one below the semiconductor material lying partial surface of the substrate and / or the insulating layer Will get removed. In this way, the semiconductor material is at least partially released, leaving the nanowire in this section has no contact with the substrate or the insulating layer more.

In einer anderen Ausführungsform der Erfindung kann vorgesehen sein, dass nach der Entfernung der Maskierungsschicht eine Trennstelle in das zusammenhängende Halbleitermaterial des Nanodrahtes eingebracht wird. Die Trennstelle kann beispielsweise durch Materialabtrag mit einem fokussierten Ionenstrahl eingebracht werden. Insbesondere kann die Trennstelle eine Breite von 10 nm bis etwa 100 nm aufweisen. Die Trennstelle kann beispielsweise dazu verwendet werden, einen isolierenden Bereich zwischen zwei Halbleitermaterialien vorzusehen. Hierzu kann die Trennstelle mit einem dielektrischen Festkörper oder einem dielektrischen Gas aufgefüllt sein.In Another embodiment of the invention may be provided be that after the removal of the masking layer, a separation point in the coherent semiconductor material of the nanowire is introduced. The separation point can, for example, by material removal be introduced with a focused ion beam. Especially For example, the separation site may have a width of 10 nm to about 100 nm. The separation point can be used, for example, a provide insulating area between two semiconductor materials. For this purpose, the separation point with a dielectric solid or a dielectric gas filled.

Sofern zumindest eine Teilfläche des Substrats und/oder der Isolationsschicht unterhalb des Nanodrahtes entfernt und eine Trennstelle in das Halbleitermaterial eingebracht wurde, kann in einer Ausführungsform der Erfindung zumindest ein Teilabschnitt des Nanodrahtes mechanisch bewegbar ausgeführt sein. In einer Weiterbildung der Erfindung kann die aktuelle Position eines solchen Nanodrahtes bestimmt und/oder beeinflusst werden. Dies kann beispielsweise durch eine kapazitive Anregung und/oder eine kapazitive Abstandsmessung erfolgen. Auf diese Weise kann das erfindungsgemäße Halbleiterbauelement eine Nanowaage und/oder ein mechanisch bewegbares Schaltelement enthalten.Provided at least a partial surface of the substrate and / or the insulating layer removed below the nanowire and a point of separation into the semiconductor material may be incorporated in one embodiment of the invention at least a portion of the nanowire mechanically movable be executed. In a further development of the invention determines the current position of such a nanowire and / or to be influenced. This can be done for example by a capacitive Excitation and / or a capacitive distance measurement done. On this way, the semiconductor device according to the invention a nanobay and / or a mechanically movable switching element contain.

Eine Weiterbildung der Erfindung kann vorsehen, nach dem Aufbringen zumindest eines Nanodrahtes in einer ersten Strukturierungsebene weitere Nanodrähte in weiteren Strukturierungsebenen aufzubringen, wobei die einzelnen Strukturierungsebenen durch Isolationsschichten voneinander getrennt sein können. Auf diese Weise können dreidimensionale Strukturen erzeugt werden, wie beispielsweise photonische Kristalle, mehrlagige nanoelektromechanische Systeme oder dreidimensional strukturierte elektronische Bauelemente.A Development of the invention may provide at least after application a nanowire in a first structuring level more nanowires in other structuring levels, with the individual Structuring levels be separated by insulation layers can. In this way, can be three-dimensional Structures are generated, such as photonic crystals, multilayer nanoelectromechanical systems or three-dimensionally structured Electronic Components.

Nachfolgend soll die Erfindung anhand von Figuren ohne Beschränkung des allgemeinen Erfindungsgedankens näher erläutert werden. Dabei zeigen diefollowing the invention is based on figures without limitation of the general inventive idea explained in more detail become. The show

1 bis 3 Ansichten eines Ausschnittes eines Halbleitersubstrats, nachdem einige Verfahrensschritte des erfindungsgemäß vorgeschlagenen Herstellungsverfahrens durchgeführt wurden. 1 to 3 Views of a section of a semiconductor substrate, after some process steps of the inventively proposed manufacturing method have been performed.

4 zeigt einen Querschnitt durch einen Teil eines erfindungsgemäß vorgeschlagenen Halbleiterbauelements. 4 shows a cross section through a portion of a semiconductor device proposed according to the invention.

5 und 6 zeigt ein Ausführungsbeispiel eines Halbleiterbauelements, welches einen beweglichen Nanodraht aufweist. 5 and 6 shows an embodiment of a semiconductor device having a movable nanowire.

7 zeigt ein Ausführungsbeispiel eines erfindungsgemäßen Halbleiterbauelements, welches einen planaren Feldeffekttransistor enthält. 7 shows an embodiment of a semiconductor device according to the invention, which contains a planar field effect transistor.

1 zeigt eine Ansicht eines Halbleiterbauelementes 10 mit einem Halleitersubstrats 11. Das Substrat 11 kann beispielsweise Silizium, Siliziumkarbid, Saphir, Diamant, Magnesiumoxid oder Zinkoxid enthalten. Das Substrat 11 kann ein einkristallines Substrat sein. In anderen Ausführungsformen der Erfindung kann das Substrat 11 jedoch auch amorph oder polykristallin sein. Das Substrat 11 weist bevorzugt eine Dicke von etwa 100 μm bis etwa 1 mm auf. Das Substrat 11 kann weitere chemische Elemente enthalten, insbesondere Dotierstoffe zum Einstellen einer vorgebbaren elektrischen Leitfähigkeit. Insbesondere kann als Dotierstoff Bor, Aluminium, Gallium, Stickstoff, Phosphor oder Arsen verwendet werden. Weiterhin kann das Material des Substrats 11 unvermeidbare Verunreinigungen enthalten, welche beim Herstellungsprozess, beim Polieren oder bei der Lagerung des Substrats 11 in dieses oder auf dessen Oberfläche eingebracht werden. Die Verunreinigungen können insbesondere Sauerstoff, Wasserstoff, Kohlenstoff, Kohlenwasserstoffe oder Wasser umfassen. 1 shows a view of a semiconductor device 10 with a semiconductor substrate 11 , The substratum 11 For example, it may contain silicon, silicon carbide, sapphire, diamond, magnesia or zinc oxide. The substrate 11 may be a monocrystalline substrate. In other embodiments of the invention, the substrate 11 but also be amorphous or polycrystalline. The substrate 11 preferably has a thickness of about 100 microns to about 1 mm. The substrate 11 may contain further chemical elements, in particular dopants for setting a predeterminable electrical conductivity. In particular, boron, aluminum, gallium, nitrogen, phosphorus or arsenic can be used as the dopant. Furthermore, the material of the substrate 11 contain unavoidable impurities during the manufacturing process, during polishing or during storage of the substrate 11 be introduced into this or on its surface. The impurities may in particular comprise oxygen, hydrogen, carbon, hydrocarbons or water.

In der dargestellten Ausführungsform wird auf die Oberfläche des Substrats 11 eine optionale Isolationsschicht 12 aufgebracht. Die Isolationsschicht kann beispielsweise aus einer aktivierten Gasphase abgeschieden werden, beispielsweise mittels chemical vapour deposition. In einer anderen Ausführungsform kann die Isolationsschicht 12 auch mittels eines Sputterverfahrens auf die Oberfläche des Substrats 11 aufgebracht werden. In wiederum einer anderen Ausführungsform der Erfindung kann die Isolationsschicht 12 auch entfallen.In the illustrated embodiment, the surface of the substrate 11 an optional insulation layer 12 applied. The insulating layer can be deposited, for example, from an activated gas phase, for example by means of chemical vapor deposition. In another embodiment, the insulating layer 12 also by means of a sputtering process on the surface of the substrate 11 be applied. In yet another embodiment of the invention, the insulating layer 12 also omitted.

Die Isolationsschicht 12 kann beispielsweise undotiertes Aluminiumnitrit, Aluminiumgalliumnitrit, Aluminiumindiumnitrit, Galliumnitrit, Saphir oder Diamant enthalten. In anderen Ausführungsformen der Erfindung kann die Isolationsschicht 12 auch semi-isolierendes Siliziumkarbid enthalten. Die Isolationsschicht 12 kann homoepitaktisch oder heteroepitaktisch auf das Substrat 11 aufgebracht werden. Die Isolationsschicht 12 kann weitere chemische Elemente enthalten, insbesondere Dotierstoffe zum Einstellen einer vorgebbaren elektrischen Leitfähigkeit. Insbesondere kann als Dotierstoff Bor, Aluminium, Gallium, Stickstoff, Phosphor oder Arsen verwendet werden. Weiterhin kann das Material der Isolationsschicht 12 unvermeidbare Verunreinigungen enthalten, welche beim Herstellungsprozess, beim Polieren oder bei der Lagerung des Substrats 11 in die Isolationsschicht 12 oder auf deren Oberfläche eingebracht werden. Die Verunreinigungen können insbesondere Sauerstoff, Wasserstoff, Kohlenstoff, Kohlenwasserstoffe oder Wasser umfassen.The insulation layer 12 For example, it may contain undoped aluminum nitrite, aluminum gallium nitrite, aluminum indium nitrite, gallium nitrite, sapphire or diamond. In other embodiments of the invention, the insulating layer 12 also contain semi-insulating silicon carbide. The insulation layer 12 can be homoepitactic or heteroepitactic on the substrate 11 be applied. The insulation layer 12 may contain further chemical elements, in particular dopants for setting a predeterminable electrical conductivity. In particular, boron, aluminum, gallium, nitrogen, phosphorus or arsenic can be used as the dopant. Furthermore, the material of the insulating layer 12 contain unavoidable impurities during the manufacturing process, during polishing or during storage of the substrate 11 in the insulation layer 12 or placed on the surface thereof. The impurities may in particular comprise oxygen, hydrogen, carbon, hydrocarbons or water.

Die Isolationsschicht 12 kann in einigen Ausführungsformen der Erfindung eine Dicke von etwa 100 nm bis etwa 1 μm aufweisen. Die Kristallorientierung der Oberfläche der Isolationsschicht 12 kann dergestalt gewählt sein, dass die Kristallisation eines Halbleitermaterials auf der dem Substrat 11 abgewandten Oberfläche 25 der Isolationsschicht 12 beeinflusst wird. Bevorzugt, aber nicht zwingend, ist die Kristallstruktur der Isolationsschicht 12 zumindest bereichsweise einkristallin.The insulation layer 12 In some embodiments of the invention, it may have a thickness of about 100 nm to about 1 μm. The crystal orientation of the surface of the insulation layer 12 may be chosen such that the crystallization of a semiconductor material on the substrate 11 remote surface 25 the insulation layer 12 being affected. Preferably, but not necessarily, is the crystal structure of the insulating layer 12 at least partially monocrystalline.

Im dargestellten Ausführungsbeispiel sollen auf der Oberfläche der Isolationsschicht 12 zwei Nanodrähte erzeugt werden. Unter einem Nanodraht im Sinne der vorliegenden Erfindung wird ein Halbleitermaterial verstanden, dessen geometrische Ausdehnung dergestalt gewählt ist, dass die Wellenfunktionen der Elektronen in zwei Raumrichtungen quantisiert sind. In der dritten Raumrichtung sind die Elektronen beweglich, so dass sich ein eindimensionales Elektronengas ausbilden kann.In the illustrated embodiment, on the surface of the insulating layer 12 two nanowires are generated. For the purposes of the present invention, a nanowire is understood to mean a semiconductor material whose geometrical extent is selected such that the wave functions of the electrons are quantized in two spatial directions. In the third spatial direction, the electrons are mobile, so that a one-dimensional electron gas can form.

Zur Erzeugung der Nanodrähte wird eine Maskierungsschicht 13 auf die Oberfläche der Isolationsschicht 12 aufgebracht. Die Maskierungsschicht 13 kann beispielsweise im Wesentlichen aus Siliziumnitrit, Siliziumoxid oder Siliziumoxinitrit bestehen. Auch die Maskierungsschicht kann daneben weitere Elemente zur Dotierung und/oder Verunreinigungen enthalten. Die Maskierungsschicht 13 kann beispielsweise durch Sputtern abgeschieden werden. In weiteren Ausführungsformen der Erfindung kann die Maskierungsschicht durch Abscheiden einer Siliziumschicht und nachfolgendes Tempern in einer sauerstoff- und/oder stickstoffhaltigen Atmosphäre erzeugt werden. Die Maskierungsschicht 13 kann dieselbe Dicke aufweisen, welche auch der herzustellende Nanodraht aufweisen soll. Insbesondere kann die Maskierungsschicht 13 daher eine Dicke von 20 nm bis 110 nm aufweisen.To create the nanowires, a masking layer is formed 13 on the surface of the insulation layer 12 applied. The masking layer 13 For example, it may consist essentially of silicon nitrite, silicon oxide or silicon oxynitrite. The masking layer may also contain further elements for doping and / or impurities. The masking layer 13 can be deposited by sputtering, for example. In further embodiments of the invention, the masking layer may be formed by depositing a silicon layer and then annealing in an oxygen and / or nitrogen-containing atmosphere. The masking layer 13 may have the same thickness as the nanowire to be produced. In particular, the masking layer 13 therefore have a thickness of 20 nm to 110 nm.

In die Maskierungsschicht 13 sind zwei Gräben 14a und 14b eingebracht. Die Gräben 14a und 14b befinden sich dabei in denjenigen Oberflächenbereichen der Isolationsschicht 12, in welchen ein Nanodraht erzeugt werden soll. Es ist darauf hinzuweisen, dass die parallele Ausrichtung der zwei Gräben 14a und 14b lediglich beispielhaft gewählt ist. In anderen Ausführungsformen der Erfindung können die Gräben 14 eine andere Geometrie aufweisen. Insbesondere können die Gräben 14 sich auch schneiden oder ein beliebiges anderes, regelmäßiges oder unregelmäßiges Muster auf der Oberfläche des Substrates 11 bzw. der Oberfläche der Isolationsschicht 12 bilden.Into the masking layer 13 are two trenches 14a and 14b brought in. The trenches 14a and 14b are located in those surface areas of the insulation layer 12 in which a nanowire is to be generated. It should be noted that the parallel alignment of the two trenches 14a and 14b is chosen only as an example. In other embodiments of the invention, the trenches 14 have a different geometry. In particular, the trenches 14 also cut or any other, regular or irregular pattern on the surface of the substrate 11 or the surface of the insulation layer 12 form.

Die Gräben 14 weisen eine Breite von etwa 20 nm bis etwa 110 nm, insbesondere eine Breite von 40 nm bis etwa 100 nm auf. Auf diese Weise ist sichergestellt, dass der in den Gräben 14 erzeugte Nanodraht dazu geeignet ist, die elektronische Wellenfunktion entlang seiner Breite zu quantisieren.The trenches 14 have a width of about 20 nm to about 110 nm, in particular a width of 40 nm to about 100 nm. In this way it is ensured that in the trenches 14 produced nanowire is suitable to quantize the electronic wave function along its width.

Das Einbringen von mindestens einem Graben 14 in die Maskierungsschicht 13 erfolgt bevorzugt durch nass- oder trockenchemisches Ätzen der Maskierungsschicht 13. Hierzu werden diejenigen Flächenbereiche der Maskierungsschicht 13, welche vor dem Angriff des Ätzmaterials geschützt werden sollen, mit einem Photolack geschützt. Der Photolack kann beispielsweise mittels eines Spin-Coating-Verfahrens auf die vom Substrat 11 abgewandte Seite der Maskierungsschicht 13 aufgebracht werden. Im Anschluss daran wird der Photolack in denjenigen Flächenbereichen, in welchen ein Graben 14a oder 14b eingebracht werden soll, entfernt. Die kann beispielsweise mittels Elektronenstrahllithographie, UV-Lithographie, einem Nanodruckverfahren oder einem anderen, aus der planaren Halbleitertechnologie bekannten Strukturierungsverfahren erfolgen.The introduction of at least one trench 14 in the masking layer 13 takes place before zugt by wet or dry chemical etching of the masking layer 13 , For this purpose, those surface areas of the masking layer 13 which are to be protected from the attack of the etching material, protected with a photoresist. The photoresist, for example, by means of a spin coating method on the substrate 11 opposite side of the masking layer 13 be applied. Following this, the photoresist is in those surface areas in which a trench 14a or 14b should be introduced, removed. This can be done, for example, by means of electron beam lithography, UV lithography, a nano printing process or another patterning process known from planar semiconductor technology.

Das Ätzen der Maskierungsschicht 13 wird so gesteuert, dass ein Angriff auf die Isolationsschicht 12 bzw. die Oberfläche des Substrates 11 weitgehend unterbleibt. Dies schließt nicht aus, dass einzelne Atomlagen der Isolationsschicht 12 beim Ätzschritt mit entfernt werden. Jedoch bleibt der Graben 14 im Wesentlichen auf die Maskierungsschicht 13 beschränkt. Bei Ausführungsformen, welche auf die Isolationsschicht 12 verzichten und die Maskierungsschicht 13 unmittelbar auf die Oberfläche des Substrats 11 aufbringen, gilt dies mutatis mutandis für die Oberfläche des Substrats 11.The etching of the masking layer 13 is controlled so that an attack on the insulation layer 12 or the surface of the substrate 11 largely omitted. This does not exclude that individual atomic layers of the insulation layer 12 be removed in the etching step with. However, the ditch remains 14 essentially to the masking layer 13 limited. In embodiments, which on the insulation layer 12 dispense and the masking layer 13 directly on the surface of the substrate 11 apply mutatis mutandis for the surface of the substrate 11 ,

2 zeigt das Halbleiterbauelement 10 gemäß 1, nach dem in die Gräben 14a und 14b jeweils ein Halbleitermaterial 15a und 15b eingebracht wurde. Das Halbleitermaterial enthält dabei bevorzugt einen III-V-Halbleiter, beispielsweise InN, GaN, AlInGaN oder einen Elementhalbleiter, insbesondere Silizium oder Germanium. Das Halbleitermaterial kann dotiert sein, um eine vorgebbare Leitfähigkeit einzustellen oder unvermeidbare Verunreinigungen aufweisen. Die Erfindung lehrt nicht die Verwendung eines bestimmten Halbleitermaterials als Lösungsprinzip. 2 shows the semiconductor device 10 according to 1 after which in the trenches 14a and 14b each a semiconductor material 15a and 15b was introduced. The semiconductor material preferably contains a III-V semiconductor, for example InN, GaN, AlInGaN or an element semiconductor, in particular silicon or germanium. The semiconductor material may be doped to adjust a predetermined conductivity or have unavoidable impurities. The invention does not teach the use of a particular semiconductor material as a solution principle.

Das Halbleitermaterial wird bevorzugt mittels einer Gasphasenabscheidung in die Gräben 14 eingebracht. Insbesondere eignet sich zur Abscheidung des Halbleitermaterials ein CVD-, ein MOCVD- oder ein MOVPE-Verfahren.The semiconductor material is preferably by means of a vapor deposition in the trenches 14 brought in. In particular, a CVD, an MOCVD or an MOVPE method is suitable for depositing the semiconductor material.

Das Halbleitermaterial 15 kann den Graben 14 vollständig oder teilweise ausfüllen oder zum Ende des Abscheideprozesses über die Oberfläche 27 der Maskierungsschicht 13 hinausragen. In diesem Fall kann fallweise ein weiterer Polier- und/oder Ätzschritt erfolgen, um die Oberfläche des Halbleitermaterials 15a und 15b mit der Oberfläche 25 der Maskierungsschicht 13 bündig zu schleifen.The semiconductor material 15 can the ditch 14 completely or partially fill or at the end of the deposition process over the surface 27 the masking layer 13 protrude. In this case, a further polishing and / or etching step can occasionally take place around the surface of the semiconductor material 15a and 15b with the surface 25 the masking layer 13 to grind flush.

Im Anschluss an diesen Verfahrensschritt kann die Maskierungsschicht 13 von der Oberfläche 25 der Isolationsschicht 12 entfernt werden. Dies kann beispielsweise mittels eines nasschemischen oder eines trockenchemischen Ätzschrittes erfolgen. Insbesondere eignet sich zur Entfernung der Maskierungsschicht 13 reaktives Ionenätzen, beispielsweise unter dem Einsatz von Argon-Ionen.Following this process step, the masking layer 13 from the surface 25 the insulation layer 12 be removed. This can be done, for example, by means of a wet-chemical or a dry-chemical etching step. In particular, it is suitable for removing the masking layer 13 reactive ion etching, for example with the use of argon ions.

Nach dem Entfernen der Maskierungsschicht 13 erhält das Halbleiterbauelement 10 das in 3 dargestellte Aussehen. Das Halbleitermaterial bildet dann jeweils einen Nanodraht 15a bzw. 15b, in welchem sich aufgrund des räumlichen Einschlusses der Ladungsträger in zwei Raumrichtungen ein eindimensionales Elektronengas ausbilden kann. Die Nanodrähte 15a und 15b sind exponiert auf der Oberfläche 25 der Isolationsschicht 12 angeordnet. Auf diese Weise können die Nanodrähte 15a und 15b in einfacher Weise kontaktiert und mit weiteren, an sich bekannten Bauelementen monolithisch auf demselben Halbleitersubstrat 11 integriert werden. Das erfindungsgemäße Verfahren erlaubt in besonders einfacher Weise die Herstellung von Nanodrähten mit üblichen Prozesstechniken, so dass das erfindungsgemäß vorgeschlagene Verfahren mit geringem Aufwand in eine bestehende Halbleiterfertigung integriert werden kann.After removing the masking layer 13 receives the semiconductor device 10 this in 3 illustrated appearance. The semiconductor material then forms a nanowire in each case 15a respectively. 15b in which a one-dimensional electron gas can form in two spatial directions due to the spatial confinement of the charge carriers. The nanowires 15a and 15b are exposed on the surface 25 the insulation layer 12 arranged. In this way, the nanowires can 15a and 15b contacted in a simple manner and monolithically with other devices known per se on the same semiconductor substrate 11 to get integrated. The inventive method allows in a particularly simple manner, the production of nanowires with conventional process techniques, so that the inventively proposed method can be integrated with little effort into an existing semiconductor manufacturing.

4 zeigt einen Ausschnitt des Halbleiterbauelements 10 im Querschnitt. In 4 ist das Substrat 11 mit der darauf angeordneten Isolationsschicht 12 dargestellt. In der Darstellung gemäß 4 wurde die Maskierungsschicht 13 bereits entfernt, so dass der Nanodraht 15 freistehend auf der Oberfläche 25 der Isolationsschicht 12 angeordnet ist. Da das Ätzen der Gräben 14 in der Maskierungsschicht 13 bei Erreichen der Oberfläche 25 gestoppt wurde, ist der Nanodraht 15 nicht bzw. nicht wesentlich in die Isolationsschicht 12 eingebettet. Auf diese Weise kann die Kristallqualität des Halbleitermaterials des Nanodrahtes 15 wunschgemäß erhöht werden. 4 shows a section of the semiconductor device 10 in cross section. In 4 is the substrate 11 with the insulation layer arranged thereon 12 shown. In the illustration according to 4 became the masking layer 13 already removed, leaving the nanowire 15 freestanding on the surface 25 the insulation layer 12 is arranged. Because the etching of the trenches 14 in the masking layer 13 when reaching the surface 25 stopped, is the nanowire 15 not or not essential in the insulation layer 12 embedded. In this way, the crystal quality of the semiconductor material of the nanowire 15 be increased as desired.

Weiterhin ist im Querschnitt ein Kontaktelement 18 sichtbar. Das Kontaktelement 18 ist dazu eingerichtet, an der Oberfläche 26 des Nanodrahtes 15 einen elektrischen Stromfluss zwischen dem Nanodraht 15 und dem Kontaktelement 18 zu ermöglichen. Insbesondere bildet das Kontaktelement 18 an der Oberfläche 26 einen ohmschen Kontakt bzw. einen pseudoohmschen Kontakt aus. In anderen Ausführungsformen der Erfindung kann das Kontaktelement 18 einen Schottky-Kontakt bilden. Das Material des Kontaktelementes 18 wird dabei in an sich bekannter Weise in Abhängigkeit des für den Nanodraht 15 verwendeten Halbleitermaterials so gewählt, dass sich das gewünschte Verhalten des Kontaktelementes 18 einstellt. Beispielsweise kann das Kontaktelement 18 Titan oder Aluminium oder Gold oder eine Legierung dieser Metalle enthalten, wenn der Nanodraht 15 GaN enthält.Furthermore, in cross section, a contact element 18 visible, noticeable. The contact element 18 is set to surface 26 of the nanowire 15 an electrical current flow between the nanowire 15 and the contact element 18 to enable. In particular, the contact element forms 18 on the surface 26 an ohmic contact or a pseudo-ohmic contact. In other embodiments of the invention, the contact element 18 form a Schottky contact. The material of the contact element 18 is doing in a conventional manner depending on the for the nanowire 15 used semiconductor material chosen so that the desired behavior of the contact element 18 established. For example, the contact element 18 Titanium or aluminum or gold or an alloy of these metals when the nanowire 15 GaN contains.

5 zeigt das Halbleiterbauelement 10 aus 3, nachdem weitere Verfahrensschritte des vorgeschlagenen Herstellungsverfahrens ausgeführt wurden. Insbesondere wurde eine Teilfläche 16 der Isolationsschicht 12 entfernt. Dies kann beispielsweise durch nasschemisches oder trockenchemisches Ätzen erfolgen, nachdem eine zur Teilfläche 16 komplementäre Fläche durch eine nicht dargestellte Maskierungsschicht vor dem Angriff des Ätzmaterials geschützt wurde. Durch das Entfernen der Isolationsschicht 12 ergibt sich im Bereich der Teilfläche 16 eine Freistellung des Nanodrahtes 15a. Der Nanodraht 15b liegt hingegen noch über seine gesamte Länge auf der Oberfläche 25 der Isolationsschicht 12 auf. 5 shows the semiconductor device 10 out 3 after further process steps of the proposed manufacturing process have been carried out. In particular, a partial area 16 the insulation layer 12 away. This can be done, for example, by wet-chemical or dry-chemical etching, after one to the partial surface 16 Complementary surface was protected by a masking layer, not shown, from the attack of the etching material. By removing the insulation layer 12 results in the area of the partial area 16 an exemption of the nanowire 15a , The nanowire 15b On the other hand, it still lies on the surface over its entire length 25 the insulation layer 12 on.

Ein solchermaßen freigestellter Nanodraht 24 kann durch Einbringen einer Trennstelle 17 zu einem frei beweglichen Element ausgebildet werden. Die Trennstelle 17 kann beispielsweise mittels eines fokussierten Ionenstrahls erzeugt werden, welcher das Material des Nanodrahtes 15a im Bereich der Trennstelle 17 abträgt. Alternativ kann die Trennstelle 17 auch durch einen Maskierungs- und Ätzschritt erzeugt werden.A thus-released nanowire 24 can by introducing a separation point 17 be formed into a freely movable element. The separation point 17 can be generated, for example, by means of a focused ion beam, which is the material of the nanowire 15a in the area of the separation point 17 erodes. Alternatively, the separation point 17 also be generated by a masking and etching step.

Die Bewegung des beweglichen Nanodrahtes 24 kann beispielsweise durch kapazitive Kopplung bestimmt und/oder kontrolliert werden. Hierzu können im Bereich der Aussparung 16 auf dem Substrat elektrisch leitfähige Elektroden angeordnet sein. Auf diese Weise kann der Nanodraht 24 beispielsweise als Nanowaage für anhaftende Moleküle verwendet werden. Durch Aufbringen von Linker-Molekülen auf die Oberfläche des Nanodrahtes 24 kann dabei ein selektiver Nachweis vorgebbarer Moleküle erfolgen. Aufgrund des erfindungsgemäß verkleinerten Verhältnisses von Volumen zu Oberfläche kann die Sensitivität beim Nachweis von Molekülen gegenüber dem Stand der Technik erhöht sein.The movement of the mobile nanowire 24 can for example be determined and / or controlled by capacitive coupling. This can be done in the area of the recess 16 be arranged on the substrate electrically conductive electrodes. In this way, the nanowire can 24 For example, be used as nanoscale for adherent molecules. By applying linker molecules to the surface of the nanowire 24 In this case, a selective detection of predeterminable molecules can take place. Due to the inventively reduced ratio of volume to surface, the sensitivity in the detection of molecules over the prior art may be increased.

In einer weiteren Ausführungsform der Erfindung kann der Nanodraht 24 als Wellenleiter ausgebildet sein, welcher ein optisches Signal in den feststehenden Abschnitt 15c einkoppelt. Durch Auslenken des beweglichen Nanodrahtes 24, beispielsweise mittels eines elektrischen Feldes, kann die Intensität des in den feststehenden Abschnitt 15c eingekoppelten Lichtes verringert werden. Auf diese Weise kann das erfindungsgemäß vorgeschlagene Halbleiterbauelement 10 einen Schalter bzw. eine Weiche für optische Signale enthalten.In a further embodiment of the invention, the nanowire 24 be formed as a waveguide, which is an optical signal in the fixed section 15c couples. By deflecting the movable nanowire 24 For example, by means of an electric field, the intensity of the in the fixed section 15c coupled light can be reduced. In this way, the inventively proposed semiconductor device 10 include a switch or switch for optical signals.

6 zeigt nochmals das Halbleiterbauelement 10 gemäß 5, nachdem eine Mehrzahl von Kontaktelementen 18a, 18b und 18c aufgebracht wurden. Die Kontaktelemente 18a, 18b und 18c dienen der elektrischen Kontaktierung des Nanodrahtes 15b bzw. 24. Hierzu wird für die Kontaktelemente 18a, 18b und 18c ein Metall bzw. eine Legierung gewählt, welche einen ohmschen Kontakt zum Halbleitermaterial der Nanodrähte 24 bzw. 15b ausbildet. 6 shows again the semiconductor device 10 according to 5 after a plurality of contact elements 18a . 18b and 18c were applied. The contact elements 18a . 18b and 18c serve the electrical contacting of the nanowire 15b respectively. 24 , This is done for the contact elements 18a . 18b and 18c a metal or alloy is chosen which makes an ohmic contact with the semiconductor material of the nanowires 24 respectively. 15b formed.

Die zur Aufnahme von Kontaktelementen 18 vorgesehenen Flächenbereiche werden mittels einer Maskierungsschicht abgedeckt. Hierfür kann beispielsweise eine Hartmaske oder ein Photolack verwendet werden. Die Kontaktelemente 18 werden dann in an sich bekannter Weise durch Sputtern, Aufdampfen oder galvanische Abscheidung auf die Oberfläche 25 der Isolationsschicht 12 aufgebracht. Die Kontaktierung der Nanodrähte kann somit mit bekannten Verfahren erfolgen, welche bei der Herstellung konventioneller Halbleiterbauelemente ebenso Verwendung finden.The for receiving contact elements 18 provided areas are covered by a masking layer. For this example, a hard mask or a photoresist can be used. The contact elements 18 are then in a conventional manner by sputtering, vapor deposition or electrodeposition on the surface 25 the insulation layer 12 applied. The contacting of the nanowires can thus be carried out by known methods, which are also used in the production of conventional semiconductor devices.

7 zeigt ein weiteres Ausführungsbeispiel eines erfindungsgemäß hergestellten Halbleiterbauelementes 10. Auch das Halbleiterbauelement gemäß 7 ist auf einem Substrat 11 aufgebaut. Auf dem Substrat 11 befindet sich wiederum eine Isolationsschicht 12, wie in Zusammenhang mit 1 beschrieben. Mittels einer nicht dargestellten Maskierungsschicht wurden zwei Nanodrähte 20 und 23 aufgebracht, welche in etwa rechtwinklig zueinander verlaufen. 7 shows a further embodiment of a semiconductor device according to the invention produced 10 , Also, the semiconductor device according to 7 is on a substrate 11 built up. On the substrate 11 again there is an insulation layer 12 , as related to 1 described. By means of a masking layer, not shown, were two nanowires 20 and 23 applied, which extend approximately at right angles to each other.

Zwischen der Stirnseite des ersten Nanodrahtes 23 und der Längserstreckung des zweiten Nanodrahtes 20 befindet sich eine Trennstelle 17. Die Trennstelle 17 kann entweder dadurch erzeugt werden, dass die zu den Nanodrähten korrespondierenden Gräben in der Maskierungsschicht 13 bis auf einen dünnen Steg ausgearbeitet werden, welcher die Größe der späteren Trennstelle 17 vorgibt. Auf diese Weise entsteht die Trennstelle 17 in einem Arbeitsgang bei der Entfernung der Maskierungsschicht. Alternativ können die Gräben auch ineinander übergehen, so dass nach der Entfernung der Maskierungsschicht die Nanodrähte 23 und 20 eine Verbindung miteinander eingehen. In diesem Fall kann die Trennstelle 17 durch nachträgliches Entfernen eines Teilstücks des Nanodrahtes 23 erzeugt werden. Hierzu eignet sich insbesondere der Abtrag des Halbleitermaterials des Nanodrahtes 23 mittels eines fokussierten Ionenstrahls.Between the front of the first nanowire 23 and the longitudinal extent of the second nanowire 20 there is a separation point 17 , The separation point 17 can be generated either by the trenches in the masking layer corresponding to the nanowires 13 are worked out to a thin bridge, which is the size of the later separation point 17 pretends. This creates the separation point 17 in one operation in the removal of the masking layer. Alternatively, the trenches may merge into one another such that after removal of the masking layer, the nanowires 23 and 20 connect with each other. In this case, the separation point 17 by subsequent removal of a portion of the nanowire 23 be generated. In particular, the removal of the semiconductor material of the nanowire is suitable for this purpose 23 by means of a focused ion beam.

Im nachfolgenden Verfahrensschritt wird der Nanodraht 23 mittels eines Kontaktelements 18 kontaktiert. Weiterhin wird der Nanodraht 20 mittels zweier Kontaktelemente 21 und 22 kontaktiert. Auf diese Weise wird auf der Oberfläche der Isolationsschicht 12 ein planarer Feldeffekttransistor ausgebildet. Dabei bilden die Kontakte 21 und 22 die Source- bzw. Drainkontakte des Transistors. Der Nanodraht 20 bildet den Kanal des Transistors, wobei sich aufgrund der Geometrie des Nanodrahtes 20 im Kanal ein eindimensionales Elektronengas ausbildet. Der Nanodraht 23 bildet die Gate-Elektrode, welche durch die Trennstelle 17 vom Kanal getrennt ist.In the subsequent process step, the nanowire 23 by means of a contact element 18 contacted. Furthermore, the nanowire becomes 20 by means of two contact elements 21 and 22 contacted. In this way, on the surface of the insulation layer 12 a planar field effect transistor is formed. Here are the contacts 21 and 22 the source and drain contacts of the transistor. The nanowire 20 forms the channel of the transistor, which is due to the geometry of the nanowire 20 in the channel forms a one-dimensional electron gas. The nanowire 23 forms the gate electrode, which passes through the separation point 17 is separated from the channel.

Ein solcher Feldeffekttransistor kann beispielsweise als Sensor verwendet werden, wenn die elektrischen Eigenschaften des Kanals 20 durch Moleküle verändert werden, welche an der Oberfläche des Nanodrahtes 20 chemisorbiert oder physisorbiert werden. Durch das Aufbringen von Linker-Molekülen auf die Oberfläche des Kanals 20 kann ein selektiver Nachweis vorgebbarer Moleküle erzielt werden. Gegenüber bekannten Sensoren kann auf diese Weise die Sensitivität und/oder die räumliche Auflösung erhöht sein.Such a field effect transistor can be used, for example, as a sensor if the electrical properties of the channel 20 be changed by molecules that are on the surface of the nanowire 20 chemisorbed or physisorbed. By applying linker molecules to the surface of the channel 20 a selective detection of predeterminable molecules can be achieved. Compared with known sensors, the sensitivity and / or the spatial resolution can be increased in this way.

In gleicher Weise wie vorstehend beschrieben können weitere Isolationsschichten mit weiteren, darauf aufgebrachten Nanodrähten erzeugt werden, um auf diese Weise eine Vielzahl von elektrischen und/oder mechanischen und/oder optischen Bauelementen übereinander herzustellen.In the same way as described above can further Insulation layers with further, applied thereto nanowires be generated in this way a variety of electrical and / or mechanical and / or optical components on top of each other manufacture.

Selbstverständlich ist die Erfindung nicht auf die dargestellten Ausführungsbeispiele beschränkt. Vielmehr können mit dem offenbarten Verfahren zur Herstellung von Nanodrähten eine Vielzahl unterschiedlicher elektromechanischer und/oder elektronischer Bauelemente oder Sensoren hergestellt werden, welche zumindest einen solchen Nanodraht enthalten. Daneben können die Bauelemente selbstverständlich weitere, an sich bekannte Strukturen enthalten. Die nachfolgenden Ansprüche sind daher so zu verstehen, dass ein genanntes Merkmal in zumindest einer Ausführungsform der Erfindung vorhanden ist. Dies schließt die Anwesenheit weiterer Merkmale nicht aus. Sofern die Ansprüche „erste” und „zweite” Merkmale definieren, so dient diese Bezeichnung der Unterscheidung zweier gleichartiger Merkmale, ohne eine Rangfolge festzulegen.Of course the invention is not limited to the illustrated embodiments limited. Rather, can be revealed with the Method of making nanowires a variety different electromechanical and / or electronic components or sensors are made, which at least one such Contain nanowire. In addition, the components can of course contain further structures known per se. The following Claims are therefore to be understood that a named Feature in at least one embodiment of the invention is available. This does not exclude the presence of further features out. If the claims "first" and "second" features define, this designation serves the distinction of two similar characteristics without setting a ranking.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list The documents listed by the applicant have been automated generated and is solely for better information recorded by the reader. The list is not part of the German Patent or utility model application. The DPMA takes over no liability for any errors or omissions.

Zitierte Nicht-PatentliteraturCited non-patent literature

  • - V. Lebedev et. al.: ”Fabrication of one-dimensional trenched GaN nanowires and their interconnections”, Phys. Stat. Sol. (A) 204, No. 10, 3387 (2007) [0003] - V. Lebedev et. al .: "Fabrication of one-dimensional trenched GaN nanowires and their interconnections", Phys. Stat. Sol. (A) 204, no. 10, 3387 (2007) [0003]

Claims (18)

Verfahren zur Herstellung eines Halbleiterbauelementes (10), in welchem ein eindimensionales Elektronengas ausbildbar ist, welches die folgenden Schritte enthält: – Bereitstellen eines Substrates (11) mit einer ersten Oberfläche; – Abscheiden einer Maskierungsschicht (13) mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der Maskierungsschicht (13) auf der ersten Oberfläche des Substrates (11) angeordnet ist; – Einbringen von mindestens einem Graben (14) in die Maskierungsschicht (13), welcher bis zur ersten Oberfläche des Substrates (11) reicht; – Einbringen eines Halbleitermaterials (15) in den mindestens einen Graben (14); – Entfernen der Maskierungsschicht (13).Method for producing a semiconductor component ( 10 ), in which a one-dimensional electron gas can be formed, comprising the following steps: - providing a substrate ( 11 ) having a first surface; - depositing a masking layer ( 13 ) having a first surface and a second surface, wherein the second surface of the masking layer ( 13 ) on the first surface of the substrate ( 11 ) is arranged; - introducing at least one trench ( 14 ) into the masking layer ( 13 ), which extends to the first surface of the substrate ( 11 ) enough; - introducing a semiconductor material ( 15 ) in the at least one trench ( 14 ); Removing the masking layer ( 13 ). Verfahren nach Anspruch 1, bei welchem zusätzlich vor dem Abscheiden der Maskierungsschicht (13) eine Isolationsschicht (12) mit einer ersten Seite und einer zweiten Seite abgeschieden wird, wobei die zweite Seite der Isolationsschicht (12) auf der ersten Seite des Substrates (11) angeordnet ist und die zweite Seite der Maskierungsschicht (13) auf der ersten Seite der Isolationsschicht (12) angeordnet ist.The method of claim 1 further comprising, prior to depositing the masking layer (16). 13 ) an insulation layer ( 12 ) is deposited with a first side and a second side, wherein the second side of the insulation layer ( 12 ) on the first side of the substrate ( 11 ) and the second side of the masking layer ( 13 ) on the first side of the insulation layer ( 12 ) is arranged. Verfahren nach einem der Ansprüche 1 oder 2, dadurch gekennzeichnet, dass der Schritt des Einbringens mindestens eines Grabens (14) in die Maskierungsschicht (13) das Aufbringen eines Photolackes auf die erste Seite der Maskierungsschicht (13) umfasst.Method according to one of claims 1 or 2, characterized in that the step of introducing at least one trench ( 14 ) into the masking layer ( 13 ) applying a photoresist to the first side of the masking layer ( 13 ). Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass der Photolack mittels Elektronenstrahllithographie und/oder UV-Lithographie und/oder einem Nanodruckverfahren strukturiert wird.Method according to claim 3, characterized that the photoresist by means of electron beam lithography and / or UV lithography and / or a nanoprinting process is structured. Verfahren nach einem der Ansprüche 3 bis 4, dadurch gekennzeichnet, dass zumindest eine Teilfläche des Photolackes und/oder eine Teilfläche der Maskierungsschicht (13) mittels Gasphasenätzen entfernt wird.Method according to one of claims 3 to 4, characterized in that at least a partial surface of the photoresist and / or a partial surface of the masking layer ( 13 ) is removed by means of gas phase etching. Verfahren nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, dass das Einbringen eines Halbleitermaterials (15) in den mindestens einen Graben (14) mittels einer Gasphasenabscheidung erfolgt, Insbesondere mittels CVD, MOCVD oder MOVPE.Method according to one of claims 1 to 5, characterized in that the introduction of a semiconductor material ( 15 ) in the at least one trench ( 14 ) by means of a vapor deposition, in particular by means of CVD, MOCVD or MOVPE. Verfahren nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass der mindestens eine Graben (14) eine Breite von etwa 40 nm bis etwa 110 nm aufweist.Method according to one of claims 1 to 6, characterized in that the at least one trench ( 14 ) has a width of about 40 nm to about 110 nm. Verfahren nach einem der Ansprüche 1 bis 7, dadurch gekennzeichnet, dass nach dem Entfernen der Maskierungsschicht (13) eine unterhalb des Halbleitermaterials (15) liegende Teilfläche (16) des Substrates (11) und/oder der Isolationsschicht (12) entfernt wird.Method according to one of claims 1 to 7, characterized in that after removing the masking layer ( 13 ) one below the semiconductor material ( 15 ) lying partial surface ( 16 ) of the substrate ( 11 ) and / or the insulation layer ( 12 ) Will get removed. Verfahren nach einem der Ansprüche 1 bis 8, dadurch gekennzeichnet, dass in die Maskierungsschicht (13) mindestens ein erster Graben (14) und mindestens ein zweiter Graben (14) eingebracht wird, welcher mit dem ersten Graben (14) verbunden istMethod according to one of claims 1 to 8, characterized in that in the masking layer ( 13 ) at least one first trench ( 14 ) and at least one second trench ( 14 ) which is connected to the first trench ( 14 ) connected is Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, dass nach der Entfernung der Maskierungsschicht (13) eine Trennstelle (17) in das zusammenhängende Halbleitermaterial (15, 23, 20) eingebracht wird.Method according to one of claims 1 to 9, characterized in that after the removal of the masking layer ( 13 ) a separation point ( 17 ) in the contiguous semiconductor material ( 15 . 23 . 20 ) is introduced. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, dass nach dem Entfernen der Maskierungsschicht (13) Kontaktelemente (18) und/oder Verbindungselemente aufgebracht werden.Method according to one of claims 1 to 10, characterized in that after removing the masking layer ( 13 ) Contact elements ( 18 ) and / or fasteners are applied. Verfahren nach einem der Ansprüche 1 bis 11, gekennzeichnet durch die weiteren Verfahrensschritte: – Überwachsen von zumindest einer Teilfläche des Halbleiterbauelementes (10) mit einer weiteren Isolationsschicht, welche eine erste Oberfläche und eine zweite Oberfläche aufweist, wobei die zweite Oberfläche der zweiten Isolationsschicht auf der ersten Seite der ersten Isolationsschicht (12) und/oder auf der ersten Oberfläche des Substrates (11) und/oder auf dem Halbleitermaterial (15) angeordnet ist; – Abscheiden einer weiteren Maskierungsschicht () mit einer ersten Oberfläche und einer zweiten Oberfläche, wobei die zweite Oberfläche der weiteren Maskierungsschicht auf der ersten Oberfläche der zweiten Isolationsschicht angeordnet ist; – Einbringen von mindestens einem Graben in die weitere Maskierungsschicht, welcher bis zur ersten Oberfläche der zweiten Isolationsschicht reicht; – Einbringen eines Halbleitermaterials in den mindestens einen Graben; – Entfernen der weiteren Maskierungsschicht.Method according to one of claims 1 to 11, characterized by the further method steps: - overgrowing of at least one partial surface of the semiconductor component ( 10 ) having a further insulating layer having a first surface and a second surface, wherein the second surface of the second insulating layer on the first side of the first insulating layer ( 12 ) and / or on the first surface of the substrate ( 11 ) and / or on the semiconductor material ( 15 ) is arranged; Depositing a further masking layer (12) having a first surface and a second surface, wherein the second surface of the further masking layer is disposed on the first surface of the second insulation layer; - introducing at least one trench in the further masking layer, which extends to the first surface of the second insulating layer; - introducing a semiconductor material into the at least one trench; - Remove the further masking layer. Verfahren nach Anspruch 12, dadurch gekennzeichnet, dass die Verfahrensschritte zur Erzeugung einer mehrlagigen Halbleiterstruktur (10) mehrfach ausgeführt werden.Method according to Claim 12, characterized in that the method steps for producing a multilayer semiconductor structure ( 10 ) are executed several times. Halbleiterbauelement, erhältlich durch ein Verfahren nach einem der Ansprüche 1 bis 13.Semiconductor device, available through A method according to any one of claims 1 to 13. Halbleiterbauelement nach Anspruch 14, dadurch gekennzeichnet, dass dieses zumindest einen optischen Wellenleiter enthält.Semiconductor component according to Claim 14, characterized that this contains at least one optical waveguide. Halbleiterbauelement nach einem der Ansprüche 14 oder 15, dadurch gekennzeichnet, dass dieses zumindest ein beweglich gelagertes Element (24) enthält.Semiconductor component according to one of claims 14 or 15, characterized in that this at least one movably mounted element ( 24 ) contains. Halbleiterbauelement nach Anspruch 16, dadurch gekennzeichnet, dass die Lage des beweglich gelagerten Elementes (24) im Betrieb des Halbleiterbauelementes (10) beeinflussbar und/oder bestimmbar ist.Semiconductor component according to Claim 16, characterized in that the position of the movably mounted element ( 24 ) during operation of the semiconductor device ( 10 ) can be influenced and / or determined. Halbleiterbauelement nach einem der Ansprüche 14 bis 17, dadurch gekennzeichnet, dass dieses zumindest einen Feldeffekttransistor enthält, dessen Kanal (20) durch einen ersten Nanodraht gebildet wird und dessen Gateelektrode (23) durch einen beabstandet angeordneten zweiten Nanodraht gebildet wird, wobei das erste und das zweite Halbleitermaterial auf einer Isolationsschicht (12) oder auf dem Substrat (11) angeordnet sind.Semiconductor component according to one of Claims 14 to 17, characterized in that it contains at least one field-effect transistor whose channel ( 20 ) is formed by a first nanowire and its gate electrode ( 23 ) is formed by a spaced second nanowire, wherein the first and the second semiconductor material on an insulating layer ( 12 ) or on the substrate ( 11 ) are arranged.
DE200910024311 2009-06-05 2009-06-05 Semiconductor component and method for its production Withdrawn DE102009024311A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE200910024311 DE102009024311A1 (en) 2009-06-05 2009-06-05 Semiconductor component and method for its production
DE112010001934.7T DE112010001934B4 (en) 2009-06-05 2010-05-17 Semiconductor component and method for its production
PCT/EP2010/056690 WO2010139546A1 (en) 2009-06-05 2010-05-17 Semiconductor structural element and method for the production thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE200910024311 DE102009024311A1 (en) 2009-06-05 2009-06-05 Semiconductor component and method for its production

Publications (1)

Publication Number Publication Date
DE102009024311A1 true DE102009024311A1 (en) 2011-01-05

Family

ID=42313024

Family Applications (2)

Application Number Title Priority Date Filing Date
DE200910024311 Withdrawn DE102009024311A1 (en) 2009-06-05 2009-06-05 Semiconductor component and method for its production
DE112010001934.7T Active DE112010001934B4 (en) 2009-06-05 2010-05-17 Semiconductor component and method for its production

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE112010001934.7T Active DE112010001934B4 (en) 2009-06-05 2010-05-17 Semiconductor component and method for its production

Country Status (2)

Country Link
DE (2) DE102009024311A1 (en)
WO (1) WO2010139546A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109782526A (en) * 2017-11-14 2019-05-21 爱发科成膜株式会社 Mask blank and its manufacturing method, half-tone mask and its manufacturing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020209122A1 (en) 2020-07-21 2022-01-27 Robert Bosch Gesellschaft mit beschränkter Haftung Manufacturing method for a micro-opto-electromechanical component and corresponding micro-opto-electromechanical component

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19504117A1 (en) * 1994-02-08 1995-08-10 Mitsubishi Electric Corp Prodn. of quantum wire for semiconductor lasers
US6100104A (en) * 1997-09-19 2000-08-08 Siemens Aktiengesellschaft Method for fabricating a plurality of semiconductor bodies
WO2007120493A1 (en) * 2006-04-04 2007-10-25 Micron Technology, Inc. Nanofin tunneling transistors
US20080318003A1 (en) * 2004-08-31 2008-12-25 Agency For Science, Technology And Research Nanostructures and Method of Making the Same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085445B2 (en) 2000-08-04 2006-08-01 Seungug Koh Micro-opto-electro-mechanical waveguide switches
US7939994B2 (en) 2006-05-17 2011-05-10 Microgan Gmbh Micromechanical actuators comprising semiconductors on a group III nitride basis
KR101464802B1 (en) * 2007-09-03 2014-11-24 가꼬호징 조찌가꾸잉 III nitride structure and method for manufacturing III nitride semiconductor fine columnar crystal

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19504117A1 (en) * 1994-02-08 1995-08-10 Mitsubishi Electric Corp Prodn. of quantum wire for semiconductor lasers
US6100104A (en) * 1997-09-19 2000-08-08 Siemens Aktiengesellschaft Method for fabricating a plurality of semiconductor bodies
US20080318003A1 (en) * 2004-08-31 2008-12-25 Agency For Science, Technology And Research Nanostructures and Method of Making the Same
WO2007120493A1 (en) * 2006-04-04 2007-10-25 Micron Technology, Inc. Nanofin tunneling transistors

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
V. Lebedev et. al.: "Fabrication of one-dimensional trenched GaN nanowires and their interconnections", Phys. Stat. Sol. (A) 204, No. 10, 3387 (2007)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109782526A (en) * 2017-11-14 2019-05-21 爱发科成膜株式会社 Mask blank and its manufacturing method, half-tone mask and its manufacturing method
CN109782526B (en) * 2017-11-14 2023-12-01 爱发科成膜株式会社 Mask blank and method for manufacturing the same, halftone mask and method for manufacturing the same

Also Published As

Publication number Publication date
DE112010001934A5 (en) 2012-08-16
WO2010139546A1 (en) 2010-12-09
DE112010001934B4 (en) 2018-07-19

Similar Documents

Publication Publication Date Title
DE102013103207B4 (en) Semiconductor device channel system and method
DE102016204201B4 (en) A method of forming a superconducting weak-link contact and weak-link contact by means of silicided nanowires for nanoback-to -eak links
DE102008060644B4 (en) Process for producing a graphane nanostructure
DE102019114131A1 (en) FIELD EFFECT TRANSISTOR USING TRANSITION METAL DICHALCOGENIDE AND METHOD FOR THE PRODUCTION THEREOF
DE112010002092T5 (en) photodetectors
DE102010054722A1 (en) Semiconductor component and method for its production
DE112012002603B4 (en) Semiconductor device and method of manufacturing a semiconductor device
DE102009041548A1 (en) Semiconductor structure
EP2013917A1 (en) Radiation-emitting semiconductor body with carrier substrate and method for the production thereof
DE112008000410T5 (en) Epitaxial gallium nitride crystal, process for its preparation and field effect transistor
DE102021111163A1 (en) TUNNEL TRANSITION FERROELECTRIC STORAGE DEVICE USING MAGNESIUM OXIDE TUNNELING DIELECTRIC AND METHOD OF FORMING THE SAME
DE102014107105A1 (en) METHOD FOR PROCESSING A CARRIER AND AN ELECTRONIC COMPONENT
DE102007050843A1 (en) Integrated circuit manufacturing method, involves forming hole via intermediate layer to expose buffer layer section, removing exposed section to expose catalyst layer section, and waxing carbon-nano tubes at catalyst layer exposed section
DE102015204411A1 (en) Method for producing a transistor
DE112010001934B4 (en) Semiconductor component and method for its production
DE112011106083T5 (en) Method for producing a semiconductor component
DE102011088732B4 (en) Method of making a plug in a semiconductor body
WO2017158046A1 (en) Light-emitting semiconductor chip and method for producing a light-emitting semiconductor chip
DE102016115336A1 (en) PROCESS FOR PROCESSING A CARRIER AND METHOD FOR TRANSFERRING A GRAPHIC LAYER
EP2471089B1 (en) Method for determining the structure of a transistor
DE102020105644B4 (en) SEMICONDUCTOR DEVICE AND MANUFACTURING PROCESS
DE102018110985A1 (en) SEMICONDUCTOR LASER DIODE, LASER COMPONENT AND METHOD FOR PRODUCING A SEMICONDUCTOR LASER DIODE
EP2987179B1 (en) High-frequency conductor with improved conductivity
DE102009010891A1 (en) Method for producing MOSFET contacts on surface of silicon carbide semiconductor material of e.g. semiconductor element, involves heating metal layer to specific temperature, and removing metal layer from region of insulation layer
DE112021000892T5 (en) SEMICONDUCTOR DEVICE, A SEMICONDUCTOR HOUSING HAVING THEM, AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20130101