DE102007013329A1 - Method for producing a micromechanical component with a partial protective layer - Google Patents

Method for producing a micromechanical component with a partial protective layer Download PDF

Info

Publication number
DE102007013329A1
DE102007013329A1 DE102007013329A DE102007013329A DE102007013329A1 DE 102007013329 A1 DE102007013329 A1 DE 102007013329A1 DE 102007013329 A DE102007013329 A DE 102007013329A DE 102007013329 A DE102007013329 A DE 102007013329A DE 102007013329 A1 DE102007013329 A1 DE 102007013329A1
Authority
DE
Germany
Prior art keywords
layer
sacrificial layer
protective layer
sacrificial
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102007013329A
Other languages
German (de)
Other versions
DE102007013329B4 (en
DE102007013329A8 (en
Inventor
Peter Dr. Merz
Klaus Dr. Reimer
Frank Senger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Original Assignee
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV filed Critical Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority to DE102007013329A priority Critical patent/DE102007013329B4/en
Priority to EP08734374A priority patent/EP2121515B1/en
Priority to PCT/DE2008/000434 priority patent/WO2008113325A2/en
Priority to AT08734374T priority patent/ATE523467T1/en
Publication of DE102007013329A1 publication Critical patent/DE102007013329A1/en
Publication of DE102007013329A8 publication Critical patent/DE102007013329A8/en
Application granted granted Critical
Publication of DE102007013329B4 publication Critical patent/DE102007013329B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00785Avoid chemical alteration, e.g. contamination, oxidation or unwanted etching
    • B81C1/00801Avoid alteration of functional structures by etching, e.g. using a passivation layer or an etch stop layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/014Controlling etch progression by depositing an etch stop layer, e.g. silicon nitride, silicon oxide, metal

Abstract

The invention relates to a method for producing a micromechanical component comprising at least one self-supporting structure. According to said method a conductor track plane (11) and a sacrificial layer (4) consisting of an electrically non-conductive material are applied to a substrate (2) in such a way that the conductor track plane (11) lies between the substrate (2) and the sacrificial layer (4) or inside the sacrificial layer (4), and a layer (3) that forms the self-supporting structure is deposited on the sacrificial layer (4), the latter (4) being partially removed by etching in order to complete the self-supporting structure. An electrically conductive protective layer (15) is embedded in the sacrificial layer (4) above a region on the conductor plane (11) that is to be protected, said protective layer acting as an etching barrier during the etching process for the removal of the sacrificial layer (4). The protective layer (15) is removed again in a subsequent process, leaving a thin sacrificial layer (17) as a passivation layer lying below on the conductor tracks. The method permits sensitive areas of the conductor track plane to be protected and can be simply achieved with existing surface micromechanical processes.

Description

Technisches AnwendungsgebietTechnical application

Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines mikromechanischen Bauelements mit mindestens einer freitragenden Struktur, bei dem eine Leiterbahnebene und eine Opferschicht so auf ein Substrat aufgebracht werden, dass die Leiterbahnebene zwischen Substrat und Opferschicht oder innerhalb der Opferschicht liegt, auf die Opferschicht eine die freitragende Struktur bildende Schicht oder Schichtfolge abgeschieden wird und die Opferschicht zur Fertigstellung der freitragenden Struktur durch einen Ätzprozess teilweise entfernt wird.The The present invention relates to a process for producing a Micromechanical device with at least one cantilever Structure in which a conductor track plane and a sacrificial layer are so be applied to a substrate that the interconnect level between Substrate and sacrificial layer or within the sacrificial layer, on the sacrificial layer, a layer forming the self-supporting structure or layer sequence is deposited and the sacrificial layer for completion the cantilever structure by an etching process partially Will get removed.

Mikromechanische Bauelemente mit freitragenden Strukturen kommen in vielen technischen Bereichen zum Einsatz. So können mikromechanische Bauelemente beispielsweise als Beschleunigungssensoren, als Drucksensoren, als HF-Schalter oder als bewegliche Mikrospiegel ausgeführt werden.Micromechanical Components with cantilever structures come in many technical areas for use. For example, micromechanical components can be used as acceleration sensors, as pressure sensors, as an RF switch or be executed as a movable micromirror.

Stand der TechnikState of the art

1 zeigt einen typischen Aufbau eines mikrosystemtechnisch hergestellten, resonanten Inertialsensors, wie er beispielsweise aus P. Merz et al., „PSM-X2: Polysilicon surface micromachining process platform for vacuum-packed sensors", Konferenzband Mikrosystemtechnik-Kongress 2005, Freiburg, Seiten 467 bis 470 bekannt ist. Der unten liegende, Oberflächen-mikromechanische Sensor 1 enthält die aktive Sensorstruktur. Er umfasst ein Trägersubstrat 2, auf dem eine Schichtfolge mit der aktiven Schicht 3, auch als aktive MEMS-Schicht (MEMS: Micro Electro Mechanical Sensor) bezeichnet, aufgebracht ist. Durch einen spezifischen Ätzschritt, bei dem eine Opferschicht 4 unterhalb der aktiven MEMS-Schicht 3 teilweise entfernt wird, können freitragende Strukturen hergestellt werden. Für die kapazitive Detektion von Bewegungen aus der Ebene sind in einem Abstand von etwa 1,5 μm Gegenelektroden 5 implementiert. In der 1 sind auch eine Isolationsschicht 6 auf dem Trägersubstrat 2 sowie in einem Bereich eine Verbindungsschicht 7 für die elektrische Verbindung der freitragenden Struktur mit dem Außenbereich erkennbar. Die Kontaktierung von außen erfolgt in diesem Beispiel über Aluminium-Anschlussflächen 8. Die Bewegungsrichtung mikromechanischer Systeme ist somit nicht nur auf Bewegungen in der Ebene (in-plane) beschränkt, sondern es können auch so genannte out-of-plane Bewegungen angeregt und detektiert werden. Die freitragende Struktur ist über einen oberen Deckel-Chip 9 hermetisch gegen die Außenwelt abgeschlossen. In diesem Deckel-Chip 9 ist über der freitragenden Struktur in diesem Beispiel eine 60 μm tiefe Kavität eingebracht, in der eine Schicht aus Gettermaterial 10 zur Absorption und chemischen Bindung von Gasmolekülen abgeschieden ist. Die feste Verbindung zwischen Sensor 1 und Deckel-Chip 9 erfolgt auf Waferebene durch das so genannte Wafer-Level-Packaging, in diesem Beispiel über ein Gold-Silizium-Eutektikum. Der Bondrahmen aus Gold-Silizium sorgt für eine hermetische Kapselung, so dass der beim eutektischen Verbindungsprozess eingestellte Druck erhalten bleibt. Durch die Getterschicht 10 in der Kavität wird sichergestellt, dass ein minimaler Kavitäts-Innendruck von bis zu 1 × 10–1 Pa (1 × 10–6 bar) eingestellt und über die gesamte Lebensdauer des Bauelements erhalten werden kann. 1 shows a typical structure of a microsystems technology produced, resonant inertial sensor, such as P. Merz et al., "PSM-X2: Polysilicon Surface Micromachining Process Platform for Vacuum-packed Sensors", Conference Band Microsystems Technology Congress 2005, Freiburg, pages 467-470 is known. The bottom surface micromechanical sensor 1 contains the active sensor structure. It comprises a carrier substrate 2 , on which a layer sequence with the active layer 3 , also referred to as active MEMS layer (MEMS: Micro Electro Mechanical Sensor), is applied. By a specific etching step, in which a sacrificial layer 4 below the active MEMS layer 3 partially removed, cantilevered structures can be made. For the capacitive detection of movements from the plane are at a distance of about 1.5 microns counterelectrodes 5 implemented. In the 1 are also an insulation layer 6 on the carrier substrate 2 and in one area a tie layer 7 recognizable for the electrical connection of the cantilever structure with the outside area. The external contact takes place in this example via aluminum pads 8th , The direction of movement of micromechanical systems is thus not limited only to movements in the plane (in-plane), but so-called out-of-plane movements can be stimulated and detected. The self-supporting structure is over a top lid chip 9 hermetically sealed against the outside world. In this lid chip 9 For example, above the cantilevered structure in this example, a 60 μm deep cavity is placed, in which a layer of getter material 10 deposited for absorption and chemical bonding of gas molecules. The firm connection between sensor 1 and lid chip 9 takes place at the wafer level through the so-called wafer-level packaging, in this example via a gold-silicon eutectic. The gold-silicon bond frame provides hermetic encapsulation to maintain the pressure set during the eutectic bonding process. Through the getter layer 10 it is ensured in the cavity that a minimum cavity internal pressure of up to 1 × 10 -1 Pa (1 × 10 -6 bar) can be set and maintained over the lifetime of the device.

Für die Fertigung von hochpräzisen mikromechanischen Bauelementen werden mit verschiedensten Standardverfahren der Mikrotechnologie funktionelle und strukturelle Schichten aufgebracht und strukturiert. Für die Herstellung von Oberflächen-mikromechanischen Systemen wird beispielsweise der in 2 dargestellte prinzipielle Schichtaufbau verwendet. Auf einem Wafersubstrat 2, das typischerweise aus Silizium, Glas oder GaAs besteht, wird eine Opferschicht 4, beispielsweise aus SiO2, abgeschieden und gegebenenfalls strukturiert. Diese Opferschicht kann auch zur elektrischen Isolierung (Passivierung) von elektrischen Leiterbahnebenen und zur Reduzierung von elektrischen Feldern bzw. zur Verringerung von Streukapazitäten genutzt werden. Als Material hierfür sind Siliziumoxid, Siliziumnitrid oder Aluminiumnitrid verbreitet. Andere Materialien mit geeigneten Eigenschaften können auch verwendet werden.For the production of high-precision micromechanical components, functional and structural layers are applied and structured using a variety of standard micro-technology processes. For the production of surface micromechanical systems, for example, the in 2 illustrated basic layer structure used. On a wafer substrate 2 typically made of silicon, glass or GaAs becomes a sacrificial layer 4 , for example, SiO 2 , deposited and optionally structured. This sacrificial layer can also be used for the electrical insulation (passivation) of electrical conductor tracks and for the reduction of electric fields or for the reduction of stray capacitances. The material used for this purpose is silicon oxide, silicon nitride or aluminum nitride. Other materials with suitable properties can also be used.

In die Opferschicht 4 wird eine Leiterbahnebene 11 eingebettet, welche verschiedene Gebiete des mikromechanischen Bauteils elektrisch miteinander verbindet. Die Leiterbahnebene 11 kann z. B. aus elektrisch leitfähigem, dotiertem LPCVD-Silizium mit einer Dicke von 0,1 bis 2 μm ausgeführt und mittels reaktivem Ionenätzen (RIE) lateral strukturiert werden. Um die Leitfähigkeit zu erhöhen, kann die Siliziumschicht mit Fremdatomen wie Phosphor, Bor oder Arsen dotiert werden. Die Dotierung kann beispielsweise durch Diffusion aus einer dotierten Glasschicht (z. B. POCL3-Prozess) oder durch Ionenimplantation erfolgen. Für die Einbettung der Leiterbahnebene 11 in die Opferschicht 4 kann beispielsweise zuerst eine Teilschicht des Opferoxids abgeschieden werden. Anschließend wird die Leiterbahnebene 11 aufgebracht und strukturiert. Schließlich wird der noch fehlende Teil der Opferschicht ganzflächig abgeschieden. Zur Kontaktierung der Leiterbahnebene 11 wird ein Bereich der Opferschicht 4 von oben geöffnet, so dass die Leiterbahnebene 11 an den gewünschten Stellen freigelegt wird. Eine selektive Ätzung von SiO2 zu Si kann z. B. mit einer BOE-Mischung (Buffered Oxide Etch: NH3F + HF + H2O) oder mit HF erfolgen. Denkbar sind auch RIE-Plasmaprozesse.In the sacrificial layer 4 becomes a track level 11 embedded, which electrically connects different areas of the micromechanical device with each other. The track level 11 can z. B. made of electrically conductive, doped LPCVD silicon with a thickness of 0.1 to 2 microns and laterally structured by means of reactive ion etching (RIE). In order to increase the conductivity, the silicon layer can be doped with foreign atoms such as phosphorus, boron or arsenic. The doping can be effected, for example, by diffusion from a doped glass layer (eg POCL 3 process) or by ion implantation. For embedding the track level 11 into the sacrificial layer 4 For example, first a partial layer of the sacrificial oxide can be deposited. Subsequently, the conductor track level 11 applied and structured. Finally, the missing part of the sacrificial layer is deposited over the entire surface. For contacting the conductor track level 11 becomes an area of the sacrificial layer 4 opened from above, leaving the track level 11 is exposed at the desired locations. A selective etching of SiO 2 to Si can, for. B. with a BOE mixture (Buffered Oxide Etch: NH 3 F + HF + H 2 O) or with HF. Also conceivable are RIE plasma processes.

Auf die Opferschicht 4 wird nun ganzflächig die aktive MEMS-Schicht 3 abgeschieden. Beispielsweise kann hier epitaktisch aufgewachsenes Polysilizium (Epi-Polysilizium) verwendet werden, welches sich durch große Schichtdicken mit geringer Schichtspannung und geringem Spannungsgradienten auszeichnet. Durch die Öffnungen im Opferoxid wird ein Materialkontakt zur Leiterbahnebene 11 hergestellt, wie dies aus der Darstellung der 2a ersichtlich ist. Die aktive MEMS-Schicht 3 wird wiederum strukturiert, so dass Teilbereiche des Opferoxids freigelegt werden.On the sacrificial layer 4 now becomes the active MEMS layer over the whole area 3 deposited. For example, here epitaxially grown polysilicon (epi-polysilicon) can be used, which is characterized by large layer thicknesses with low layer stress and low voltage gradients. Through the openings in the sacrificial oxide is a material contact to the conductor track level 11 made, as is clear from the representation of 2a is apparent. The active MEMS layer 3 is in turn structured so that portions of the sacrificial oxide are exposed.

Anschließend erfolgt die Entfernung des Opferoxids in den von oben zugänglichen Bereichen (vgl. 2b) zur Bildung freigeätzter Bereiche 12. Dadurch werden nun Teile der aktiven MEMS-Schicht 3 freitragend bzw. beweglich. Diese freitragenden Strukturen sind an definierten Verankerungspunkten aufgehängt. Die beweglichen Bereiche lassen sich durch äußere Krafteinwirkung wie beispielsweise elektrische Felder oder Beschleunigung in Bewegung versetzen. Mit dieser Opferschicht-Technik lässt sich eine Reihe von elektromechanischen und optischen Mikrosystemen realisieren.Subsequently, the removal of the sacrificial oxide takes place in the areas accessible from above (cf. 2 B ) to form etched areas 12 , This will now become parts of the active MEMS layer 3 self-supporting or movable. These self-supporting structures are suspended at defined anchoring points. The movable areas can be moved by external force such as electric fields or acceleration in motion. With this sacrificial layer technique, a number of electromechanical and optical microsystems can be realized.

Die Bauteile, insbesondere deren bewegliche bzw. freitragende Strukturen, müssen vor Umwelteinflüssen wie mechanischer oder chemischer Zerstörung, Verschmutzung oder auch Feuchte geschützt werden. Dazu kann der mikromechanisch aktive Bereich mit einer Kappe bzw. einem Deckel überdeckt werden. Zudem kann mit einer hermetischen Verkappung eine definierte Betriebsatmosphäre eingeschlossen werden. Das Aufbringen einer Schutzkappe kann auf Wafer-Ebene oder auch auf Chip-Ebene erfolgen. Die Verkappung auf Wafer-Ebene bietet den Vorteil, dass die sensiblen Bereiche bei nachfolgenden Prozessen geschützt sind. Insbesondere das Auftrennen des Wafers in einzelne Bauelemente durch Sägen kann, bedingt durch die hohe Spindeldrehzahl des Sägeblattes, zu einer starken mechanischen Schädigung von mikromechanischen Strukturen führen. Zudem werden der Sägeprozess durch Wasser gekühlt und anfallender Sägestaub mit dem Wasser entfernt. Durch den Wasserstrahl können Schädigungen an den Strukturen entstehen und sich zum anderen Restfeuchte auf Oberflächen und insbesondere in Einbuchtungen ansammeln.The Components, in particular their movable or self-supporting structures, must be protected against environmental influences such as mechanical or chemical destruction, pollution or even moisture to be protected. For this purpose, the micromechanically active Covered area with a cap or a lid. In addition, with a hermetic capping a defined operating atmosphere be included. The application of a protective cap can on Wafer level or even done on chip level. The capping on Wafer level offers the advantage that the sensitive areas at protected by subsequent processes. In particular, that Cutting the wafer into individual components by sawing can, due to the high spindle speed of the saw blade, to a strong mechanical damage of micromechanical Lead structures. In addition, the sawing process cooled by water and accumulating sawdust removed with the water. Through the water jet can Damage to the structures arise and to another Residual moisture on surfaces and especially in indentations accumulate.

2c zeigt eine typische Vorgehensweise zum Schutz der freitragenden Strukturen, bei der eine Schutzkappe 14 über der freitragenden Struktur aufgebracht ist. Die freigeätzten Bereiche 13 mit offenen Leiterbahnstrukturen stellen ebenfalls kritische Bereiche des Bauelementes dar. Nach dem Entfernen des Opferoxides sind dort die Leiterbahnen der Leiterbahnebene 11 freigelegt. Zudem werden sie in der Regel durch das Opferschicht-Ätzen auch seitlich unterätzt, so dass die mechanische Stabilität reduziert ist. Liegen diese sensiblen Bereiche außerhalb der durch die Kappe 14 geschützten Flächen, so sind die Leiterbahnen anfällig gegenüber mechanischer Einwirkung, Partikel oder Feuchte. Durch mechanische Einwirkung können die Leiterbahnen beschädigt werden, so dass sie ihre Funktionalität verlieren. Partikel und Oberflächenfeuchte können Kurzschlüsse oder Nebenschlüsse zwischen zwei Leiterbahnen verursachen. Abbrüche in der Leiterbahnebene führen zu Kurzschlüssen zu den darunter liegenden Schichten (hier Substrat 2). Daher ist es wünschenswert, derartige elektrische Schichten durch nicht leitende, mechanisch stabile Schichten zu schützen. 2c shows a typical procedure for protecting the self-supporting structures, in which a protective cap 14 is applied over the cantilevered structure. The etched areas 13 with open interconnect structures also represent critical areas of the device. After removing the sacrificial oxide there are the tracks of the interconnect level 11 exposed. In addition, as a rule they are also laterally undercut by the sacrificial layer etching, so that the mechanical stability is reduced. Lie these sensitive areas outside of the cap 14 protected surfaces, the printed conductors are susceptible to mechanical action, particles or moisture. By mechanical action, the tracks can be damaged, so they lose their functionality. Particles and surface moisture can cause short circuits or shunts between two tracks. Breakdowns in the interconnect level lead to short circuits to the underlying layers (here substrate 2 ). Therefore, it is desirable to protect such electrical layers by nonconductive, mechanically stable layers.

Zum Schutz sensibler Sensorstrukturen wird in der US 6401544 B2 ein allgemeines Verfahren vorgeschlagen, bei dem über der gesamten zu schützenden Struktur eine Schutzschicht abgeschieden wird.To protect sensitive sensor structures is in the US 6401544 B2 proposed a general method in which a protective layer is deposited over the entire structure to be protected.

Die US 6187607 B1 zeigt ein Verfahren zur Herstellung eines mikromechanischen Bauelements mit freitragenden Strukturen, bei dem über zu schützenden Bereichen lokal eine Schutzschicht auf die Opferschicht aufgebracht wird, um dort ein unerwünschtes Unterätzen zu verhindern. Dabei wird eine Schichtfolge aus LPCVD- Silizium mit darüber liegendem Siliziumdioxid vorgeschlagen.The US 6,187,607 B1 shows a method for producing a micromechanical device with cantilevered structures, in which over areas to be protected locally a protective layer is applied to the sacrificial layer to prevent there unwanted undercutting. In this case, a layer sequence of LPCVD silicon with overlying silicon dioxide is proposed.

Die Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zur Herstellung eines mikromechanischen Bauelements mit mindestens einer freitragenden Struktur anzugeben, bei dem Leiterbahnstrukturen während der Herstellung des Bauelementes in einfacher Weise geschützt werden können. Das Verfahren soll kompatibel mit IC-Prozesstechnologien und Hochtemperaturverfahren sein.The The object of the present invention is a method for producing a micromechanical device with at least specify a cantilever structure, in which conductor track structures during the manufacture of the device in a simple manner can be protected. The procedure should be compatible with IC process technologies and high-temperature processes.

Darstellung der ErfindungPresentation of the invention

Die Aufgabe wird mit dem Verfahren gemäß Patentanspruch 1 gelöst. Vorteilhafte Ausgestaltungen des Verfahrens sind Gegenstand der Unteransprüche oder lassen sich der nachfolgenden Beschreibung sowie dem Ausführungsbeispiel entnehmen.The Task is with the method according to claim 1 solved. Advantageous embodiments of the method are Subject of the dependent claims or can be the following Description and the exemplary embodiment.

Bei dem vorgeschlagenen Verfahren werden in bekannter Weise zumindest eine Leiterbahnebene und eine Opferschicht so auf ein Substrat aufgebracht, dass die Leiterbahnebene zwischen Substrat und Opferschicht oder innerhalb der Opferschicht liegt. Die Opferschicht kann hierbei bei Bedarf zusätzlich strukturiert werden, um eine bestimmte geometrische Form von Bereichen der freitragenden Struktur, beispielsweise Verankerungspunkte dieser Struktur, festzulegen. Anschließend wird eine die freitragende Struktur bildende Schicht oder Schichtfolge, die so genannte aktive MEMS-Schicht, über der Opferschicht abgeschieden. Die Opferschicht wird dann zur Fertigstellung der freitragenden Struktur durch einen Ätzprozess teilweise entfernt. Das vorgeschlagene Verfahren zeichnet sich dadurch aus, dass beim Aufbringen der Opferschicht oberhalb eines zu schützenden Bereichs der Leiterbahnebene eine elektrisch leitfähige Schutzschicht in die Opferschicht eingebettet wird, die als Ätzstopp-Schicht beim Ätzprozess für die Entfernung der Opferschicht dient. Die Schutzschicht wird dabei in einem Abstand zur Leiterbahnebene eingebettet, so dass zwischen der Schutzschicht und der Leiterbahnebene noch eine dünne Schicht aus dem Opferschichtmaterial vorhanden ist.In the proposed method, in a known manner, at least one conductor track plane and one sacrificial layer are applied to a substrate in such a way that the track track plane lies between the substrate and the sacrificial layer or within the sacrificial layer. If necessary, the sacrificial layer can be additionally structured in order to define a specific geometric shape of regions of the cantilever structure, for example anchoring points of this structure. Subsequently, a self-supporting structure forming layer or Schichtfol ge, the so-called active MEMS layer, deposited over the sacrificial layer. The sacrificial layer is then partially removed to complete the cantilevered structure by an etching process. The proposed method is characterized in that, when the sacrificial layer is applied above a region of the interconnect level to be protected, an electrically conductive protective layer is embedded in the sacrificial layer, which serves as etch stop layer during the etching process for the removal of the sacrificial layer. The protective layer is embedded at a distance from the conductor track plane, so that a thin layer of the sacrificial layer material is still present between the protective layer and the conductor track plane.

Auch die die freitragende Struktur bildende Schicht oder Schichtfolge kann selbstverständlich nach der Abscheidung über der Opferschicht strukturiert werden, um die gewünschte geometrische Form bzw. Struktur zu erhalten. Die Schutzschicht wird nach der Fertigstellung der freitragenden Struktur durch einen selektiven Ätzprozess wieder entfernt, bei dem der zwischen der Schutzschicht und der Leiterbahnebene verbliebene Teil der Opferschicht nicht beseitigt wird.Also the self-supporting structure forming layer or layer sequence Of course, after the deposition over the sacrificial layer are structured to the desired to obtain geometric shape or structure. The protective layer is after the completion of the cantilever structure by a selective etching process removed again, in which the between the protective layer and the Circuit board remaining part of the sacrificial layer not eliminated becomes.

Die Leiterbahnebene wird mit dem vorgeschlagenen Verfahren in den zu schützenden Bereichen durch eine dünne Opferschicht geschützt, die eine nicht leitende Passivierung bildet. Diese verbliebene dünne Opferschicht bewirkt sowohl einen mechanischen Schutz der Leiterbahnen als auch einen Schutz vor Feuchte und Korrosion. Sie vermindert aufgrund ihrer elektrisch nicht leitenden Eigenschaft auch die Gefahr von Kurzschlüssen oder Nebenschlüssen in der Leiterbahnebene. Die Herstellung dieser nicht leitenden Passivierung erfordert lediglich die Einbettung und Strukturierung der zusätzlichen Schutzschicht beim Herstellungsprozess sowie den anschließenden Ätzprozess zur Entfernung der Schutzschicht. Das Verfahren ist damit kompatibel mit den bekannten IC-Prozesstechnologien und Hochtemperaturverfahren. Es sind keine neuen Materialsysteme und Prozesse erforderlich. Besonders vorteilhaft lässt sich die Schutzschicht in bestehende Oberflächen-mikromechanische Prozesse einbinden, bei denen eine Schichtkombination aus einer aktiven MEMS-Schicht aus Silizium und einer Opferschicht aus Siliziumoxid zum Einsatz kommen. Die elektrisch leitfähige Ausgestaltung der Schutzschicht hat den besonderen Vorteil, dass die vollständige Entfernung dieser Schutzschicht durch eine Leitfähigkeitsmessung an der Oberfläche der verbliebenen Opferschicht in einfacher Weise überprüft werden kann. Dies trägt zur Qualitätssicherung bei der Herstellung der mikromechanischen Bauteile bei.The PCB level is with the proposed method in the protective areas through a thin sacrificial layer protected, which forms a non-conductive passivation. This remaining thin sacrificial layer causes both a mechanical protection of the tracks as well as protection against moisture and corrosion. It reduces due to their electrically non-conductive Feature also the risk of short circuits or shunts in the ladder level. The preparation of this non-conductive passivation only requires the embedding and structuring of the additional protective layer during the manufacturing process as well as the subsequent etching process for removing the protective layer. The method is thus compatible with the well-known IC process technologies and high-temperature processes. There are no new material systems and processes required. Especially advantageous can the protective layer in existing surface micromechanical Integrate processes in which a layer combination of an active MEMS layer of silicon and a sacrificial layer of silicon oxide be used. The electrically conductive design The protective layer has the particular advantage of being the complete removal this protective layer by a conductivity measurement the surface of the remaining sacrificial layer in a simple Way can be checked. This carries for quality assurance in the production of micromechanical Components included.

In der bevorzugten Ausgestaltung des Verfahrens wird der Bereich mit den freitragenden Strukturen mit einer Schutzkappe hermetisch abgedeckt. Die Entfernung der Schutzschicht durch einen Ätzprozess wird dann erst nach dem Aufbringen der Schutzkappe durchgeführt. Damit kann die Schutzschicht aus demselben Material wie die aktive MEMS-Schicht bestehen, beispielsweise aus Silizium. Der aktive Bereich mit den freitragenden Strukturen bleibt durch die Schutzkappe während der Entfernung der Schutzschicht geschützt.In The preferred embodiment of the method is the area with the cantilever structures hermetically covered with a protective cap. The Removal of the protective layer by an etching process is then carried out only after the application of the protective cap. Thus, the protective layer of the same material as the active MEMS layer consist, for example, of silicon. The active area with the self-supporting structures remains through the protective cap during Protected from the removal of the protective layer.

Das Einbetten der zusätzlichen Schutzschicht in die Opferschicht erfolgt vorzugsweise, indem die Opferschicht in mehreren Stufen aufgebracht wird. Die Aufbringung bzw. das Abscheiden der Opferschicht wird dabei unterbrochen, nachdem die gewünschte Schichtdicke der Opferschicht für die spätere Passivierung über der Leiterbahnebene aufgebracht ist. Dann wird die Schutzschicht auf diese teilweise abgeschiedene Opferschicht aufgebracht und so strukturiert, dass sie über den zu schützenden Bereichen verbleibt und in Bereichen fehlt, in denen die Opferschicht später vollständig entfernt werden soll. Nach dieser Strukturierung wird das Abscheiden bzw. die Aufbringung der Opferschicht bis zu der für die Erzeugung der freitragenden Struktur erforderlichen Dicke fortgesetzt.The Embedding the additional protective layer in the sacrificial layer is preferably done by the sacrificial layer in several stages is applied. The application or deposition of the sacrificial layer is interrupted after the desired layer thickness of the Sacrificial layer for later passivation over the conductor track level is applied. Then the protective layer applied to this partially deposited sacrificial layer and so on structured that over the areas to be protected remains and is missing in areas where the sacrificial layer later completely removed. After this structuring the deposition of the sacrificial layer is up to that required for the production of the cantilevered structure Thickness continued.

Das vorgeschlagene Verfahren lässt sich für die Herstellung von mikromechanischen Bauelementen mit freitragenden Strukturen einsetzen, die in vielen technischen Bereichen einsetzbar sind. Beispiele für aktuatorische und sensorische Anwendungsbereiche für diese Technologie sind Beschleunigungssensoren, Drehratensensoren, Drehbeschleunigungssensoren, Drucksensoren, Magnetfeldsensoren, Mikrofone, HF-Schalter, variable Kapazitäten, Mikrospiegel oder Mikrospiegel-Arrays. Dies ist selbstverständlich keine abschließende Aufzählung.The proposed method can be used for the production of micromechanical components with cantilevered structures which can be used in many technical areas. Examples of actuator and sensory applications for this technology are acceleration sensors, yaw rate sensors, Spin sensors, pressure sensors, magnetic field sensors, Microphones, RF switches, variable capacitance, micromirrors or micromirror arrays. Of course this is not final list.

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Das vorgeschlagene Verfahren wird nachfolgend anhand eines Ausführungsbeispiels in Verbindung mit den Zeichnungen nochmals näher erläutert. Hierbei zeigen:The proposed method will be described below with reference to an embodiment explained in more detail in conjunction with the drawings. in this connection demonstrate:

1 ein Beispiel für den Aufbau eines mikromechanischen Inertialsensors des Standes der Technik; 1 an example of the structure of a micromechanical inertial sensor of the prior art;

2 ein Beispiel für den bekannten Verfahrensablauf zur Herstellung eines mikromechanischen Bauelements mit einer freitragenden Struktur; 2 an example of the known process sequence for producing a micromechanical device having a cantilevered structure;

3 schematisch ein Beispiel für den Verfahrensablauf bei der Durchführung des erfindungsgemäßen Verfahrens; und 3 schematically an example of the procedure in the implementation of the method according to the invention; and

4 ein weiteres Beispiel für die Herstellung eines mikromechanischen Bauelements gemäß dem erfindungsgemäßen Verfahren. 4 a further example of the production of a micromechanical device according to the method according to the invention.

Wege zur Ausführung der ErfindungWays to carry out the invention

Im folgenden Beispiel wird das vorgeschlagene Verfahren für die Herstellung eines mikromechanischen Bauelements mit einer freitragenden Struktur erläutert, bei dem die freitragende Struktur durch eine zusätzliche Schutzkappe abgedeckt wird. Viele der Verfahrensschritte für die Herstellung dieses mikromechanischen Bauteils stimmen mit den Verfahrensschritten überein, wie sie bereits in der Beschreibungseinleitung in Verbindung mit den 2a–c erläutert wurden. Dies betrifft auch die dort angeführten Möglichkeiten der Materialwahl für die einzelnen Schichten und Ätzprozesse. Im Folgenden wird daher lediglich auf die Unterschiede eingegangen, die das vorgeschlagene Verfahren gegenüber dem Verfahren der 2a–c aufweist.In the following example, the proposed method for the production of a micromechanical device with a self-supporting structure is explained, in which the self-supporting structure is covered by an additional protective cap. Many of the method steps for the production of this micromechanical component are consistent with the method steps, as already described in the introduction to the description in conjunction with the 2a -C were explained. This also applies to the options given there of the choice of material for the individual layers and etching processes. In the following, therefore, only the differences between the proposed procedure and the procedure of 2a -C.

Bei dem vorgeschlagenen Verfahren wird in den später nicht durch eine Schutzkappe geschützten sensiblen Bereichen, in denen Leiterbahnen freiliegen würden, eine dünne elektrisch leitfähige Schutzschicht 15 in die Opferschicht 4 eingebettet.In the proposed method is in the later not protected by a protective cap sensitive areas in which traces would be exposed, a thin electrically conductive protective layer 15 into the sacrificial layer 4 embedded.

Dies ist in der 3a ersichtlich. Für die Einbettung dieser Schutzschicht 15 wird im vorliegenden Beispiel die Opferschicht 4 in mehreren Stufen abgeschieden. Zunächst erfolgt die Abscheidung dieser Opferschicht bis zu einer ersten Schichtdicke, die den Abstand der Leiterbahnebene 11 zum Substrat 2 festlegt. Nach dieser ersten Abscheidung der Opferschicht, im vorliegenden Beispiel aus SiO2, bis zu der ersten Dicke erfolgt die Abscheidung und Strukturierung der Leiterbahnebene 11. Anschließend wird die Abscheidung der Opferschicht 4 fortgesetzt, bis die gewünschte Schichtdicke über der Leiterbahnebene 11 für die spätere Passivierung dieser Leiterbahnebene 11 durch die Opferschicht 4 erreicht ist. Im Anschluss daran erfolgt die Abscheidung der Schutzschicht 15, im vorliegenden Beispiel aus elektrisch leitfähigem, dotiertem LPCVD-Silizium. Diese Schutzschicht 15 wird so strukturiert, dass sie nur im Bereich der später zu schützenden, durch die Ätzung der Opferschicht 4 freigelegten Bereiche 16 vorhanden ist und die Herstellung der freitragenden Strukturen in den verbleibenden Bereichen nicht behindert. Nach der Abscheidung und Strukturierung dieser Schutzschicht 15 wird die Abscheidung der Opferschicht 4 bis zu der Gesamtdicke fortgesetzt, die für die Herstellung der freitragenden Strukturen erforderlich ist.This is in the 3a seen. For embedding this protective layer 15 becomes the sacrificial layer in the present example 4 deposited in several stages. First, the deposition of this sacrificial layer takes place up to a first layer thickness, which is the distance of the conductor track plane 11 to the substrate 2 sets. After this first deposition of the sacrificial layer, in the present example from SiO 2 , up to the first thickness, the deposition and structuring of the conductor track plane takes place 11 , Subsequently, the deposition of the sacrificial layer 4 continued until the desired layer thickness above the conductor track level 11 for the later passivation of this interconnect level 11 through the sacrificial layer 4 is reached. This is followed by the deposition of the protective layer 15 , in the present example of electrically conductive, doped LPCVD silicon. This protective layer 15 is structured so that it is only in the area of the later to be protected, by the etching of the sacrificial layer 4 uncovered areas 16 is present and does not hinder the production of the self-supporting structures in the remaining areas. After the deposition and structuring of this protective layer 15 becomes the deposition of the sacrificial layer 4 continued to the total thickness required for the preparation of the cantilever structures.

Im nächsten Schritt wird, wie in Verbindung mit 2 bereits beschrieben, die Opferschicht 4 durch einen Ätzprozess teilweise entfernt, so dass die aktive MEMS-Schicht 3 durch die dabei entstandenen Kavitäten 12 frei beweglich wird. In dem durch die Schutzschicht 15 zu schützenden Bereich stoppt die Ätzung jedoch an der Schutzschicht 15, die als Ätzstoppschicht für diesen Ätzprozess wirkt (3b). Die darunter liegenden Leiterbahnen werden somit nicht freigelegt und auch nicht unterätzt.In the next step, as in connection with 2 already described, the sacrificial layer 4 partially removed by an etching process, so that the active MEMS layer 3 through the resulting cavities 12 is free to move. In through the protective layer 15 However, the area to be protected stops the etching at the protective layer 15 which acts as an etch stop layer for this etching process ( 3b ). The underlying tracks are thus not exposed and not undercut.

Anschließend wird der aktive Sensorbereich mit den freitragenden Strukturen mit einer Schutzkappe 14 versehen. Dies kann z. B. durch verschiedenste Wafer-Level-Packaging-Verfahren wie Glasfrit-Bonden, eutektisches Bonden oder anodisches Bonden erfolgen (vgl. 3c).Subsequently, the active sensor area with the self-supporting structures with a protective cap 14 Mistake. This can be z. B. by a variety of wafer-level packaging processes such as glass-frit bonding, eutectic bonding or anodic bonding done (see. 3c ).

Schließlich wird die Schutzschicht 15 in einem nachfolgenden Prozessschritt entfernt. Dabei ist der aktive Sensorbereich durch die Kappe 14 geschützt. Die Ätzung der Schutzschicht 15 erfolgt dabei selektiv zur darunter verbliebenen Opferschicht 17. Für dotiertes Silizium als Material für die Schutzschicht 15 ergeben sich damit unter anderem folgende Möglichkeiten:

  • – nasschemisches Ätzen mit TMAH, KOH oder Ethylende-Diaminepyrocatechol;
  • – Plasma RIE-Ätzen mittels SF6, CF4, CHF3 usw.;
  • – Trockenätzen mittels XeF2.
Finally, the protective layer 15 removed in a subsequent process step. The active sensor area is through the cap 14 protected. The etching of the protective layer 15 takes place selectively to the remaining sacrificial layer 17 , For doped silicon as the material for the protective layer 15 Among other things, this results in the following possibilities:
  • Wet-chemical etching with TMAH, KOH or ethylenediamine pyrocatechol;
  • - Plasma RIE etching using SF 6 , CF 4 , CHF 3, etc .;
  • Dry etching by XeF 2 .

Durch diese Ätzung wird der unter der Schutzschicht 15 liegende Teil der Opferschicht 4 nicht oder nur zu Teilen entfernt, so dass die Passivierung der Leiterbahnebene 11 durch diese verbliebene Opferschicht 17 erhalten bleibt (3d).By this etching, the under the protective layer 15 lying part of the sacrificial layer 4 not or only partially removed, so that the passivation of the interconnect level 11 through this remaining sacrificial layer 17 preserved ( 3d ).

Durch das lokale Einbetten der Schutzschicht 15 in die Opferschicht 4 werden partiell bestimmte zu schützende Sensorbereiche abgedeckt. Die Schutzschicht 15 schützt bei der zur lokalen Beseitigung der Opferschicht erforderlichen Ätzung die unter der Schutzschicht liegende sensible Sensorschicht vor chemischen oder physikalischen Angriffen. Die Schutzschicht 15 wird in einem nachfolgenden Prozess wieder entfernt, wodurch die ursprüngliche Funktionalität des sensiblen Sensorbereichs wieder hergestellt wird. Darunter liegende elektrische Leiterbahnen bleiben durch die verbliebene dünne Opferschicht 17 abgedeckt und sind somit passiviert. Die Dicke dieser verbliebenen Opferschicht 17 wird vorzugsweise im Bereich von ≥ 100 nm bis einigen μm gewählt.By local embedding of the protective layer 15 into the sacrificial layer 4 partially certain sensor areas to be protected are covered. The protective layer 15 In the case of the etching required for the local removal of the sacrificial layer, the sensitive sensor layer under the protective layer protects against chemical or physical attacks. The protective layer 15 is removed again in a subsequent process, thereby restoring the original functionality of the sensitive sensor area. Underlying electrical traces remain through the remaining thin sacrificial layer 17 covered and are thus passivated. The thickness of this remaining sacrificial layer 17 is preferably selected in the range of ≥ 100 nm to several μm.

4 zeigt ein weiteres Beispiel für die Herstellung eines mikromechanischen Bauelements gemäß dem vorgeschlagenen Verfahren. Gleiche Bezugszeichen entsprechen hierbei den gleichen Elementen wie in den vorangehenden Figuren. In diesem Beispiel wird die Schutzschicht 15 derart geometrisch ausgeführt und die Opferschicht 4 derart strukturiert, dass die angrenzende aktive MEMS-Schicht 3 anschließend auf dem Rand der Schutzschicht aufliegt (4a). Hierdurch entsteht eine zusätzliche Kontaktierungsfläche 18 der aktiven MEMS-Schicht 3 auf der Schutzschicht 15, durch die lokale Unterätzungen beim Entfernen der Opferschicht 4 vermieden werden (4b). Dadurch sind diese Kantenbereiche mechanisch stabiler und durch nachfolgende Prozesse (Sägen oder Reinigen) induzierte Kantenabbrüche an kritischen Bereichen (Pads) werden verhindert. Somit werden Partikel minimiert, die zu Kurzschlüssen führen können. 4 shows another example of the production of a micromechanical device according to the proposed method. The same reference numerals correspond to the same elements as in the preceding figures. In this example, the protective layer becomes 15 so geometrically executed and the sacrificial layer 4 structured so that the adjacent active MEMS layer 3 then rests on the edge of the protective layer ( 4a ). This creates an additional contact surface 18 the active MEMS layer 3 on the protective layer 15 through which local undercuts when removing the sacrificial layer 4 be avoided ( 4b ). As a result, these edge regions are mechanically stable and edge breaks at critical regions (pads) induced by subsequent processes (sawing or cleaning) are prevented. This minimizes particles that can cause short circuits.

11
Sensorsensor
22
Trägersubstratcarrier substrate
33
Aktive MEMS-Schichtactive MEMS layer
44
Opferschichtsacrificial layer
55
Gegenelektrodencounter electrodes
66
Isolationsschichtinsulation layer
77
Verbindungsschichtlink layer
88th
Aluminium-AnschlussflächenAluminum pads
99
Deckel-ChipLid chip
1010
Schicht aus Gettermateriallayer made of getter material
1111
LeiterbahnebeneInterconnect level
1212
Freigeätzter Bereichbeen exposed by Area
1313
Freigeätzter Bereich mit offenen Leiterbahnstrukturenbeen exposed by Area with open interconnect structures
1414
Schutzkappeprotective cap
1515
Schutzschichtprotective layer
1616
Freigeätzter Bereich mit abgedeckten Leiterbahnstrukturenbeen exposed by Area with covered trace structures
1717
verbliebene Opferschicht zur Passivierung der Leiterbahnstrukturenremaining Sacrificial layer for passivation of the conductor track structures
1818
zusätzliche Kontaktierungsflächeadditional contacting surface

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list The documents listed by the applicant have been automated generated and is solely for better information recorded by the reader. The list is not part of the German Patent or utility model application. The DPMA takes over no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • - US 6401544 B2 [0010] - US 6401544 B2 [0010]
  • - US 6187607 B1 [0011] US 6187607 B1 [0011]

Zitierte Nicht-PatentliteraturCited non-patent literature

  • - P. Merz et al., „PSM-X2: Polysilicon surface micromachining process platform for vacuum-packed sensors", Konferenzband Mikrosystemtechnik-Kongress 2005, Freiburg, Seiten 467 bis 470 [0003] - P. Merz et al., "PSM-X2: Polysilicon Surface Micromachining Process Platform for Vacuum-packed Sensors", Conference Volume Microsystems Technology Congress 2005, Freiburg, pages 467 to 470 [0003]

Claims (7)

Verfahren zur Herstellung eines mikromechanischen Bauelements mit mindestens einer freitragenden Struktur, bei dem eine Leiterbahnebene (11) und eine Opferschicht (4) so auf ein Substrat (2) aufgebracht werden, dass die Leiterbahnebene (11) zwischen Substrat (2) und Opferschicht (4) oder innerhalb der Opferschicht (4) liegt, auf die Opferschicht (4) eine die freitragende Struktur bildende Schicht (3) oder Schichtfolge abgeschieden wird und die Opferschicht (4) zur Fertigstellung der freitragenden Struktur durch einen Ätzprozess teilweise entfernt wird, dadurch gekennzeichnet, dass bei dem Aufbringen der Opferschicht (4) oberhalb eines zu schützenden Bereichs der Leiterbahnebene (11) eine elektrisch leitfähige Schutzschicht (15) in einem Abstand zur Leiterbahnebene (11) in die Opferschicht (4) eingebettet wird, die als Ätzstoppschicht beim Ätzprozess für die Entfernung der Opferschicht (4) dient, wobei die Schutzschicht (15) nach Fertigstellung der freitragenden Struktur durch einen Ätzprozess entfernt wird, der selektiv zu einem unterhalb der Schutzschicht (15) verbliebenen Teil (17) der Opferschicht wirkt, die auf der Leiterbahnebene (11) verbleibt.Method for producing a micromechanical component having at least one self-supporting structure, in which a conductor track plane ( 11 ) and a sacrificial layer ( 4 ) on a substrate ( 2 ), that the conductor track plane ( 11 ) between substrate ( 2 ) and sacrificial layer ( 4 ) or within the sacrificial layer ( 4 ), on the sacrificial layer ( 4 ) a layer forming the self-supporting structure ( 3 ) or layer sequence is deposited and the sacrificial layer ( 4 ) is partially removed by an etching process for completing the self-supporting structure, characterized in that during the application of the sacrificial layer ( 4 ) above a region of the interconnect level to be protected ( 11 ) an electrically conductive protective layer ( 15 ) at a distance to the conductor track plane ( 11 ) into the sacrificial layer ( 4 embedded as an etch stop layer in the etching process for the removal of the sacrificial layer ( 4 ), the protective layer ( 15 ) is removed after completion of the cantilevered structure by an etching process that is selective to one below the protective layer ( 15 ) remaining part ( 17 ) of the sacrificial layer acting on the interconnect level ( 11 ) remains. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass nach der Fertigstellung der freitragenden Struktur zunächst eine Schutzabdeckung (14) über der freitragenden Struktur aufgebracht wird, die die freitragende Struktur gegenüber äußeren Einflüssen hermetisch abschließt, und anschließend die Schutzschicht (15) durch den Ätzprozess entfernt wird.A method according to claim 1, characterized in that after the completion of the cantilever structure, first a protective cover ( 14 ) is applied over the cantilever structure, which hermetically seals the cantilevered structure against external influences, and then the protective layer ( 15 ) is removed by the etching process. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass zunächst die Leiterbahnebene (11) auf das Substrat (2) aufgebracht und strukturiert und anschließend die Opferschicht (4) aufgebracht wird, wobei das Aufbringen der Opferschicht (4) bei Erreichen einer ersten Schichtdicke unterbrochen wird, die Schutzschicht (15) abgeschieden und für einen lokalen Verbleib über dem zu schützenden Bereich strukturiert wird, und anschließend das Aufbringen der Opferschicht (4) fortgesetzt wird.Method according to claim 1 or 2, characterized in that first the conductor track plane ( 11 ) on the substrate ( 2 ) and structured and then the sacrificial layer ( 4 ) is applied, wherein the application of the sacrificial layer ( 4 ) is interrupted on reaching a first layer thickness, the protective layer ( 15 ) and patterned for local fidelity over the area to be protected, and then applying the sacrificial layer (FIG. 4 ) is continued. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das Aufbringen der Opferschicht (4) bei Erreichen einer ersten Schichtdicke unterbrochen wird, die Leiterbahnebene (11) aufgebracht und strukturiert wird, das Aufbringen der Opferschicht (4) fortgesetzt und bei Erreichen einer zweiten Schichtdicke nochmals unterbrochen wird, die Schutzschicht (15) abgeschieden und für den lokalen Verbleib über dem zu schützenden Bereich strukturiert wird, und anschließend das Aufbringen der Opferschicht (4) wiederum fortgesetzt wird.Method according to claim 1 or 2, characterized in that the application of the sacrificial layer ( 4 ) is interrupted on reaching a first layer thickness, the interconnect level ( 11 ) is applied and structured, the application of the sacrificial layer ( 4 ) and is interrupted again when a second layer thickness is reached, the protective layer ( 15 ) and patterned for local fidelity over the area to be protected, and then applying the sacrificial layer (FIG. 4 ) will be continued. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, dass die Opferschicht (4) vor dem Abscheiden der die freitragende Struktur bildenden Schicht (3) oder Schichtfolge strukturiert wird, um eine geometrische Form von Bereichen der freitragenden Struktur festzulegen.Method according to one of claims 1 to 4, characterized in that the sacrificial layer ( 4 ) before depositing the cantilevered structure ( 3 ) or layer sequence to define a geometric shape of regions of the cantilevered structure. Verfahren nach Anspruch 5, dadurch gekennzeichnet, dass die Strukturierung der Opferschicht (4) derart erfolgt, dass an die Schutzschicht (15) angrenzende Bereiche der die freitragende Struktur bildenden Schicht (3) oder Schichtfolge auf einem Rand der Schutzschicht (15) aufliegen.A method according to claim 5, characterized in that the structuring of the sacrificial layer ( 4 ) is carried out in such a way that to the protective layer ( 15 ) adjacent regions of the cantilever structure forming layer ( 3 ) or layer sequence on one edge of the protective layer ( 15 ) rest. Verfahren nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass die die freitragende Struktur bildende Schicht (3) oder Schichtfolge nach der Abscheidung strukturiert wird.Method according to one of Claims 1 to 6, characterized in that the layer forming the self-supporting structure ( 3 ) or layer sequence after the deposition is structured.
DE102007013329A 2007-03-20 2007-03-20 Method for producing a micromechanical component with a partial protective layer Active DE102007013329B4 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE102007013329A DE102007013329B4 (en) 2007-03-20 2007-03-20 Method for producing a micromechanical component with a partial protective layer
EP08734374A EP2121515B1 (en) 2007-03-20 2008-03-13 Method for producing a micromechanical component comprising a partial protective layer
PCT/DE2008/000434 WO2008113325A2 (en) 2007-03-20 2008-03-13 Method for producing a micromechanical component comprising a partial protective layer
AT08734374T ATE523467T1 (en) 2007-03-20 2008-03-13 METHOD FOR PRODUCING A MICROMECHANICAL COMPONENT WITH A PARTIAL PROTECTIVE LAYER

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007013329A DE102007013329B4 (en) 2007-03-20 2007-03-20 Method for producing a micromechanical component with a partial protective layer

Publications (3)

Publication Number Publication Date
DE102007013329A1 true DE102007013329A1 (en) 2008-09-25
DE102007013329A8 DE102007013329A8 (en) 2009-01-15
DE102007013329B4 DE102007013329B4 (en) 2011-01-27

Family

ID=39712982

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007013329A Active DE102007013329B4 (en) 2007-03-20 2007-03-20 Method for producing a micromechanical component with a partial protective layer

Country Status (4)

Country Link
EP (1) EP2121515B1 (en)
AT (1) ATE523467T1 (en)
DE (1) DE102007013329B4 (en)
WO (1) WO2008113325A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009030281B4 (en) * 2008-06-25 2012-01-19 Infineon Technologies Ag Apparatus and method for actively shielding conductors in MEMS devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19847455A1 (en) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Silicon multi-layer etching, especially for micromechanical sensor production, comprises etching trenches down to buried separation layer, etching exposed separation layer and etching underlying silicon layer
US6187607B1 (en) 1998-04-18 2001-02-13 Robert Bosch Gmbh Manufacturing method for micromechanical component
US6401544B2 (en) 1998-08-27 2002-06-11 Infineon Technologies Ag Micromechanical component protected from environmental influences
DE102004027501A1 (en) * 2004-06-04 2005-12-22 Robert Bosch Gmbh Micromechanical device with several caverns and manufacturing process
DE102004059911A1 (en) * 2004-12-13 2006-06-14 Robert Bosch Gmbh Method for forming a trench in a microstructure

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19820816B4 (en) * 1998-05-09 2006-05-11 Robert Bosch Gmbh Bondpad structure and corresponding manufacturing method
US6396368B1 (en) * 1999-11-10 2002-05-28 Hrl Laboratories, Llc CMOS-compatible MEM switches and method of making

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187607B1 (en) 1998-04-18 2001-02-13 Robert Bosch Gmbh Manufacturing method for micromechanical component
US6401544B2 (en) 1998-08-27 2002-06-11 Infineon Technologies Ag Micromechanical component protected from environmental influences
DE19847455A1 (en) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Silicon multi-layer etching, especially for micromechanical sensor production, comprises etching trenches down to buried separation layer, etching exposed separation layer and etching underlying silicon layer
DE102004027501A1 (en) * 2004-06-04 2005-12-22 Robert Bosch Gmbh Micromechanical device with several caverns and manufacturing process
DE102004059911A1 (en) * 2004-12-13 2006-06-14 Robert Bosch Gmbh Method for forming a trench in a microstructure

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
P. Merz et al., "PSM-X2: Polysilicon surface micromachining process platform for vacuum-packed sensors", Konferenzband Mikrosystemtechnik-Kongress 2005, Freiburg, Seiten 467 bis 470
P. Merz et al., Konferenzband Mikrosystemtechnik- Kongress 2005, Freiburg, S. 467-470
P. Merz et al., Konferenzband MikrosystemtechnikKongress 2005, Freiburg, S. 467-470 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009030281B4 (en) * 2008-06-25 2012-01-19 Infineon Technologies Ag Apparatus and method for actively shielding conductors in MEMS devices

Also Published As

Publication number Publication date
EP2121515B1 (en) 2011-09-07
ATE523467T1 (en) 2011-09-15
DE102007013329B4 (en) 2011-01-27
WO2008113325A3 (en) 2009-01-29
WO2008113325A2 (en) 2008-09-25
DE102007013329A8 (en) 2009-01-15
EP2121515A2 (en) 2009-11-25

Similar Documents

Publication Publication Date Title
EP2170763B1 (en) Method for the production of a component, and component
EP1866236B1 (en) Micromechanical component and method for fabricating a micromechanical component
DE102009029095B4 (en) Micromechanical component
DE102006011545B4 (en) Micromechanical combination component and corresponding manufacturing method
DE102012206854B4 (en) Hybrid integrated component and process for its manufacture
DE10006035A1 (en) Micro-mechanical component production, used as sensor element or actuator element, comprises providing functional element and/or functional layer with protective layer
DE102013208825B4 (en) Microstructure component and method for manufacturing a microstructure component
DE102012219465A1 (en) Method for producing a cap for a MEMS component and hybrid integrated component with such a cap
DE102012219550A1 (en) Hybrid integrated component
DE102010061782B4 (en) Method for producing a micromechanical component
EP1389307B1 (en) Sensor arrangement, in particular micro-mechanical sensor arrangement
DE102015212669B4 (en) Capacitive microelectromechanical device and method of forming a capacitive microelectromechanical device
DE102008044177A1 (en) Method for producing a micromechanical component as well as the component produced by the method or its use
DE102007013329B4 (en) Method for producing a micromechanical component with a partial protective layer
DE10324421B4 (en) Semiconductor device with Metallisierungsfläche and method for producing the same
DE102013222664A1 (en) Micromechanical structure and method for producing a micromechanical structure
DE60201408T2 (en) Method for reinforcing a mechanical microstructure
DE102010062056B4 (en) Micromechanical component
DE10231730B4 (en) Microstructure device
DE102009046081B4 (en) Eutectic bonding of thin chips on a carrier substrate
DE102010002992B4 (en) Piezoresistive micromechanical sensor component and corresponding manufacturing method
DE102021204645A1 (en) Method for producing a microelectromechanical sensor from a MEMS element and an ASIC element and microelectromechanical sensor
EP3110748B1 (en) Method for producing a component, and component
DE102012219616B4 (en) Micromechanical component with bond connection
EP3110745B1 (en) Method for producing a component

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8196 Reprint of faulty title page (publication) german patentblatt: part 1a6
OP8 Request for examination as to paragraph 44 patent law
R020 Patent grant now final

Effective date: 20110427