DE10011054A1 - p-Kanal-Si/SiGe-Hochgeschwindigkeitshetero- struktur für Feldeffektbauelement - Google Patents

p-Kanal-Si/SiGe-Hochgeschwindigkeitshetero- struktur für Feldeffektbauelement

Info

Publication number
DE10011054A1
DE10011054A1 DE10011054A DE10011054A DE10011054A1 DE 10011054 A1 DE10011054 A1 DE 10011054A1 DE 10011054 A DE10011054 A DE 10011054A DE 10011054 A DE10011054 A DE 10011054A DE 10011054 A1 DE10011054 A1 DE 10011054A1
Authority
DE
Germany
Prior art keywords
layer
forming
epitaxially
range
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10011054A
Other languages
English (en)
Inventor
Jack Oon Chu
Richard Hammond
Khalid Ezzeldin Ismail
Steven John Koester
Patricia May Mooney
John A Ott
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE10011054A1 publication Critical patent/DE10011054A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility

Abstract

Es werden ein Verfahren und eine Heteroschichtstruktur zur Bildung von p-Kanal-Feldeffekttransistoren beschrieben, die eine Mehrzahl von Halbleiterschichten auf einem Halbleitersubstrat, eine Komposit-Kanalstruktur aus einer ersten epitaxialen Ge-Schicht und einer zweiten, unter Druckspannung stehenden SiGe-Schicht umfassen, die eine höhere Barriere oder eine tiefere einschließende Quantenmulde sowie eine äußerst hohe Löcherbeweglichkeit aufweist. Die Erfindung überwindet das Problem einer gebrenzten Löcherbeweglichkeit für ein p-Kanal-Bauelement mit nur einer einzigen, unter Druckspannung stehenden SiGe-Kanalschicht.

Description

Gebiet der Erfindung
Diese Erfindung bezieht sich auf ein Materialsystem auf der Basis von Silicium und Siliciumgermanium und spezieller auf eine neuartige epitaxiale Feldeffekttransistor-Struktur (epitaxial field effect transistor structure), die für Mikrowellen-, Submillimeterwellen- und Millimeterwellen- Hochgeschwindigkeitsanwendungen mit geringem Rauschen (low noise) geeignet ist. Vorzugsweise beinhaltet die epitaxiale Feldeffekttransistor-Struktur einen mechanisch vorgespannten (strained) p-Kanal hoher Leistungsfähigkeit in Kombination mit Silicium-, Germanium- und Siliciumgermanium-Schichten zur Bildung einer modulationsdotierten (modulation-doped) Heterostruktur.
Hintergrund der Erfindung
In Bauelementanwendungen mit hoher Geschwindigkeit und geringem Rauschen lag das zentrale Interesse auf dem Entwerfen und Herstellen von Transistoren mit hoher Elektronenbeweglichkeit (HEMTs) oder modulationsdotierten Feldeffekttransistoren (MODFETs), bei denen Ladungsträgerleitung (carrier conduction) (z. B. Elektronen, Löcher) in einer undotierten Kanalschicht derart auftritt, dass die Ladungsträgerbeweglichkeit nicht durch Streuung an Störstellen (impurity scattering) beschränkt ist und eine hohe Ladungsträgerbeweglichkeit erreicht wird. Allgemein werden diese elektronischen Hochgeschwindigkeitsbauelemente häufig als Verstärker mit geringem Rauschen, Leistungsverstärker, Satellitenempfänger (satellite receivers) und -sender (transmitters) verwendet, die im Mikrowellen- und HF-Bereich arbeiten, und das Material der Wahl besteht üblicherweise aus dem schnelleren, jedoch kostenintensiveren III-V (z. B. GaAs)-Materialsystem und der entsprechenden Technologie. Eine komplizierte und kostenintensive Technologie für III-V-Materialien ist in der Halbleiterindustrie nicht sehr wünschenswert, wohingegen ein kostengünstiges SiGe-Materialsysten, das mit gegenwärtiger Si- Technologie vollständig kompatibel ist, wünschenswerter und viel leichter in eine existierende Si-CMOS- Bauelementtechnologie zu integrieren ist.
Ein Beispiel für ein mit der Si-Technologie kompatibles Materialsystem ist im US-Patent Nr. 5 019 882, das am 28. Mai 1991 für P. M. Solomon erteilt wurde, mit dem Titel "Germanium Channel Silicon MOSFET" beschrieben und auf den Rechtsnachfolger hierin übertragen. In dem US-Patent Nr. 5 019 882 beinhaltet ein Kanal mit einer verbesserten Ladungsträgerbeweglichkeit eine Legierungsschicht aus Silicium und Germanium, die über einem Siliciumsubstrat aufgewachsen ist. Die Legierungsschicht ist für ein geeignetes, pseudomorphes (pseudomorphic), versetzungsfreies (dislocation free) Wachstum dünn genug gehalten. Eine Schicht aus Silicium ist über der Legierungsschicht ausgebildet und ist teilweise durchoxidiert, um eine dielektrische Schicht zu bilden. Über dem Siliciumdioxid ist ein Gate-Bereich ausgebildet.
Ein zweites Beispiel für eine SiGe- Hochleistungsbauelementstruktur, die mit der Si-Technologie kompatibel ist, ist im US-Patent Nr. 5 534 713, das am 9. Juli 1996 für K. E. Ismail erteilt wurde, mit dem Titel "Complementary Metal-Oxide Semiconductor Transistor Logic Using Strained Si/Si-Ge-Heterostructure Layers" beschrieben und auf den Rechtsnachfolger hierin übertragen. In dem US-Patent Nr. 5 534 713 ist eine Silicium-CMOS-Transistorstruktur beschrieben, die einen vergrabenen SiGe-Kanal unter Druckspannung (compressive strain) mit verbesserter Löcherbeweglichkeit für ein p-Kanal-Bauelement und einen vergrabenen Si-Kanal unter Zugspannung (tensile strain) mit verbesserter Elektronenbeweglichkeit für ein n-Kanal-Bauelement verwendet, die auf einem mechanisch verspannten Si/SiGe- Heterostrukturaufbau hergestellt sind. Des Weiteren ist in 5 534 713 beschrieben, dass die vorgeschlagene unter Druck verspannte SiGe-Schicht, die als p-Kanal für den p-Kanal- Feldeffekttransistor dient, einen Anteil an Germanium (composition of germanium) im Bereich von 50% bis 100% und vorzugsweise einen Anteil von 80% aufweist. Bis dahin erbrachten Prototypen von SiGe-p-Kanal-MODFETs, die diesen Kanalaufbau und diese Zusammensetzung verwendeten, bei IBM Corporation Löcherbeweglichkeiten von lediglich bis zu 1.000 cm2/Vs bei Raumtemperatur. Demzufolge wird zur Erzielung einer noch höheren Löcherbeweglichkeit von mehr als 1.000 cm2/Vs ein p-Kanalaufbau mit einer Komposit- oder Doppelschichtstruktur, die aus einer Ge-Schicht (mit einer Dicke von 15 Å bis 20 Å) zusammen mit einer SiGe-Schicht aus 70% bis 80% Ge (mit einer Dicke von 70 Å bis 100 Å) besteht, als die optimale p- Kanalstruktur zur Erzeugung einer höheren Löcherbeweglichkeit in einem SiGe-Materialsystem präsentiert.
Zusammenfassung der Erfindung
Gemäß der vorliegenden Erfindung wird eine epitaxiale Struktur auf der Basis von Silicium und Siliciumgermanium für einen Feldeffekttransistor vom p-Typ beschrieben, die eine Komposit- oder Doppelschichtstruktur aus im Wesentlichen reinem Ge und einer SiGe-Schicht in einem p-Kanalbereich verwendet, um ein p- Kanal-Bauelement zu bilden mit einem Halbleitersubstrat, einer ersten Schicht aus relaxiertem, epitaxial auf dem Substrat gebildetem Si1-xGex, wobei der Ge-Anteil x im Bereich zwischen 0,35 und 0,5 liegt, einer zweiten, epitaxial auf der ersten Schicht gebildeten Schicht aus p-dotiertem Si1-xGex, einer dritten, epitaxial auf der zweiten Schicht gebildeten Schicht aus undotiertem Si, wobei sich die Si-Schicht unter Zugspannung befindet und bezüglich der Oberseite der ersten relaxierten Si1-xGex-Schicht kommensurabel (commensurate) bleibt, einer vierten, epitaxial auf der dritten Schicht gebildeten Schicht aus undotiertem Si1-xGex, einer fünften, epitaxial auf der vierten Schicht gebildeten Schicht aus undotiertem Ge, wobei sich die Ge-Schicht unter Druckspannung befindet und bezüglich der Oberseite der ersten relaxierten Si1-xGex-Schicht kommensurabel bleibt, einer sechsten, epitaxial auf der fünften Schicht gebildeten Schicht aus undotiertem Si1-wGew-Schicht wobei der Ge-Anteil w im Bereich zwischen 0,5 und weniger als 1,00 liegt und wobei w - x < 0,2, wodurch sich die Si1-wGew-Schicht unter Druckspannung befindet, und einer siebten, epitaxial auf der sechsten Schicht gebildeten Schicht aus undotiertem Si1-xGex. Über der siebten Schicht können allein eine Metallschicht zur Bildung einer Schottky-Barriere oder eine dielektrische und eine Metallschicht gebildet und strukturiert werden, um das Gate des p-Kanal-Feldeffekttransitors zu bilden, während der Drain- und der Source-Bereich durch Bilden von p-Bereichen auf jeder Seite des Gates in der Schichtstruktur erzeugt werden können. Dieser Schichtstrukturaufbau bildet eine modulationsdotierte Heterostruktur, wobei sich die Zufuhrschicht (supply layer) oder die zweite, p-dotierte Si1-xGex- Schicht unterhalb des aktiven Kompositkanals der Schichten fünf und sechs befindet. Des Weiteren verwendet in dieser geschichteten Bauelementstruktur die Abstandshalterschicht, die den aktiven Kanal von der Zufuhrschicht trennt, eine Doppelschicht, welche die dritte Schicht aus undotiertem Si und die vierte Schicht aus undotiertem Si1-xGex beinhaltet.
Die Erfindung stellt des Weiteren ein Verfahren zur Bildung eines p-Kanal-Feldeffekttransistors bereit, der eine erhöhte Löcherbeweglichkeit in seinem Kanal aufweist, mit einem Halbleitersubstrat, einer ersten, epitaxial auf dem Substrat gebildeten Schicht aus relaxiertem Si1-xGex, wobei x im Bereich zwischen 0,35 und 0,5 liegt, einer zweiten, epitaxial auf der ersten Schicht gebildeten Schicht aus p-dotiertem Si1-xGex, einer dritten, epitaxial auf der zweiten Schicht gebildeten Schicht aus undotiertem Si1-xGex, einer vierten, epitaxial auf der dritten Schicht gebildeten Schicht aus undotiertem Ge, wobei die Ge-Schicht bezüglich der Oberseite der ersten relaxierten Si1-xGex-Schicht kommensurabel ist, einer fünften, epitaxial auf der vierten Schicht gebildeten Schicht aus undotiertem Si1-wGew, wobei der Ge-Anteil w im Bereich zwischen 0,5 und weniger als 1,00 liegt und sich die fünfte Si1-wGew-Schicht unter Druckspannung befindet, sowie einer sechsten, epitaxial auf der fünften Schicht gebildeten Schicht aus undotiertem Si1-xGex. Dieser Schichtstrukturaufbau beschreibt eine modulationsdotierte Heterostruktur, wobei die Zufuhrschicht oder zweite, p-dotierte Si1-xGex-Schicht durch den Aufbau einer einzelnen, dritten Schicht als Abstandshalter aus Si oder Si1-xGex von dem aktiven Komposit-Kanal in der vierten und fünften Schicht getrennt ist.
Die Erfindung stellt des Weiteren ein Verfahren und einen p- Kanal-Feldeffekttransistor bereit, der eine erhöhte Löcherbeweglichkeit in seinem Kanal aufweist, mit einem Halbleitersubstrat, einer ersten, epitaxial auf dem Substrat gebildeten Schicht aus relaxiertem Si1-xGex, wobei x im Bereich zwischen 0,35 und 0,5 liegt, einer zweiten, epitaxial auf der Oberseite der ersten Schicht gebildeten Schicht aus undotiertem Ge, wobei die Ge-Schicht bezüglich der Oberseite der ersten relaxierten Si1-xGex-Schicht kommensurabel ist, einer dritten, epitaxial auf der zweiten Schicht gebildeten Schicht aus undotiertem Si1-wGew, wobei der Ge-Anteil w im Bereich zwischen 0,5 und weniger als 1,00 liegt und sich die dritte Si1-wGew- Schicht unter Druckspannung befindet, einer vierten, epitaxial auf der dritten Schicht gebildeten Schicht aus undotiertem Si1-xGex- sowie einer fünften, epitaxial auf der vierten Schicht gebildeten Schicht aus p-dotiertem Si1-xGex. Dieser Schichtstrukturaufbau beschreibt eine modulationsdotierte Heterostruktur, wobei sich die Zufuhrschicht oder die fünfte Schicht aus p-dotiertem Si1-xGex über dem aktiven Komposit-Kanal befindet, der die zweite und die dritte Schicht beinhaltet. In ähnlicher Weise kann die Zufuhrschicht oder die fünfte Schicht aus p-dotiertem Si1-xGex durch die Hinzufügung einer Si- Abstandshalterschicht zwischen der dritten und der vierten Schicht oder alternativ zwischen der vierten und der fünften Schicht über dem aktiven Komposit-Kanal der zweiten und der dritten Schicht weiter getrennt werden.
Die Erfindung stellt des Weiteren ein Verfahren und eine Struktur für eine relaxierte (mehr als 90%) Si1-xGex- Pufferschicht bereit mit einem Halbleitersubstrat, einer ersten Schicht aus teilweise relaxiertem (weniger als 50%) Si1-xGex, das epitaxial durch stufenweises Gradieren (stepwise grading) (oder lineares Gradieren) gebildet wird, wobei der Ge-Gehalt der Schichten beginnend auf dem Substrat stufenweise (oder in einer linearen Weise) erhöht wird und x im Bereich zwischen 0,1 und 0,9 liegt, einer zweiten, epitaxial auf der ersten Schicht gebildeten Schicht aus Si1-yGey, wobei y = x + z und z im Bereich zwischen 0,01 und 0,1 liegt, die dazu dient, die zweite Schicht in eine äquivalente Zusammensetzung "überzurelaxieren (over relax)" und die einen Gitterabstand aufweist, der einem Anteil von mehr als x entspricht, und einer dritten, epitaxial auf der zweiten Schicht gebildeten Schicht aus Si1-xGex, wobei nun die Si1-xGex-Schicht im Vergleich zu der ursprünglichen, teilweise relaxierten ersten Si1-xGex-Schicht mehr relaxiert ist. Das Maß an zusätzlicher Relaxation aufgrund dieser "darüber hinausschießenden (over shoot)" zweiten Schicht aus Si1-yGey ist von der Dicke dieser zweiten Schicht abhängig, die ihrerseits durch ihre kritische Dicke auf der anfänglichen, teilweise relaxierten ersten Si1-xGex-Schicht beschränkt ist.
Die Erfindung stellt des Weiteren einen Feldeffekttransistor vom p-Typ bereit, der auf einer der zuvor beschriebenen Schichtstrukturen hergestellt werden kann, wobei der leitende Kanal des Bauelements aus einer Komposit- oder Doppelschichtstruktur besteht, die eine im Wesentlichen reine Ge-Schicht und eine SiGe-Schicht beinhaltet. Der Feldeffekttransistor ist durch Bereiche isoliert, die durch selektives Entfernen der oberen Barrierenschicht, des leitenden Doppelschichtkanals, der undotierten Abstandshalterbereiche und des p-leitend dotierten Bereichs erzeugt werden, so dass nur innerhalb eines isolierten aktiven Bauelementbereichs ein zweidimensionaler Kanal gebildet wird. Eine Gate-Elektrode, die aus einem leitenden Streifen besteht, kann direkt auf der Waferoberfläche über dem aktiven Bauelementbereich ausgebildet werden, und die Source- und Drain-Elektroden können durch Herstellen eines ohmschen Kontakts zu dem leitenden Doppelschichtkanal auf jeder Seite der Gate-Elektrode innerhalb des aktiven Bauelementbereichs gebildet werden.
Eine Aufgabe dieser Erfindung besteht darin, einen p-leitenden, modulationsdotierten Feldeffekttransistor (MODFET) bereitzustellen, der auf einer Komposit- oder Doppelschichtstruktur gefertigt ist, die im Wesentlichen eine reine Ge-Schicht und eine SiGe-Schicht beinhaltet.
Eine Aufgabe der Erfindung besteht darin, eine Schichtstruktur bereitzustellen, die es ermöglicht, dass p-Kanal- Feldeffekttransistoren mit einem Kanal mit einem charakteristischen Zusammensetzungsprofil in Abhängigkeit von der Tiefe hergestellt werden.
Eine weitere Aufgabe der Erfindung besteht darin, ein p-Kanal- Bauelement bereitzustellen, bei dem der aktive Kanal eine Komposit- oder Doppelschichtstruktur ist, die aus einer dünnen Ge-Schicht zusammen mit einer SiGe-Schicht besteht.
Eine weitere Aufgabe der Erfindung besteht darin, p-Kanal- Bauelemente bereitzustellen, bei denen die Komposit- Kanalstruktur die höhere Druckspannung mit den Vorteilen einer höheren Ladungsträgerbeweglichkeit und einer höheren Barriere oder einem tieferen begrenzenden Kanal für Löcher-Ladungsträger im Vergleich zu einem Kanal mit einer einzigen SiGe-Schicht nutzt.
Eine weitere Aufgabe der Erfindung besteht in der Bereitstellung eines vergrabenen Kompositkanals aus einer Ge- Schicht mit einer SiGe-Schicht unter Druckspannung für eine höhere Ladungsträgerbeweglichkeit in einem p-Kanal-Bauelement.
Eine weitere Aufgabe der Erfindung besteht in der Bereitstellung eines p-Kanal-Bauelements, bei dem die Abstandshalterschicht ein Komposit oder ein Doppelschichtaufbau ist, der aus einer dünnen Si-Schicht zusammen mit einer SiGe- Schicht besteht.
Eine weitere Aufgabe der Erfindung besteht in der Bereitstellung einer Schichtstruktur und eines Fertigungsverfahrens, bei denen eine gewünschte relaxierte SiGe-Schicht durch die Hinzufügung von einer oder mehreren überschießenden Schichten in der aufwärts gradierten (grade-up) Zusammensetzung der SiGe-Pufferstruktur vollständiger relaxiert werden kann.
Eine weitere Aufgabe der Erfindung besteht in der Bereitstellung eines p-MODFET mit einer höheren Löcherbeweglichkeit im Vergleich zum Stand der Technik, wie Volumen-Si-p-MOSFETs (bulk Si p-MOSFETs) und Einzelkanal-SiGe- p-MODFETs (single-channel SiGe p-MODFETs).
Eine weitere Aufgabe der Erfindung besteht in der Bereitstellung eines p-MODFET mit verbessertem Hochfrequenzbetrieb im Vergleich zu Volumen-Si-p-MOSFETs oder im Vergleich zu Einzelkanal-SiGe-p-MODFETs aufgrund einer höheren Ladungsträgerbeweglichkeit.
Kurzbeschreibung der Zeichnungen
Diese und weitere Merkmale, Aufgaben und Vorteile der vorliegenden Erfindung werden bei Betrachtung der folgenden detaillierten Beschreibung der Erfindung ersichtlich, wenn sie in Verbindung mit den Zeichnungen gelesen wird, in denen:
Fig. 1 eine Querschnittansicht einer Schichtstruktur ist, die eine Ausführungsform der Erfindung darstellt;
Fig. 2 eine TEM-Querschnittaufnahme einer hergestellten Probe ist, welche die vollständige Schichtstruktur der in Fig. 1 gezeigten Ausführungsform der Erfindung darstellt;
Fig. 3 ein SIMS-Diagramm ist, welches die Ge-Konzentration in Abhängigkeit von der Tiefe für die in Fig. 2 gezeigte, hergestellte Probenstruktur zeigt und die bevorzugte Ge- Komposit-Schichtstruktur der Ausführungsform der Erfindung illustriert;
Fig. 4 eine vergrößerte SIMS-Ansicht des oberen Teils von Fig. 3 bis zu einer Tiefe von etwa 1.000 Å ist, welche die B- und Ge-Konzentration für den modulationsdotierten Bauelementbereich zeigt;
Fig. 5 oszillierende Röntgenstrahlkurven (X-ray rocking curves) für die (004)-Reflektion von der in Fig. 2 gezeigten, relaxierten hergestellten Schichtstruktur darstellt;
Fig. 6 eine detaillierte TEM-Querschnittansicht des oberen Bauelementbereichs der in Fig. 2 gezeigten, hergestellten Probenstruktur ist, welche die modulationsdotierte p-Kanal- Kompositbauelementstruktur der Ausführungsform der Erfindung darstellt;
Fig. 7 eine detaillierte TEM-Querschnittansicht eines Kanalbereichs einer Bauelementstruktur bei Fertigung auf einem weniger relaxierten Puffer im Vergleich zu der Schichtstruktur von Fig. 1 ist, welche das Vorhandensein von Stapelfehlern (stacking faults) in dem aktiven Kanal illustriert;
Fig. 8 eine graphische Darstellung der gemessenen Löcherbeweglichkeit in Abhängigkeit von der Temperatur in Kelvin (K) aus Hall-Messungen ist, die das Löcherbeweglichkeitsverhalten einer in Fig. 5 gezeigten p- Kanal-Bauelementstruktur hoher Qualität mit einer in Fig. 6 gezeigten p-Kanal-Bauelementstruktur geringer Qualität voller Stapelfehler vergleichen;
Fig. 9 eine Querschnittansicht einer Schichtstruktur ist, welche eine zweite Ausführungsform der Erfindung darstellt;
Fig. 10 eine Draufsicht auf einen Feldeffekttransistor ist;
Fig. 11 eine Querschnittansicht entlang der Linie 12-12 von Fig. 11 ist, welche die p-Kanal-Kompositschichtstruktur zeigt;
Fig. 12 eine Querschnittansicht eines Feldeffekttransistors mit isolierendem Gate auf einer p-Kanal-Kompositschichtstruktur ist;
Fig. 13 eine mikrophotographische REM-Aufnahme eines Teils eines fertiggestellten, selbstjustierten MODFETs ist, der auf einer in den Fig. 11 und 12 gezeigten p-Kanäl- Kompositschichtstruktur hergestellt ist;
Fig. 14 und 15 graphische Darstellungen von Datenpunkten der Stromverstärkung in Durchlassrichtung und der maximalen unilateralen Verstärkung in Abhängigkeit von der Frequenz gemessen bei Vds gleich -0,6 V beziehungsweise -1,5 V für einen p-MODFET sind, der mit einer p-Kanal-Komposit-Schichtstruktur hergestellt ist, die dem in Fig. 13 teilweise gezeigten MODFET ähnlich ist.
Beschreibung der bevorzugten Ausführungsformen
Bezugnehmend auf die Zeichnungen und insbesondere auf Fig. 1, ist dort eine Querschnittansicht einer Schichtstruktur 10 für eine modulationsdotierte p-Kanal-SiGe-Kompositheterostruktur gezeigt, um die Ausführungsform der Erfindung darzustellen. Schichten 12 bis 18 sind epitaxial auf einem einkristallinen Halbleitersubstrat 11 aufgewachsen, das aus Si, SiGe, Ge, SiC, GaAs, SOS, SOI, Bond- und Rückätz-Silicium-auf-Isolator (Bond and Etch back Silicon On Insulator) (BESOI) etc. bestehen kann, wobei eine epitaxiale Aufwachstechnik wie chemische Gasphasenabscheidung (chemical vapor deposition) im Ultrahochvakuum (UHV-CVD), Molekularstrahlepitaxie (molecular beam epitaxie) (MBE) oder schnelle thermische Gasphasenabscheidung (rapid thermal chemical vapor deposition) (RTCVD) verwendet wird. Für eine Beschreibung von UHV-CVD- Verfahren zum Aufwachsen epitaxialer Si- und Si1-xGex-Filme auf einem Siliciumsubstrat wird auf das am 29. März 1994 erteilte US-Patent Nr. 5 298 452 von B. S. Meyerson mit dem Titel "Method and Apparatus for Low Temperature, Low Pressure Chemical Vapor Deposition of Epitaxial Silicon Layers" verwiesen, das durch Verweis hierin aufgenommen wird.
Eine Darstellung einer bevorzugten Schichtstruktur 20 ist in Fig. 2 gezeigt, die den unteren Teil der in Fig. 1 gezeigten Schichtstruktur 10 darstellt. Fig. 2 zeigt eine TEM- Querschnittansicht einer hergestellten Schichtstruktur 20, die Schichten 12A, 12B, 12C sowie 13 bis 18 beinhaltet, die auf einem bevorzugten Siliciumsubstrat 31 aufgewachsen sind. Das entsprechende Ge-Anteilsprofil der SiGe-Schichtstruktur 20 von Fig. 2, wie es durch Sekundärionenmassenspektroskopie (secondary ion mass spectroscopy) (SIMS) gemessen wurde, ist in Fig. 3 gezeigt. In Fig. 3 repräsentiert die Ordinate die Ge- Konzentration in Atomprozent, und die Abszisse repräsentiert die ungefähre Tiefe in Mikrometer. In Fig. 3 entsprechen Kurvenbereiche 21' bis 27', 12B' sowie 12C' der Ge- Konzentration in den in Fig. 2 gezeigten Schichten 21 bis 27, 12B und 12C.
Fig. 4 ist eine vergrößerte Ansicht gerade des oberen Teils von Fig. 3, die lediglich den Bauelementbereich zeigt. In Fig. 3A repräsentiert die Ordinate auf der rechten Seite die Ge- Konzentration in Atomprozent, und die Abszisse repräsentiert die ungefähre Tiefe in Ångström. Eine Kurve 37 zeigt die Ge- Konzentration als Funktion der ungefähren Tiefe. In Fig. 4 repräsentiert die Ordinate auf der linken Seite die Borkonzentration in Atome/cm3, und die Kurve 39 zeigt die Borkonzentration als Funktion der ungefähren Tiefe.
Die in den Fig. 1 und 2 als Schicht 12A beschriebene erste epitaxiale Schicht aus relaxiertem Si1-xGex, das auf der Oberseite eines Substrats 11, 31 ausgebildet ist, besteht aus einer stufenweise gradierten Ge-Kompositschichtstruktur, welche die Schichten 21 bis 27 beinhaltet. Die Schichten 21 bis 27 weisen ein in Fig. 3 gezeigtes, bevorzugtes Profil auf, bei dem die mechanische Spannung in den Pufferschichten 21 bis 27 oder darunter in dem Substrat 11, 31 über eine modifizierte Frank- Read-Quelle als Mechanismus zur Erzeugung neuer Versetzungen 33 gelöst wurde, was in Fig. 2 gezeigt und im US-Patent Nr. 5 659 187 beschrieben ist, das am 19. August 1997 für F. K. Legoues und B. S. Meyerson erteilt wurde und durch Verweis hierin aufgenommen wird.
Die Pufferschicht 12 beinhaltet Schichten 12A, 12B und 12C und kann anfänglich undotiert und relaxiert sein sowie einen Ge- Anteil an einer Grenzfläche 19 zwischen den Schichten 12 und 13 im Bereich von etwa 30% bis etwa 50% mit einem bevorzugten Wert von etwa 35% aufweisen.
Der Aufbau der Schicht 12 besteht in Wirklichkeit aus einer Si1-xGex-Ausgangsschicht 12A aus einer gradierten Ge- Zusammensetzung, die über einem Si-Substrat 11, 31 gebildet ist, gefolgt von einer überschießenden Schicht aus einer Si1-yGey-Schicht 12B, wobei y = x + z und z im Bereich von 0,01 bis 0,1 mit einem bevorzugten Wert von 0,05 liegt, die über der Schicht 12A ausgebildet ist, und schließlich von einer relaxierteren Si1-xGex'-Schicht 12C, die über der Schicht 12B ausgebildet ist. Im Grunde ist die überschießende Schicht 12B dazu da, einen hohen Grad an Relaxation, d. h. < 90%, für die obere Si1-xGex'-Oberflächenschicht 12C an der Grenzfläche 19 zu gewährleisten. In dem bevorzugten Fall der Erzielung einer vollständig relaxierten Si0,65Ge0,35-Schicht 12C ist es wünschenswert, eine überschießende Schicht 12B aus Si0,60Ge0,40 zu verwenden, wie in Fig. 3 durch einen Kurventeil 12B' bezüglich der Kurvenbereiche 21' bis 27' gezeigt. In der relaxierten Si1-xGex'- Schicht 12C ist der Innerebenen-Gitterparameter aSiGe(x) durch Gleichung (1) gegeben:
aSiGe (x) = aSi + (aGe - aSi)x (1)
wobei x der Ge-Gehalt und 1-x der Si-Gehalt ist und aSi sowie aGe der Gitterkonstante für Si beziehungsweise Ge entsprechen, und demzufolge besitzt in dem bevorzugten Fall, wenn die obere Si0,65Ge0,35-Oberflächenschicht zu < 90% relaxiert ist, die Schicht 12C eine Gitterkonstante, die größer als 4,956 Å ist. Strukturell dient die Schicht 12 dazu, die mechanische Spannung zu relaxieren, die durch die Gitterfehlanpassung zwischen der Oberseite oder Grenzfläche 19 der relaxierten Schicht 12C und dem darunterliegenden Si-Substrat 11, 31 verursacht wird, wobei es eine Gitterfehlanpassung von 4,2% gibt, da Ge einen Gitterabstand von 1,04 mal größer als der Gitterabstand von einkristallinem Si besitzt. Die Pufferdicke der Schicht 12 kann im Bereich von 0,2 ìm bis 5 ìm liegen, wobei jedoch die bevorzugte Dicke etwa 2,5 ìm beträgt mit einem Ge- Anteilsprofil, das von x = 0 in einer bevorzugten stufenweisen Art (im Vergleich zu einer kontinuierlichen, linear gradierten Art) auf einen Wert im Bereich von x = 0,10 bis 1,0 mit einem bevorzugten Wert von x = 0,35 unter Verwendung einer stufenweisen Zunahme von 0,05 Ge pro inkrementaler Schicht zunimmt, wie in Fig. 2 durch die Schichten 21 bis 27 und in Fig. 3 durch die Kurventeile 21' bis 27' gezeigt ist.
Um die Fähigkeit der Schicht 12 abzuschätzen, einen vollständig relaxierten Si0,65Ge0,35-Puffer zu erzielen, wurde Hochauflösungs- Röntgenstrahlbeugung (high resolution x-ray diffraction) (XRD) zur Charakterisierung der hergestellten Probenstruktur von Fig. 2 verwendet. Das gemessene Spektrum zeigt sich in einer oszillierenden Röntgenstrahlkurve 62 für die (004)-Reflexion in Fig. 5, was nach einer Analyse anzeigt, dass der obere Teil der SiGe-Pufferschicht 12C an der Grenzfläche 19 bei einem Gitterparameter liegt, der einem Ge-Anteilswert von x = 0,35 entspricht, mit einer Spannungsrelaxation von etwa 95% bezüglich des darunterliegenden Si-Substrats 31. In Fig. 5 repräsentiert die Ordinate die Intensität in willkürlichen Einheiten, und die Abszisse repräsentiert den Bragg-Winkel. Ein Kurventeil 63 der Kurve 62 zeigt die gemessenen Beugungsspektren für den Kompositlöcherkanal 16 und 17. Ein Kurventeil 64 der Kurve 62 zeigt die gemessenen Beugungsspektren für die gradierte Schicht 12. Ein Kurventeil 65 der Kurve 62 zeigt die gemessenen Beugungsspektren für die überschießende Schicht 12B. Ein Kurventeil 66 der Kurve 62 zeigt die gemessenen Beugungsspektren für das Substrat 11.
Das bevorzugte Verfahren zum Aufwachsen von Silicium- und siliciumhaltigen Filmen, d. h. Si:B, Si:P, SiGe, SiGe:B, SiGe:P, SiGeC, SiGeC:B, SiGeC:P, ist der UHV-CVD-Prozess, wie er in dem vorstehend erwähnten US-Patent 5 298 452 von B. S. Meyerson beschrieben ist. Ein UHV-CVD-Reaktor, der zum Aufwachsen der vorstehend erwähnten Silicium- und siliciumhaltigen Filme geeignet ist, ist von Leybold-Heraeus Co. in Deutschland, Epigress in Schweden und CVD-Equipment Corp. in Ronkonkoma, New York, USA erhältlich.
In der Schichtstruktur 10 für eine modulationsdotierte p-Kanal- SiGe-Kompositheterostruktur wird zuerst eine relaxierte, p- dotierte SiGe-Schicht 13, wie in Fig. 1 gezeigt, über der Schicht 12C gebildet, um als Donator- oder Zufuhrschicht unterhalb eines aktiven Kanals zu fungieren. Die Schicht 13 kann eine Dicke im Bereich zwischen 1 nm und 20 nm mit einer bevorzugten Dicke im Bereich von 4 nm bis 5 nm aufweisen und sollte eine elektrisch aktive Donatordosis im Bereich zwischen 1×1012 cm-2 und 3×1012 cm-2 aufweisen. Der p-leitende Dotierstoff der Schicht 13 kann durch Dotieren mit unterschiedlichen Flüssen von B2H6 während des epitaxialen Aufwachsens der Schicht 13 in die SiGe-Schicht 13 eingebaut werden. Ein Beispiel für ein bevorzugtes Bordotierstoffprofil für die SiGe-Schicht 13 ist in Fig. 3A mit einer integrierten Dosis von 1,5×1012 Bor/cm2 gezeigt. Eine undotierte, mechanisch verspannte Si-Schicht 14 wird epitaxial über der pdotierten Schicht 13 als Abstandshalterschicht gebildet. Die Schicht 14 dient dazu, die Dotierstoffe in der Schicht 13 von den darüber auszubildenden, aktiven Kanalschichten 16 und 17 zu trennen. Die Dicke der Schicht 14 sollte unterhalb der kritischen Dicke einer Siliciumschicht bezüglich des Gitterabstands an der Grenzfläche 19 der relaxierten Schicht 12 bleiben. Die bevorzugte Dicke der Schicht 13 liegt im Bereich von 1 nm bis 2 nm in dem Fall, wenn die Schicht 12 an der Grenzfläche 19 aus einer relaxierten Si0,65Ge0,35-Schicht besteht.
Als nächstes wird epitaxial über der Schicht 14 eine dünne, relaxierte, undotierte SiGe-Schicht 15 aufgewachsen, die ähnlich wie die Schicht 14 als Abstandshalterschicht fungiert, um die Dotierstoffe in Schicht 13 von dem darüberliegenden Kompositkanal 33, der die Schichten 16 und 17 beinhaltet, weiter zu trennen, um in den Schichten 16 und 17 eine hohe Löcherbeweglichkeit aufrechtzuerhalten. Die Dicke der Schicht 15 kann im Bereich zwischen 0 nm und 10 nm liegen, mit der bevorzugten Dicke im Bereich von 4 nm bis 5 nm. Eine unter Druck verspannte Ge-Schicht 16 wird epitaxial über der Schicht 15 aufgewachsen, die als der erste Teil eines p-Kompositkanals 33 für p-Kanal-Feldeffekttransistoren fungiert. Für eine detaillierte Beschreibung eines UHV-CVD-Verfahrens zum Aufwachsen eines epitaxialen Ge-Films auf einem Siliciumsubstrat wird auf das am 9. November 1993 erteilte US- Patent Nr. 5 259 918 von S. Akbar, J. O. Chu und B. Cunningham mit dem Titel "Heteroepitaxial Growth of Germanium an Silicon by UHV/CVD" verwiesen, das durch Verweis hierin aufgenommen wird. Damit die Schicht 16 eine effektive Komponente in dem p- Kompositkanal 33 ist, muss das epitaxiale Ge eine Bauelementqualitätsschicht ohne strukturelle Defekte sein, z. B. Stapelfehler und jegliche Grenzflächenrauhigkeitsprobleme zwischen den Schichten 16 und 17. Zum Beispiel kann in dem bevorzugten Fall, wenn die Schicht 12C aus einer relaxierten Si0,65Ge0,35-Schicht an der Grenzfläche 19 besteht, die Dicke der Ge-Schicht 16 im Bereich zwischen 0 Ångström und 25 Ångström liegen, mit einer bevorzugten Dicke von 20 Ångström, wie in Fig. 6 gezeigt. Es ist zu erwähnen, dass es zur Aufrechterhaltung einer Schichtdicke von 20 Ångström für die Ge-Schicht 16 von Bedeutung ist, dass die Schicht 12 an der Grenzfläche 19 hinsichtlich des Gitterabstands gleich oder wenigstens äquivalent zu einem zu 90% relaxierten Si0,65Ge0,35- Puffer sein muss. Ansonsten treten in der Ge-Schicht 16 in dem Fall Stapelfehler auf, in dem sie auf einem kleineren Gitterabstand aufgewachsen wird, der einer weniger relaxierten Pufferschicht 12 oder einer solchen mit geringerem Ge-Gehalt an der Grenzfläche 19 entspricht, wie in Fig. 7 gezeigt.
Fig. 6 zeigt die Ge-Schicht 16 mit Stapelfehlern im Bereich von 104 Defekte/cm2 bis 106 Defekte/cm2. Die Stapelfehler, die ihren Ursprung in der Ge-Schicht 16 haben, können sich nach oben in die Si1-wGew-Schicht 17 erstrecken. Die Si1-wGew-Schicht 17 sollte ebenfalls Stapelfehler im Bereich zwischen 104 Defekte/cm2 und 106 Defekte/cm2 aufweisen. In Fig. 6 ist die Gleichmäßigkeit der Oberseite der Schicht 17 an der Grenzfläche 42 gezeigt. Stapelfehler sind durch die Relaxation von 90% der Schicht 12 an der Grenzfläche 19 auf unter 106 Defekte/cm2 reduziert. Der Relaxationsgrad einer Schicht kann durch Messen der Gitterkonstante wie z. B. durch die vorstehend erwähnte Röntgenstrahlenbeugung (XRD) bestimmt werden.
Fig. 7 zeigt Schichten 12 bis 18 ähnlich zu Fig. 6, in Fig. 7 entsprach jedoch die Schicht 12 und speziell an der Grenzfläche 19 die Gitterkonstante einer Relaxation von weniger als 90%, was zu Stapelfehlern von mehr als 106 Defekte/cm2 und typischerweise im Bereich zwischen 106 Defekte/cm2 und 108 Defekte/cm2 führte, was für elektronische Bauelemente unerwünscht ist.
Über der Schicht 16 wird eine unter Druck verspannte SiGe- Schicht 17 epitaxial aufgewachsen, die als der zweite Teil des Kompositkanals 33 eines p-Kanal-Feldeffekttransistors dient. Der Ge-Anteil für die SiGe-Schicht 17 kann im Bereich zwischen 50% und bis < 100% liegen, und die bevorzugte Zusammensetzung enthält 80% mit einer Dicke im Bereich zwischen 40 Ångström und 100 Ångström. Alternativ kann die SiGe-Schicht 17 einen innerhalb der SiGe-Schicht 17 gradierten Germaniumgehalt aufweisen, der zum Beispiel von 0,95 Ge an dem unteren Bereich der Schicht, welcher der Ge-Schicht 16 näher liegt, auf etwa 0,50 Ge an dem oberen Teil der SiGe-Schicht 17 abnimmt.
Über der Schicht 17 wird eine SiGe-Deckschicht 18 aufgewachsen, deren bevorzugter Ge-Anteil der gleiche wie jener der Schicht 12C an der Grenzfläche 19 ist und die dazu dient, den p-Kanal 33 von der Oberfläche zu trennen und die Löcherladungsträger in den Schichten 16 und 17 einzuschließen. Die Dicke für die Schicht 17 kann im Bereich zwischen 2 nm und 20 nm liegen, mit der bevorzugten Dicke im Bereich zwischen 10 nm und 15 nm. Die Schichten 13, 15 und 18 können die gleiche Zusammensetzung an Silicium und Germanium aufweisen, um den gleichen Gitterabstand bereitzustellen, wobei der Ge-Gehalt im Bereich zwischen 20% und 50% liegen kann, mit einem bevorzugten Wert von 35% in dem Fall, wenn die Schicht 12C an der Grenzfläche 19 einen Gitterabstand aufweist, der äquivalent zu einer relaxierten Si0,65Ge0,35-Pufferschicht ist.
Der Kanaleinschluss von Löchern und ihre verbesserte Transportbeweglichkeit ist ein Ergebnis der höheren Druckspannung in der Kompositkanalstruktur mit zwei Schichten mit hohem Ge-Gehalt bezüglich der relaxierten Pufferschicht von Schicht 12 an der Grenzfläche 19, die durch die um 4,2% größere Gitterkonstante für reines Ge relativ zu Si entsteht. Die strukturelle Fähigkeit, die Druckspannung in den SiGe- oder Ge-Kanalschichten zu erzeugen und zu steigern, die auf dem relaxierten SiGe-Puffer der Schicht 12 gebildet werden, kann die Leitungs- und Valenzbänder der p-Kanalschichten 16 und 17 signifikant verändern. Außerdem ist die Valenzbandversetzung (ΔEj) der unter Druck verspannten Si1-xGex- oder Ge-Kanalschicht relativ zu der relaxierten Si1-xGex-Epischicht von Schicht 12 ein wichtiger Parameter für die Auslegung der modulationsdotierten p-Kanal-Heterostruktur und gegeben durch Gleichung (2):
ΔEi = (0,74 - 0,53 x')x [eV] (2)
wobei x' der Ge-Gehalt der relaxierten SiGe-Epischicht von Schicht 12 ist und x der Ge-Gehalt in dem Löcherkanal ist. Dieser Ansatz wird in einer Veröffentlichung von R. People und J. C. Bean mit dem Titel "Band alignments of coherently strained GexSi1-x/Si heterostructures on <001< GeySi1-y substrates", Appl. Phys. Lett. 48(8), 24. Februar 1986, Seiten 538 bis 540 berichtet, die durch Verweis hierin aufgenommen wird. Spezieller beträgt die Valenzband-Diskontinuität (ΔEé) für die Schicht 17 443 mey, wenn es ein über einer relaxierten Si0,65Ge0,35-Schicht 12 gebildeter Si0,2Ge0,8-Kanal ist, und im Fall eines reinen Ge-Kanals der Schicht 16 wird in dem Löcher- oder Valenzband eine noch größere Bandversetzung von 554 meV erzeugt, was im Wesentlichen eine tiefere Quantenmulde oder eine effektivere Barriere für den Löchereinschluss erzeugt. Von Bedeutung ist, dass die Druckspannung in der SiGe- oder Ge- Schicht auch dazu dient, das Valenzband in das Band schwerer Löcher und das Band leichter Löcher aufzuspalten, wodurch der Löchertransport im oberen Valenzband mit der geringeren Löchermasse für den Ladungsträgertransport entlang des mechanisch verspannten Kanals in verbesserten Löcherbeweglichkeiten resultiert, die, wie nachstehend beschrieben, signifikant höher als in Si-p-Kanal- Feldeffekttransistoren sein können, die typischerweise eine Beweglichkeit von etwa 75 cm2/Vs aufweisen, wie in einer Veröffentlichung von M. Rodder et al. mit dem Titel "A 1.2 V, 0.1 µm Gate Length CMOS Technology: Design and Process Issues", IEDM 98-623 berichtet. Demzufolge liegen die gemessenen Löcherbeweglichkeiten in dem besetzten Löcherband für die Kompositkanalstruktur im Bereich von 900 cm2/Vs bis 1.400 cm2/Vs bei 300 K und im Bereich von 5.000 cm2/Vs bis 10.000 cm2/Vs bei 20 K für den Fall, dass die Schicht 17 ein Si0,2Ge0,8-Kanal mit einer Dicke im Bereich von 7 nm bis 8 nm ist und die Schicht 16 ein Ge-Kanal mit einer Dicke im Bereich von 1,5 nm bis 2,0 nm ist.
Des Weiteren zeigt Fig. 8, Kurve 71, das gemessene Löcherbeweglichkeitsverhalten von zweidimensionalen Löchergasen (hole gases) (2DHG) als Funktion der Temperatur für den Komposit-p-Kanal 33 aus Si0,2Ge0,8/Ge bei einem Aufwachsen auf einer geeignet relaxierten Si0,65Ge0,35-Pufferschicht 12 und vergleicht sie mit Kurve 72, die das mit einer defektbehafteten Kompositkanalstruktur oder einer solchen schlechter Qualität aus Si0,2Ge0,8/Ge verknüpfte, verschlechterte Beweglichkeitsverhalten bei Aufwachsen auf einem gehaltsärmeren Si0,75Ge0,25-Puffer darstellt, was die Empfindlichkeit des Komposit-p-Kanals 33 gegenüber der geeigneten Auslegung der Schicht 12 zeigt, wie das Zusammensetzungsprofil, das Maß an Relaxation und die verbliebenen Stapelfehler und Fehlanpassungsversetzungen. In Fig. 8 repräsentiert die Ordinate die Löcherbeweglichkeit µh in cm2/Vs, und die Abszisse repräsentiert die Temperatur in Grad K. Das durch die Kurve 72 gezeigte, verschlechterte Beweglichkeitsverhalten ist in dem Vorhandensein von Stapelfehlern begründet, die in dem Komposit­ p-Kanal 33 aus Si0,2Ge0,8/Ge, wie in Fig. 7 dargestellt, in dem Fall auftreten, wenn der Komposit-p-Kanal 33 auf einer im Vergleich zu Schicht 12 aus Si0,65Ge0,35 weniger relaxierten epitaxialen Schicht oder einer solchen Schicht mit geringerem Ge-Gehalt hergestellt wird. Die gemessenen Beweglichkeiten für einen Si0,2Ge0,8/Ge-Komposit-p-Kanal 33, wie durch Kurve 71 gezeigt, sind um einen Faktor 6 bis 7 höher als in Si-p-Kanal- Feldeffekttransistoren festgestellt wird. Die gemessenen Beweglichkeiten für den Komposit-p-Kanal 33, wie durch Kurve 71 gezeigt, wiesen eine Defektdichte ähnlich jener in Fig. 6 gezeigten auf, die typischerweise im Bereich von 104 Defekte/cm2 bis 106 Defekte/cm2 liegt. Die gemessenen Beweglichkeiten für den Komposit-p-Kanal 33, wie durch Kurve 72 gezeigt, wiesen eine Defektdichte ähnlich jener in Fig. 7 gezeigten auf, die typischerweise im Bereich von 106 Defekte/cm2 bis 108 Defekte/cm2 liegt. Bei 300 K ist die Beweglichkeit ìh des Komposit-p-Kanals 33 gleich 1.360 cm2/Vs bei einer Flächenladungsträgerdichte von 1,4×1012 cm-2. Bei 20 K ist die Beweglichkeit ìh des Komposit­ p-Kanals 33 gleich 9.800 cm2/Vs bei einer Flächenladungsträgerdichte von 3,17×1012 cm-2.
In einer in Fig. 9 gezeigten alternativen Ausführungsform sind die einen Kanal 43 enthaltenden Schichten 16 und 17 über der Pufferschicht 12 ausgebildet, die SiGe-Schicht 15 ist über dem Kanal 43 ausgebildet, die Si-Schicht 14 ist über der Schicht 15 ausgebildet, und die Zufuhrschicht, die p-dotierte Si1-xGex- Schicht 13, ist über der Si-Schicht 14 ausgebildet. Eine dielektrische Schicht 81, zum Beispiel Siliciumdioxid, ist über der SiGe-Schicht 13 ausgebildet. In Fig. 9 werden gleiche Bezugszeichen für Funktionen verwendet, die der Vorrichtung von Fig. 1 entsprechen.
In Fig. 1 kann eine der Abstandshalterschichten, zum Beispiel die Si-Abstandshalterschicht 14 oder die SiGe- Abstandshalterschicht 15 strukturell aus der Schichtstruktur 10 mit dem Komposit-p-Kanal 33 weggelassen werden, ohne irgendeine wesentliche Degradation im Löchereinschluss und in der Beweglichkeit der Ladungsträger in dem p-Kanal 33 hervorzurufen.
Bei der Auslegung eines modulationsdotierten Bauelements 80, das in Fig. 9 gezeigt ist, ist üblicherweise ein dickerer Abstandshalter aus Abstandshalterschichten 15 und 14 wünschenswerter und wichtig, wenn versucht wird, den Ladungsträgerbeweglichkeitstransport bei niedrigen Temperaturen (d. h. weniger als < 20 K) durch eine weitere Trennung der aktiven Ladungsträger in dem p-Kanal 43 von ionisierten Löcherdonatoren in der Zufuhrschicht 13 zu optimieren. Nichtsdestoweniger gibt es für den Transport bei Raumtemperatur einen minimalen beobachtbaren Effekt (wenn überhaupt), wenn nur eine Abstandshalterschicht aus entweder der Si- Abstandshalterschicht 14 oder der SiGe-Abstandshalterschicht 15 vorhanden ist, um den Kompositkanal 43 des modulationsdotierten Bauelements 80 von der Zufuhrschicht 13 zu beabstanden.
In einem modulationsdotierten Bauelement 80, bei dem sich die Zufuhrschicht 13 über dem aktiven Kanal 43 befindet, wie in Fig. 9 gezeigt, bestehen die Komposit-p-Kanalschichten aus der dünnen Ge-Schicht 16 (weniger als die kritische Dicke an der Grenzfläche 19 von etwa 10 ? bis 20 ?) und einer SiGe-Schicht 17. Die Ge-Schicht 16 wird zuerst auf der Schicht 12C gebildet, um die Grenzfläche 19 zu erzeugen. Die Schichten 16 und 17 fungieren als der Kanalbereich 43 des Feldeffekttransistors. Als nächstes werden Abstandshalterschichten, die aus der SiGe- Abstandshalterschicht 15 und der Si-Abstandshalterschicht 14 bestehen, über der Kanalschicht 17 aufgewachsen, die dazu dient, die Dotierstoffe in der oberen Zufuhrschicht 13 von dem darunterliegenden aktiven Kanal aus den Schichten 16 und 17 zu trennen. Über der Abstandshalterschicht 14 wird die p-dotierte SiGe-Zufuhrschicht 13 gebildet, die als Donatorschicht oder Zufuhrschicht über den aktiven Kanalschichten 16 und 17 fungiert. Der Anteil und die Dicke an Germanium für die Schichten 16, 17, 15, 14, und 13 können gleich oder äquivalent zu jenen mit den gleichen Bezugszeichen in Fig. 1 sein, die eine Schichtstruktur 10 mit Kompositkanal zeigt, bei der sich die SiGe-Zufuhrschicht 13 unterhalb des Kanals 33 befindet.
In Fig. 10 ist eine Draufsicht auf ein selbstjustiertes, p­ leitendes SiGe-MODFET-Bauelement gezeigt. Eine Querschnittansicht entlang der Linie 12-12 von Fig. 10 ist in Fig. 11 gezeigt. Ein selbstjustierter MODFET-Aufbau ist bevorzugt, um den mit einer Schottky-torgesteuerten (Schottky gated) Bauelementstruktur verknüpften Zugriffswiderstand zu minimieren, und der Prozess erfordert üblicherweise eine Strukturierung und Aufdampfung der Gate-Metallisierung vor der ohmschen Source-/Drain-Metallisierung. Es wird ein T-förmiges Gate derart hergestellt, dass der Gate-Überhang als Maske für die Aufdampfung der ohmschen Source- und Drain-Kontakte dient, was ein Kurzschließen der ohmschen Source- und Drain-Kontakte mit der Anschlussfläche des Schottky-Gates verhindert. Dieses grundlegende Prozessschema wurde in einer Veröffentlichung von M. Arafa, K. Ismail, J. O. Chu, B. S. Meyerson und I. Adesida mit dem Titel "A 70-GHz fT low operating bias self-aligned p-type SiGe MODFET", IEEE Elec. Dev. Lett. Bd. 17(12), Dez. 1996, Seiten 586 bis 588 berichtet, die hierin durch Verweis aufgenommen wird. Wie in Fig. 11 gezeigt, besteht das Bauelement aus der in Fig. 1 beschriebenen Schichtstruktur, und in Fig. 11 werden gleiche Bezugszeichen für Schichten verwendet, die den Schichten von Fig. 1 entsprechen. Dieser Schichtstrukturaufbau beschreibt eine modulationsdotierte Heterostruktur, wobei die p-leitend dotierte Schicht 13, die als die Zufuhrschicht fungiert, von den Schichten 16 und 17, die als der leitende Kanalbereich fungieren, durch undotierte Schichten 14 und 15 getrennt ist, die als die Abstandshalterschichten fungieren. In Fig. 11 besteht ein Feldeffekttransistor 100 aus einem Isolationsbereich 104, der durch selektives Entfernen der Schichten 13, 14, 15, 16, 17 und 18 derart erzeugt wird, dass der leitende Komposit-Kanalbereich lediglich in dem aktiven Bauelementbereich 105 verbleibt. Der Isolationsbereich 104 sollte den aktiven Kanalbereich 105 vollständig umgeben, wie in Fig. 10 gezeigt. Der Isolationsbereich 104 kann dann durch Aufbringen von isolierendem Material 106, wie SiOx, in dem Isolationsbereich 104 nach dem Ätzen passiviert werden. Die Gate-Struktur sollte vorzugsweise T-förmig sein, d. h. schmal am Boden und breit an der Oberseite, und die Eigenschaften einer hohen Schottky- Barriere für Löcher, eines niedrigen spezifischen elektrischen Widerstands und einer hohen Temperaturbarriere für eine Reaktion mit dem Substrat aufweisen. Derartige Eigenschaften können durch Verwenden eines Gate-Stapels mit mehreren Ebenen erzielt werden. In der bevorzugten Ausführungsform wird das Gate 107 durch Elektronenstrahllithographie unter Verwendung eines Doppelschicht- oder Dreifachschicht-(PMMA-PPMAA)- Resistsystems strukturiert und unter Verwendung des Ablösens von Ti/Mo/Pt/Au definiert. In diesem Fall wird das Gate 107 auf der Schicht 18 gebildet und besteht von unten nach oben aus einer Ti-Schicht 108, einer Mo-Schicht 109, einer Pt-Schicht 110 sowie einer Au-Schicht 111. Dieser Prozess ermöglicht, dass Abmessungen der Gate-Anschlussfläche 112 von weniger als 0,1 im und Abmessungen von Source zu Gate und Drain zu Gate von nur 0,1 im realisiert werden können. Das Gate 107 sollte einen schmalen Streifen bilden, der den aktiven Bauelementbereich vollständig in zwei unterschiedliche Bereiche auf je einer Seite unterteilt. Dann können selbstjustierte ohmsche Source- und Drain-Kontakte 113 und 114 durch Aufdampfen eines Metalls über dem aktiven Bauelementbereich 105 gebildet werden, so dass der Überhangbereich 115 des Gates 107 als Schattenmaske wirkt, um ein Kurzschließen der Source- und Drain-Kontakte 113 und 114 mit dem Gate 107 zu vermeiden. In der bevorzugten Ausführungsform wird eine dünne, 20 nm bis 30 nm dicke Schicht aus Pt über dem aktiven Bauelementbereich 105 aufgedampft und dann bei T = 200°C bis 400°C silicifiziert, um niederohmige Source- und Drain-Kontakte 113 und 114 zu bilden.
Eine Querschnittansicht eines Feldeffekttransistors mit isolierendem Gate auf einer Komposit-p-Kanal-Schichtstruktur ist in Fig. 12 gezeigt. Das Bauelement besteht aus der in Fig. 1 beschriebenen Schichtstruktur, und in Fig. 12 werden gleiche Bezugszeichen für Schichten verwendet, die den Schichten von Fig. 1 entsprechen. Das Bauelement besteht zusätzlich aus einer isolierenden Schicht 120, die auf der Oberseite der SiGe- Schicht 18 ausgebildet ist. In der bevorzugten Ausführungsform besteht die Schicht 120 aus SiOx oder SixNy. Das Bauelement besteht des Weiteren aus einem Isolationsbereich 121, einem Gate 122 sowie Source- und Drain-Kontakten 123 und 124, die in einer ähnlichen Weise konfiguriert sind, wie in Fig. 10 beschrieben ist. In der bevorzugten Ausführungsform ist das Gate 122 auf der isolierenden Schicht 120 ausgebildet. Nach dem Strukturieren isolierender Seitenwandbereiche 125 wirkt das Gate 122 als eine Maske zur Bildung von selbstjustierten ohmschen Source- und Drain-Kontakten 123 und 124.
Fig. 13 zeigt eine mikrophotographische REM-Aufnahme eines Teils eines vollständigen, selbstjustierten MODFET, der auf einer Komposit-p-Kanal-Schichtstruktur hergestellt ist, die in Fig. 10 und Fig. 11 beschrieben ist. Dieses spezielle Bauelement weist eine Gate-Anschlussfläche von 0,12 ìm und einen Abstand von Source zu Gate von 0,15 ìm auf.
Die Fig. 14 und 15 zeigen graphische Darstellungen der Hochfrequenzeigenschaften eines selbstjustierten Komposit- Kanal-p-MODFET bei zwei verschiedenen Vorspannungen. Dieses Bauelement verwendet den in den Fig. 10 und 11 gezeigten Aufbau und weist eine Länge der Gate-Anschlussfläche von 0,09 µm und eine Gate-Breite von 25 ìm auf. Die elektrischen Resultate wurden aus Mikrowellen-s-Parameterdaten gewonnen, die unter optimalen Vorspannungsbedingungen bei Frequenzen im Bereich von 5 GHz bis 40 GHz aufgenommen wurden. Der Effekt von parasitären Elementen, der durch die Mikrowellen- Kontaktflächengeometrie entsteht, wurde durch Messen einer Leerlauf-Kontaktflächengeometrie und anschließendes Herausfiltern der Antwort der tatsächlichen Bauelementgeometrie aus der Antwort des Gesamtsystems eliminiert.
Fig. 14 zeigt die Durchlassstromverstärkung, h21 2, die durch Datenpunkte 126 repräsentiert wird, sowie die maximale unilaterale Verstärkung (MUG), die durch Datenpunkte 127 repräsentiert wird, aufgezeichnet in Abhängigkeit von der Frequenz, f, für eine Drain-Source-Vorspannung von Vds = -0,6 V.
Fig. 15 zeigt die Durchlassstromverstärkung, h21 2, die durch Datenpunkte 128 repräsentiert wird, sowie die maximale unilaterale Verstärkung (MUG), die durch Datenpunkte 129 repräsentiert wird, aufgezeichnet in Abhängigkeit von der Frequenz für eine Drain-Source-Vorspannung von Vds = -1,5 V. Die Grenzfrequenz bei einem Stromverstärkungsfaktor von eins, fT, wird durch Extrapolieren von h21 2 in Abhängigkeit von der Frequenz bei -20 dB/Dekade erhalten, bis h21 2 gleich eins ist. Die Extrapolation von Datenpunkten 126, die repräsentativ für h21 2 bei Vds = -0,6 V sind, in Abhängigkeit von der Frequenz ist in Fig. 14 durch eine durchgezogene Kurve 130 gezeigt. Die Extrapolation von Datenpunkten 128, die repräsentativ für h21 2 bei Vds = -1,5 V sind, in Abhängigkeit von der Frequenz ist in Fig. 15 durch eine durchgezogene Kurve 131 gezeigt. In ähnlicher Weise wird die maximale Oszillationsfrequenz, fmax, durch Extrapolieren der Hochfrequenzwerte von MUG bis eins bei -20 dB/Dekade erhalten, bis MUG gleich eins ist. Die Extrapolation von Datenpunkten 127, die repräsentativ für MUG bei Vds = -0,6 V sind, in Abhängigkeit von der Frequenz ist in Fig. 14 durch die Kurve 122 gezeigt. Die Extrapolation von Datenpunkten 129, die repräsentativ für MUG bei Vds = -1,5 V sind, in Abhängigkeit von der Frequenz ist in Fig. 15 durch die Kurve 133 gezeigt. Die Extrapolationen erzeugen Werte von fT = 48 GHz und fmax = 108 GHz bei Vds = -0,6 V sowie fT = 46 GHz und fmax = 116 GHz bei Vds = -1, 5 V. Nach Wissen der Erfinder sind die Werte für fmax die höchsten, die jemals für einen p- leitenden Feldeffekttransistor erzielt wurden. Die Tatsache, dass fmax 100 GHz bei der geringen Vorspannung von Vds = -0,6 V übersteigt, ist besonders beeindruckend. Die Rekordhochfrequenzleistungsfähigkeit dieser Feldeffekttransistorbauelemente des Verarmungstyps sowie die niedrigen Vorspannungen, bei denen diese erzielt wird, ist ein direktes Resultat der Komposit-Kanal-Schichtstruktur mit hoher Beweglichkeit und des selbstjustierten T-Gate- Bauelementaufbaus, wie in den Fig. 10 und 11 beschrieben.

Claims (72)

1. Schichtstruktur zum Bilden von p-Kanal- Feldeffekttransistoren mit:
einem einkristallinen Substrat,
einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet ist, wobei der Ge-Anteil x im Bereich zwischen 0,35 und 0,5 liegt,
einer zweiten Schicht aus Si1-xGex, die epitaxial auf der ersten Schicht gebildet ist,
einer dritten Schicht aus undotiertem Si, die epitaxial auf der zweiten Schicht gebildet ist,
einer vierten Schicht aus undotiertem Si1-xGex, die epitaxial auf der dritten Schicht gebildet ist, einer fünften Schicht aus Ge, die epitaxial auf der vierten Schicht gebildet ist, wobei sich die fünfte Schicht unter Druckspannung befindet und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist,
einer sechsten Schicht aus Si1-wGew, die epitaxial auf der fünften Schicht gebildet ist, wobei der Ge-Anteil w im Bereich zwischen 0,5 und < 1,0 liegt und wobei w-x < 0,2, wodurch sich die sechste Schicht unter Druckspannung befindet, und
einer siebten Schicht aus Si1-xGex, die epitaxial auf der sechsten Schicht gebildet ist.
2. Schichtstruktur nach Anspruch 1, die des Weiteren eine überschießende Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
3. Schichtstruktur nach Anspruch 1, wobei ein aktiver Bauelementbereich eine vergrabene Komposit-Kanalstruktur ist, die aus einem epitaxialen Ge-Kanal aus der fünften Schicht und einem epitaxialen Si1-wGew-Kanal aus der sechsten Schicht besteht und eine höhere Druckspannung aufweist, um eine tiefere Quantenmulde oder eine höhere Barriere zum besseren Löchereinschluss im Vergleich zu einem Kanalbauelement mit einer einzelnen Schicht allein bereitzustellen.
4. Schichtstruktur nach Anspruch 1, wobei die fünfte Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet ist, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
5. Schichtstruktur nach Anspruch 1, wobei der Ge-Gehalt w innerhalb der sechsten Schicht gradiert sein kann, beginnend mit einem höheren Ge-Gehalt näher bei der fünften Schicht und im Ge-Gehalt zu der Oberseite der sechsten Schicht hin graduell abnehmend.
6. Schichtstruktur nach Anspruch 1, wobei ein Abstandshalterbereich die dritte Schicht aus mechanisch verspanntem Si und die vierte Schicht aus relaxiertem Si1-xGex beinhaltet.
7. Schichtstruktur nach Anspruch 1, wobei sich die dritte Schicht unter Zugspannung befindet und mit einer Dicke unterhalb ihrer kritischen Dicke bezüglich der ersten Schicht an ihrer Grenzfläche zu der zweiten Schicht kommensurabel ist.
8. Schichtstruktur nach Anspruch 1, wobei die zweite Schicht eine p-dotierte Si1-xGex-Schicht ist, die unterhalb eines Kanalbereichs der fünften und der sechsten Schicht ausgebildet ist und von dieser durch die dritte Schicht aus Si und die vierte Schicht aus Si1-xGex getrennt ist, wobei die zweite Schicht eine Dicke im Bereich von 1 nm bis 20 nm mit einer bevorzugten Dicke von 4 nm bis 5 nm aufzuweisen und eine elektrisch aktive Donatordosis im Bereich von 1×1012 cm-2 bis 3×1012 cm-2 zu besitzen hat.
9. Schichtstruktur zur Bildung von p-Kanal- Feldeffekttransistoren mit:
einem einkristallinen Substrat,
einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet ist, wobei der Ge-Anteil x im Bereich zwischen 0,35 und 0,5 liegt,
einer zweiten Schicht aus Si1-xGex, die epitaxial auf der ersten Schicht gebildet ist,
einer dritten Schicht aus undotiertem Si, die epitaxial auf der zweiten Schicht gebildet ist,
einer vierten Schicht aus Ge, die epitaxial auf der dritten Schicht gebildet ist, wobei sich die vierte Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
einer fünften Schicht aus Si1-wGew, die epitaxial auf der vierten Schicht gebildet ist, wobei der Ge-Anteil w im Bereich zwischen 0,5 und < 1,0 liegt und wobei w-x < 0,2 ist, wodurch sich die fünfte Schicht unter Druckspannung befindet, und
einer sechsten Schicht aus Si1-xGex, die epitaxial auf der fünften Schicht gebildet ist.
10. Schichtstruktur nach Anspruch 9, die des Weiteren eine überschießende Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
11. Schichtstruktur nach Anspruch 9, wobei ein aktiver Bauelementbereich eine vergrabene Komposit-Kanalstruktur ist, die aus einem epitaxialen Ge-Kanal aus der vierten Schicht und einem epitaxialen Si1-wGew-Kanal aus der fünften Schicht besteht und eine höhere Druckspannung aufweist, um eine tiefere Quantenmulde oder eine höhere Barriere zum besseren Löchereinschluss im Vergleich zu einem Kanalbauelement mit einer einzelnen Schicht allein bereitzustellen.
12. Schichtstruktur nach Anspruch 9, wobei die vierte Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet ist, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
13. Schichtstruktur nach Anspruch 9, wobei der Ge-Gehalt w innerhalb der fünften Schicht gradiert sein kann, beginnend mit einem höheren Ge-Gehalt näher bei der vierten Schicht und im Ge-Gehalt zu der Oberseite der fünften Schicht hin graduell abnehmend.
14. Schichtstruktur nach Anspruch 9, wobei ein Abstandshalterbereich von einer Einzelschichtstruktur gebildet ist, die aus der dritten Schicht besteht, wobei die dritte Schicht mechanisch verspanntes Si ist.
15. Schichtstruktur nach Anspruch 9, wobei sich die dritte Schicht unter Zugspannung befindet und mit einer Dicke unterhalb ihrer kritischen Dicke bezüglich der ersten Schicht an ihrer Grenzfläche zu der zweiten Schicht kommensurabel ist.
16. Schichtstruktut nach Anspruch 9, wobei die dritte Schicht Si durch eine relaxierte Si1-xGex-Schicht mit einer einstellbaren Dicke ersetzt sein kann, um zu ermöglichen, dass die Abstandshalterdicke entsprechend variiert werden kann, wodurch die Zufuhrdosis für Bauelementanwendungen als Funktion der Temperatur im Bereich von 0,4 K bis 425 K optimiert werden kann.
17. Schichtstruktur nach Anspruch 9, wobei die zweite Schicht eine p-dotierte Si1-xGex-Schicht ist, die unterhalb eines Kanalbereichs der vierten und der fünften Schicht ausgebildet ist und davon durch die dritte Schicht aus Si getrennt ist.
18. Schichtstruktur nach Anspruch 16, wobei die Zufuhrschicht der zweiten Schicht unterhalb des Kanalbereichs der vierten und der fünften Schicht ausgebildet und davon durch die relaxierte Si1-xGex-Schicht getrennt ist.
19. Schichtstruktur zur Bildung von p-Kanal- Feldeffekttransistoren mit:
einem einkristallinen Substrat,
einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet ist, wobei der Ge-Anteil x im Bereich zwischen 0,35 und 0,5 liegt,
einer zweiten Schicht aus Ge, die epitaxial auf der ersten Schicht gebildet ist, wobei sich die zweite Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
einer dritten Schicht aus Si1-wGew, die epitaxial auf der zweiten Schicht gebildet ist, wobei der Ge-Anteil w im Bereich zwischen 0,5 und < 1,0 liegt und wobei w-x < 0,2, wodurch sich die dritte Schicht unter Druckspannung befindet,
einer vierten Schicht aus undotiertem Si1-xGex, die epitaxial auf der dritten Schicht gebildet ist, einer fünften Schicht aus undotiertem Si, die epitaxial auf der vierten Schicht gebildet ist, und
einer sechsten Schicht aus p-dotiertem Si1-xGex, die epitaxial auf der fünften Schicht gebildet ist.
20. Schichtstruktur nach Anspruch 19, die des Weiteren eine überschießende Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
21. Schichtstruktur nach Anspruch 19, wobei ein aktiver Bauelementbereich eine vergrabene Komposit-Kanalstruktur ist, die aus einem epitaxialen Ge-Kanal aus der zweiten Schicht und einem epitaxialen Si1-wGew-Kanal aus der dritten Schicht besteht und eine höhere Druckspannung aufweist, um eine tiefere Quantenmulde oder eine höhere Barriere zum besseren Löchereinschluss im Vergleich zu einem Kanalbauelement mit einer einzelnen Schicht allein bereitzustellen.
22. Schichtstruktur nach Anspruch 19, wobei die zweite Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet ist, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
23. Schichtstruktur nach Anspruch 19, wobei der Ge-Gehalt w innerhalb der dritten Schicht gradiert sein kann, beginnend mit einem höheren Gehalt näher bei der zweiten Schicht und im Ge-Gehalt zu der Oberseite der dritten Schicht hin graduell abnehmend.
24. Schichtstruktur nach Anspruch 19, wobei ein Abstandshalterbereich von einer Kompositschichtstruktur gebildet ist, welche die fünfte Schicht aus mechanisch verspanntem Si und die vierte Schicht aus relaxiertem Si1-xGex beinhaltet.
25. Schichtstruktur nach Anspruch 19, wobei sich die fünfte Schicht unter Zugspannung befindet und mit einer Dicke unterhalb ihrer kritischen Dicke bezüglich der ersten Schicht an ihrer Grenzfläche zu der zweiten Schicht kommensurabel ist.
26. Schichtstruktur nach Anspruch 19, wobei die Zufuhrschicht eine p-dotierte Si1-xGex-Schicht aus der sechsten Schicht ist, die über einem Kanalbereich der zweiten und der dritten Schicht ausgebildet und davon durch eine Kompositabstandshalterstruktur aus der fünften Schicht aus Si und aus der vierten Schicht aus Si1-xGex getrennt ist.
27. Schichtstruktur zur Bildung von p-Kanal- Feldeffekttransistoren mit:
einem einkristallinen Substrat,
einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet ist, wobei der Ge-Anteil x im Bereich zwischen 0,35 und 0,5 liegt,
einer zweiten Schicht aus Ge, die epitaxial auf der ersten Schicht gebildet ist, wobei sich die zweite Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
einer dritten Schicht aus Si1-wGew, die epitaxial auf der zweiten Schicht gebildet ist, wobei der Ge-Anteil w im Bereich zwischen 0,5 und < 1,0 liegt und wobei w-x < 0,2, wodurch sich die dritte Schicht unter Druckspannung befindet,
einer vierten Schicht aus undotiertem Si1-xGex, die epitaxial auf der dritten Schicht gebildet ist, und einer fünften Schicht aus p-dotiertem Si1-xGex, die epitaxial auf der vierten Schicht gebildet ist.
28. Schichtstruktur nach Anspruch 27, die des Weiteren eine überschießende Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
29. Schichtstruktur nach Anspruch 27, wobei ein aktiver Bauelementbereich eine vergrabene Komposit-Kanalstruktur ist, die aus einem epitaxialen Ge-Kanal aus der zweiten Schicht und einem epitaxialen Si1-wGew-Kanal aus der dritten Schicht besteht und eine höhere Druckspannung aufweist, um eine tiefere Quantenmulde oder eine höhere Barriere zum besseren Löchereinschluss im Vergleich zu einem Kanalbauelement mit einer einzelnen Schicht allein bereitzustellen.
30. Schichtstruktur nach Anspruch 27, wobei die zweite Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet ist, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
31. Schichtstruktur nach Anspruch 27, wobei der Ge-Gehalt w innerhalb der dritten Schicht gradiert sein kann, beginnend mit einem höheren Gehalt näher bei der zweiten Schicht und im Ge-Gehalt zu der Oberseite der dritten Schicht hin graduell abnehmend.
32. Schichtstruktur nach Anspruch 27, wobei ein Abstandshalterbereich von einer Einzelschichtstruktur gebildet ist, die aus einer relaxierten Si1-xGex-Schicht der vierten Schicht besteht.
33. Schichtstruktur nach Anspruch 27, wobei die vierte Schicht aus Si1-xGex durch eine dünne, mechanisch verspannte, kommensurable Si-Schicht ersetzt sein kann, wobei eine geringe Abstandshalterdicke für ein MODFET-Bauelement vorgesehen werden kann.
34. Schichtstruktur nach Anspruch 27, wobei die fünfte Schicht eine p-dotierte Si1-xGex-Schicht ist, die über einem Kanalbereich der zweiten und der dritten Schicht ausgebildet ist und davon durch die vierte Schicht aus Si1-xGex getrennt ist.
35. Schichtstruktur nach Anspruch 33, wobei die fünfte Schicht eine p-dotierte Si1-xGex-Schicht ist, die über einem Kanalbereich der zweiten und der dritten Schicht ausgebildet ist und von diesem durch eine dünne, mechanisch verspannte, kommensurable Si-Schicht getrennt ist.
36. Feldeffekttransistorstruktur, die aus der Schichtstruktur nach Anspruch 1 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive Entfernung von wenigstens der siebten bis zweiten Schicht erzeugt werden,
eine Schottky-Gate-Elektrode, die auf der siebten Schicht gebildet ist,
eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
37. Feldeffekttransistorstruktur, die aus der Schichtstruktur nach Anspruch 9 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht erzeugt werden,
eine Schottky-Gate-Elektrode, die auf der sechsten Schicht gebildet ist,
eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
38. Feldeffekttransistorstruktur, die aus der Schichtstruktur nach Anspruch 1 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive
Entfernung von wenigstens der siebten bis zweiten Schicht erzeugt werden,
ein Gate-Dielektrikum, das auf der siebten Schicht gebildet ist,
eine Gate-Elektrode auf dem Gate-Dielektrikum, eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
39. Feldeffekttransistorstruktur, die aus der Schichtstruktur
nach Anspruch 9 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht erzeugt werden;
ein Gate-Dielektrikum, das auf der sechsten Schicht gebildet ist,
eine Gate-Elektrode auf dem Gate-Dielektrikum, eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
40. Feldeffekttransistorstruktur, die aus der Schichtstruktur nach Anspruch 19 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht erzeugt werden,
ein Gate-Dielektrikum, das auf der sechsten Schicht gebildet ist,
eine Gate-Elektrode auf dem Gate-Dielektrikum, eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
41. Feldeffekttransistorstruktur, die aus der Schichtstruktur nach Anspruch 27 besteht und des Weiteren beinhaltet:
elektrische Isolationsbereiche, die durch die selektive
Entfernung von wenigstens der fünften bis zweiten Schicht erzeugt werden,
ein Gate-Dielektrikum, das auf der fünften Schicht gebildet ist,
eine Gate-Elektrode auf dem Gate-Dielektrikum, eine Source-Elektrode, die sich auf einer Seite der Gate- Elektrode befindet, und
eine Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
42. Schichtstruktur zur Bildung elektrischer Bauelemente auf derselben mit:
einem einkristallinen Substrat,
einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet ist, wobei der Ge-Anteil x im Bereich von 0,35 bis 0,5 liegt,
einer überschießenden Schicht Si1-yGey innerhalb der relaxierten Struktur der ersten Schicht, die einen Ge- Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, und eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der Oberseite der ersten Schicht ist, und
einer zweiten Schicht aus Si1-xGex, die epitaxial auf der ersten Schicht gebildet ist.
43. Verfahren zur Bildung von p-Kanal-Feldeffekttransistoren, das die Schritte umfasst:
Auswählen eines einkristallinen Substrates,
Bilden einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet wird, wobei der Ge- Anteil x im Bereich von 0,35 bis 0,5 liegt,
Bilden einer zweiten Schicht aus Si1-xGex epitaxial auf der ersten Schicht,
Bilden einer dritten Schicht aus undotiertem Si epitaxial auf der zweiten Schicht,
Bilden einer vierten Schicht aus undotiertem Si1-xGex epitaxial auf der dritten Schicht,
Bilden einer fünften Schicht aus Ge epitaxial auf der vierten Schicht, wobei sich die fünfte Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
Bilden einer sechsten Schicht aus Si1-wGew epitaxial auf der fünften Schicht, wobei der Ge-Anteil w im Bereich von 0,5 bis < 1,0 liegt und wobei w - x < 0,2 ist, wodurch sich die sechste Schicht unter Druckspannung befindet, und Bilden einer siebten Schicht aus Si1-xGex epitaxial auf der sechsten Schicht.
44. Verfahren nach Anspruch 43, das des Weiteren die Schritte des Bildens einer überschießenden Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, die eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
45. Verfahren nach Anspruch 43, wobei die fünfte Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet wird, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
46. Verfahren nach Anspruch 43, wobei der Schritt des Bildens einer sechsten Schicht den Schritt des Gradierens des Ge- Gehalts w innerhalb der sechsten Schicht beinhaltet, beginnend bei einem höheren Ge-Gehalt näher bei der fünften Schicht und im Ge-Gehalt zu der Oberseite der sechsten Schicht hin graduell abnehmend.
47. Verfahren nach Anspruch 43, wobei die zweite Schicht eine p-dotierte Si1-xGex-Schicht ist, die unterhalb eines Kanalbereichs der fünften und der sechsten Schicht ausgebildet ist und davon durch die dritte Schicht aus Si und die vierte Schicht aus Si1-xGex getrennt ist, wobei die zweite Schicht eine Dicke im Bereich von 1 nm bis 20 nm mit einer bevorzugten Dicke von 4 nm bis 5 nm aufzuweisen und eine elektrisch aktive Donatordosis im Bereich von 1×1012 cm-2 bis 3×1012 cm-2 zu besitzen hat.
48. Verfahren zur Bildung von p-Kanal-Feldeffekttransistoren, das umfasst:
Auswählen eines einkristallinen Substrates,
Bilden einer ersten Schicht aus relaxiertem Si1-xGex epitaxial auf dem Substrat, wobei der Ge-Anteil x im Bereich von 0,35 bis 0, 5 liegt,
Bilden einer zweiten Schicht aus Si1-xGex epitaxial auf der ersten Schicht,
Bilden einer dritten Schicht aus undotiertem Si epitaxial auf der zweiten Schicht,
Bilden einer vierten Schicht aus Ge epitaxial auf der dritten Schicht, wobei sich die vierte Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
Bilden einer fünften Schicht aus Si1-wGew, epitaxial auf der vierten Schicht, wobei der Ge-Anteil w im Bereich von 0,5 bis < 1,0 liegt und wobei w - x < 0,2 ist, wodurch sich die fünfte Schicht unter Druckspannung befindet, und Bilden einer sechsten Schicht aus Si1-xGex epitaxial auf der fünften Schicht.
49. Verfahren nach Anspruch 48, das des Weiteren den Schritt des Bildens einer überschießenden Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, die eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
50. Schichtstruktur nach Anspruch 48, wobei die vierte Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet wird, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
51. Verfahren nach Anspruch 48, wobei der Schritt des Bildens einer sechsten Schicht den Schritt des Gradierens des Ge- Gehalts w innerhalb der fünften Schicht beinhaltet, beginnend bei einem höheren Ge-Gehalt näher bei der vierten Schicht und im Ge-Gehalt zu der Oberseite der fünften Schicht hin graduell abnehmend.
52. Verfahren nach Anspruch 48, wobei die dritte Schicht Si durch eine relaxierte Si1-xGex-Schicht mit einer einstellbaren Dicke ersetzt werden kann, um zu ermöglichen, dass die Abstandshalterdicke entsprechend variiert werden kann, wodurch die Zufuhrdosis für Bauelementanwendungen als Funktion der Temperatur im Bereich von 0,4 K bis 425 K optimiert werden kann.
53. Verfahren nach Anspruch 48, wobei die zweite Schicht aus p-dotiertem Si1-xGex unterhalb eines Kanalbereichs der vierten und der fünften Schicht gebildet wird und davon durch die dritte Schicht aus Si getrennt wird.
54. Verfahren nach Anspruch 52, wobei die Zufuhrschicht der zweiten Schicht unterhalb des Kanalbereichs der vierten und der fünften Schicht gebildet und davon durch die­ relaxierte Si1-xGex-Schicht getrennt wird.
55. Verfahren zur Bildung von p-Kanal-Feldeffekttransistoren, das umfasst:
Auswählen eines einkristallinen Substrates,
Bilden einer ersten Schicht aus relaxiertem Si1-xGex epitaxial auf dem Substrat, wobei der Ge-Anteil x im Bereich von 0,35 bis 0,5 liegt,
Bilden einer zweiten Schicht aus Ge epitaxial auf der ersten Schicht, wobei sich die zweite Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
Bilden einer dritten Schicht aus Si1-wGew epitaxial auf der zweiten Schicht, wobei der Ge-Anteil w im Bereich von 0,5 bis < 1,0 liegt und wobei w - x < 0,2 ist, wodurch sich die dritte Schicht unter Druckspannung befindet, Bilden einer vierten Schicht aus undotiertem Si1-xGex epitaxial auf der dritten Schicht,
Bilden einer fünften Schicht aus undotiertem Si epitaxial auf der vierten Schicht und
Bilden einer sechsten Schicht aus p-dotiertem Si1-xGex epitaxial auf der fünften Schicht.
56. Verfahren nach Anspruch 55, das des Weiteren den Schritt des Bildens einer überschießenden Schicht Si1-yGey innerhalb der spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, die eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
57. Verfahren nach Anspruch 55, wobei die zweite Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet wird, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
53. Verfahren nach Anspruch 55, wobei der Schritt des Bildens einer dritten Schicht den Schritt des Gradierens des Ge- Gehalts w innerhalb der dritten Schicht beinhaltet, beginnend bei einem höheren Ge-Gehalt näher bei der zweiten Schicht und im Ge-Gehalt zu der Oberseite der dritten Schicht hin graduell abnehmend.
59. Verfahren nach Anspruch 55, wobei die Zufuhrschicht der p- dotierten Si1-xGex-Schicht der sechsten Schicht über einem Kanalbereich der zweiten und der dritten Schicht gebildet wird und durch eine Komposit-Abstandshalterstruktur aus der fünften Schicht aus Si und der vierten Schicht aus Si1-xGex getrennt wird.
60. Verfahren zur Bildung von p-Kanal-Feldeffekttransistoren, das umfasst:
Auswählen eines einkristallinen Substrates,
Bilden einer ersten Schicht aus relaxiertem Si1-xGex epitaxial auf dem Substrat, wobei der Ge-Anteil x im Bereich von 0,35 bis 0,5 liegt,
Bilden einer zweiten Schicht aus Ge epitaxial auf der ersten Schicht, wobei sich die zweite Schicht unter Druckspannung befindet und eine Dicke von weniger als ihrer kritischen Dicke bezüglich der ersten Schicht aufweist,
Bilden einer dritten Schicht aus Si1-wGew epitaxial auf der zweiten Schicht, wobei der Ge-Anteil w im Bereich von 0,5 bis < 1,0 liegt und wobei w - x < 0,2 ist, wodurch sich die dritte Schicht unter Druckspannung befindet,
Bilden einer vierten Schicht aus undotiertem Si1-xGex epitaxial auf der dritten Schicht, und
einer fünften Schicht aus p-dotiertem Si1-xGex, die epitaxial auf der vierten Schicht gebildet wird.
61. Verfahren nach Anspruch 60, das des Weiteren den Schritt des Bildens einer überschießenden Schicht Si1-yGey innerhalb der Spannungslösenden Struktur der ersten Schicht mit einem Ge-Anteil y beinhaltet, wobei y = x + z ist und z im Bereich von 0,01 bis 0,1 liegt, die eine Dicke aufweist, die geringer als ihre kritische Dicke bezüglich der ersten Schicht ist.
62. Verfahren nach Anspruch 60, wobei die zweite Schicht bei Temperaturen, bei denen ein 3D-Wachstum von Ge-Filmen, durch das Probleme hinsichtlich der Grenzflächenrauhigkeit erzeugt werden, nicht auftritt, und in einem Temperaturbereich von 275°C bis 350°C gebildet wird, bei dem ein 2D-Wachstum von Ge-Filmen auftritt.
63. Verfahren nach Anspruch 60, wobei der Schritt des Bildens einer dritten Schicht den Schritt des Gradierens des Ge- Gehalts w innerhalb der dritten Schicht beinhaltet, beginnend bei einem höheren Ge-Gehalt näher bei der zweiten Schicht und im Ge-Gehalt zu der Oberseite der dritten Schicht hin graduell abnehmend.
64. Verfahren nach Anspruch 60, wobei die fünfte Schicht eine p-dotierte Si1-xGex-Schicht ist, die über einem Kanalbereich der zweiten und der dritten Schicht gebildet und davon durch die vierte Schicht aus Si1-xGex getrennt wird.
65. Verfahren nach Anspruch 60, wobei die fünfte Schicht eine p-dotierte Si1-xGex-Schicht ist, die über einem Kanalbereich der zweiten und der dritten Schicht gebildet und davon durch eine dünne, mechanisch verspannte, kommensurable Si- Schicht getrennt wird.
66. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 43 besteht und des Weiteren die Schritte umfasst:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der siebten bis zweiten Schicht,
Bilden einer Schottky-Gate-Elektrode auf der siebten Schicht,
Bilden einer Source-Elektrode, die sich auf einer Seite der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
67. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 48 besteht und des Weiteren die Schritte umfasst:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht,
Bilden einer Schottky-Gate-Elektrode auf der sechsten Schicht,
Bilden einer Source-Elektrode, die sich auf einer Seite der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
68. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 43 besteht und des Weiteren beinhaltet:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der siebten bis zweiten Schicht,
Bilden eines Gate-Dielektrikums auf der siebten Schicht,
Bilden einer Gate-Elektrode auf dem Gate-Dielektrikum,
Bilden einer Source-Elektrode, die sich auf einer Seite der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
69. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 48 besteht und des Weiteren die Schritte beinhaltet:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht,
Bilden eines Gate-Dielektrikums auf der sechsten Schicht,
Bilden einer Gate-Elektrode auf dem Gate-Dielektrikum,
Bilden einer Source-Elektrode, die sich auf einer Seite der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
70. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 55 besteht und des Weiteren beinhaltet:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der sechsten bis zweiten Schicht,
Bilden eines Gate-Dielektrikums auf der sechsten Schicht,
Bilden einer Gate-Elektrode auf dem Gate-Dielektrikum,
Bilden einer Source-Elektrode, die sich auf einer Seite der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
71. Verfahren zur Bildung einer Feldeffekttransistorstruktur, das aus dem Verfahren nach Anspruch 60 besteht und des Weiteren beinhaltet:
Bilden von elektrischen Isolationsbereichen durch die selektive Entfernung von wenigstens der fünften bis zweiten Schicht,
Bilden eines Gate-Dielektrikums auf der fünften Schicht,
Bilden einer Gate-Elektrode auf dem Gate-Dielektrikum,
Bilden einer Source-Elektrode, die sich auf einer Seite
der Gate-Elektrode befindet, und
Bilden einer Drain-Elektrode, die sich auf der anderen Seite der Gate-Elektrode befindet.
72. Verfahren zur Bildung von elektrischen Bauelementen, das die Schritte umfasst:
Bilden eines einkristallinen Substrats,
Bilden einer ersten Schicht aus relaxiertem Si1-xGex, die epitaxial auf dem Substrat gebildet wird, wobei der Ge- Anteil x im Bereich von 0,35 bis 0,5 liegt,
Bilden einer überschießenden Schicht Si1-yGey innerhalb der relaxierten Struktur der ersten Schicht mit einem Ge- Anteil y, wobei y = x + z ist und z im Bereich zwischen 0,01 und 0,1 liegt, die eine Dicke von weniger als ihrer kritischen Dicke bezüglich der Oberseite der ersten Schicht aufweist, und
Bilden einer zweiten Schicht aus Si1-xGex, die epitaxial auf der ersten Schicht gebildet wird.
DE10011054A 1999-03-12 2000-03-07 p-Kanal-Si/SiGe-Hochgeschwindigkeitshetero- struktur für Feldeffektbauelement Ceased DE10011054A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/267,323 US6350993B1 (en) 1999-03-12 1999-03-12 High speed composite p-channel Si/SiGe heterostructure for field effect devices

Publications (1)

Publication Number Publication Date
DE10011054A1 true DE10011054A1 (de) 2000-09-21

Family

ID=23018301

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10011054A Ceased DE10011054A1 (de) 1999-03-12 2000-03-07 p-Kanal-Si/SiGe-Hochgeschwindigkeitshetero- struktur für Feldeffektbauelement

Country Status (6)

Country Link
US (3) US6350993B1 (de)
JP (1) JP3457614B2 (de)
CN (1) CN1184695C (de)
DE (1) DE10011054A1 (de)
IL (1) IL134674A (de)
TW (1) TW498461B (de)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10025833A1 (de) * 2000-05-25 2001-11-29 Rubitec Gesellschaft Fuer Innovation & Technologie Ruhr Univ Bochum Mbh Halbleiterschichtsystem und Verfahren zur Herstellung von einem Halbleiterschichtsystem mit erhöhter Resistenz gegen thermische Prozessierung
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003069658A3 (en) * 2002-02-11 2004-02-19 Ibm Strained si based layer made by uhv-cvd, and devices therein
US7138650B2 (en) 2001-08-06 2006-11-21 Sumitomo Mitsubishi Silicon Corporation Semiconductor substrate, field-effect transistor, and their manufacturing method of the same
WO2006127291A2 (en) * 2005-05-25 2006-11-30 Mears Technologies, Inc. Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7709828B2 (en) 2001-09-24 2010-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. RF circuits including transistors having strained material layers
CN102194859A (zh) * 2010-03-05 2011-09-21 中国科学院微电子研究所 高迁移率ⅲ-ⅴ族半导体mos界面结构

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69827824T3 (de) * 1997-06-24 2009-09-03 Massachusetts Institute Of Technology, Cambridge Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
JP3592981B2 (ja) * 1999-01-14 2004-11-24 松下電器産業株式会社 半導体装置及びその製造方法
US6993222B2 (en) * 1999-03-05 2006-01-31 Rj Mears, Llc Optical filter device with aperiodically arranged grating elements
GB2385940B (en) 1999-03-05 2003-10-22 Nanovis Llc Aperiodically poled non-linear material
US7145167B1 (en) * 2000-03-11 2006-12-05 International Business Machines Corporation High speed Ge channel heterostructures for field effect devices
US6563143B2 (en) * 1999-07-29 2003-05-13 Stmicroelectronics, Inc. CMOS circuit of GaAs/Ge on Si substrate
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2001054175A1 (en) * 2000-01-20 2001-07-26 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
KR100392166B1 (ko) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6844227B2 (en) 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
KR100385857B1 (ko) * 2000-12-27 2003-06-02 한국전자통신연구원 SiGe MODFET 소자 제조방법
JP2002252233A (ja) * 2001-02-22 2002-09-06 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
WO2002101818A2 (en) * 2001-06-08 2002-12-19 Amberwave Systems Corporation Method for isolating semiconductor devices
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
JP2004531901A (ja) * 2001-06-21 2004-10-14 マサチューセッツ インスティテュート オブ テクノロジー 歪み半導体層を備えたmosfet
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
KR100776965B1 (ko) * 2001-08-06 2007-11-21 가부시키가이샤 섬코 반도체 기판 및 전계 효과형 트랜지스터 및 그 제조 방법
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
JP4799786B2 (ja) * 2001-10-02 2011-10-26 ルネサスエレクトロニクス株式会社 電力増幅用電界効果型半導体装置およびその製造方法、ならびにパワーモジュール
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
JP2003197906A (ja) * 2001-12-28 2003-07-11 Fujitsu Ltd 半導体装置および相補型半導体装置
AU2003202499A1 (en) * 2002-01-09 2003-07-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and its production method
WO2003079415A2 (en) * 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
KR100460201B1 (ko) * 2002-04-08 2004-12-08 한국전자통신연구원 SiGe/Si 이종 접합 전계 효과 트랜지스터 제조용 기판의 형성 방법
AU2003243255A1 (en) * 2002-05-15 2003-12-02 The Regents Of The University Of California Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
AU2003247712A1 (en) * 2002-06-25 2004-01-06 Massachusetts Institute Of Technology A method for improving hole mobility enhancement in strained silicon p-type mosfet
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US7018910B2 (en) * 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
EP1530800B1 (de) * 2002-08-23 2016-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-heterostrukturen mit reduzierter anhäufung von versetzungen und entsprechende herstellungsverfahren
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US20060048700A1 (en) * 2002-09-05 2006-03-09 Wanlass Mark W Method for achieving device-quality, lattice-mismatched, heteroepitaxial active layers
US6770504B2 (en) * 2003-01-06 2004-08-03 Honeywell International Inc. Methods and structure for improving wafer bow control
WO2004061943A1 (en) * 2003-01-07 2004-07-22 S.O.I.Tec Silicon On Insulator Technologies Recycling by mechanical means of a wafer comprising a taking-off structure after taking-off a thin layer thereof
US20090325362A1 (en) * 2003-01-07 2009-12-31 Nabil Chhaimi Method of recycling an epitaxied donor wafer
EP1439570A1 (de) * 2003-01-14 2004-07-21 Interuniversitair Microelektronica Centrum ( Imec) Spannungsrelaxierte SiGe Pufferschichten für Anordnungen mit hoher Beweglichkeit und Herstellungsverfahren
US7838875B1 (en) 2003-01-22 2010-11-23 Tsang Dean Z Metal transistor device
US7332417B2 (en) * 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6995427B2 (en) * 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7531829B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US20060243964A1 (en) * 2003-06-26 2006-11-02 Rj Mears, Llc Method for making a semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US20060267130A1 (en) * 2003-06-26 2006-11-30 Rj Mears, Llc Semiconductor Device Including Shallow Trench Isolation (STI) Regions with a Superlattice Therebetween
US7446002B2 (en) * 2003-06-26 2008-11-04 Mears Technologies, Inc. Method for making a semiconductor device comprising a superlattice dielectric interface layer
US20050279991A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Semiconductor device including a superlattice having at least one group of substantially undoped layers
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US20060220118A1 (en) * 2003-06-26 2006-10-05 Rj Mears, Llc Semiconductor device including a dopant blocking superlattice
US20070063185A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Semiconductor device including a front side strained superlattice layer and a back side stress layer
US7227174B2 (en) * 2003-06-26 2007-06-05 Rj Mears, Llc Semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US6878576B1 (en) 2003-06-26 2005-04-12 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US7229902B2 (en) * 2003-06-26 2007-06-12 Rj Mears, Llc Method for making a semiconductor device including a superlattice with regions defining a semiconductor junction
US7045813B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Semiconductor device including a superlattice with regions defining a semiconductor junction
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US20060292765A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Method for Making a FINFET Including a Superlattice
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US7586165B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Microelectromechanical systems (MEMS) device including a superlattice
US20040266116A1 (en) * 2003-06-26 2004-12-30 Rj Mears, Llc Methods of fabricating semiconductor structures having improved conductivity effective mass
US20060011905A1 (en) * 2003-06-26 2006-01-19 Rj Mears, Llc Semiconductor device comprising a superlattice dielectric interface layer
US7202494B2 (en) * 2003-06-26 2007-04-10 Rj Mears, Llc FINFET including a superlattice
US7531850B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a memory cell with a negative differential resistance (NDR) device
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
WO2005018005A1 (en) * 2003-06-26 2005-02-24 Rj Mears, Llc Semiconductor device including mosfet having band-engineered superlattice
US7045377B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Method for making a semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US7586116B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US7153763B2 (en) 2003-06-26 2006-12-26 Rj Mears, Llc Method for making a semiconductor device including band-engineered superlattice using intermediate annealing
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7514328B2 (en) * 2003-06-26 2009-04-07 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US6958486B2 (en) * 2003-06-26 2005-10-25 Rj Mears, Llc Semiconductor device including band-engineered superlattice
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US7491587B2 (en) * 2003-06-26 2009-02-17 Mears Technologies, Inc. Method for making a semiconductor device having a semiconductor-on-insulator (SOI) configuration and including a superlattice on a thin semiconductor layer
US7659539B2 (en) 2003-06-26 2010-02-09 Mears Technologies, Inc. Semiconductor device including a floating gate memory cell with a superlattice channel
US20060289049A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Semiconductor Device Having a Semiconductor-on-Insulator (SOI) Configuration and Including a Superlattice on a Thin Semiconductor Layer
US20070063186A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Method for making a semiconductor device including a front side strained superlattice layer and a back side stress layer
US20060231857A1 (en) * 2003-06-26 2006-10-19 Rj Mears, Llc Method for making a semiconductor device including a memory cell with a negative differential resistance (ndr) device
US7535041B2 (en) * 2003-06-26 2009-05-19 Mears Technologies, Inc. Method for making a semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
KR100605504B1 (ko) * 2003-07-30 2006-07-28 삼성전자주식회사 저전위밀도를 갖는 에피텍셜층을 포함하는 반도체 소자 및 상기 반도체 소자의 제조방법
JP2007511892A (ja) * 2003-07-30 2007-05-10 エーエスエム アメリカ インコーポレイテッド 緩和シリコンゲルマニウム層のエピタキシャル成長
US7045836B2 (en) * 2003-07-31 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7495267B2 (en) * 2003-09-08 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US7183593B2 (en) * 2003-12-05 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostructure resistor and method of forming the same
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7064037B2 (en) * 2004-01-12 2006-06-20 Chartered Semiconductor Manufacturing Ltd. Silicon-germanium virtual substrate and method of fabricating the same
JP4507604B2 (ja) * 2004-01-16 2010-07-21 信越半導体株式会社 貼り合せ歪みウェーハの歪み量測定方法
US7351994B2 (en) * 2004-01-21 2008-04-01 Taiwan Semiconductor Manufacturing Company Noble high-k device
GB2411047B (en) * 2004-02-13 2008-01-02 Iqe Silicon Compounds Ltd Compound semiconductor device and method of producing the same
JP3884439B2 (ja) * 2004-03-02 2007-02-21 株式会社東芝 半導体装置
FR2868202B1 (fr) * 2004-03-25 2006-05-26 Commissariat Energie Atomique Procede de preparation d'une couche de dioxyde de silicium par oxydation a haute temperature sur un substrat presentant au moins en surface du germanium ou un alliage sicicium- germanium.
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7151285B2 (en) * 2004-06-30 2006-12-19 Micron Technology, Inc. Transistor structures and transistors with a germanium-containing channel
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7279430B2 (en) 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7755137B2 (en) * 2004-10-07 2010-07-13 Fairchild Semiconductor Corporation Bandgap engineered MOS-gated power transistors
US7504691B2 (en) * 2004-10-07 2009-03-17 Fairchild Semiconductor Corporation Power trench MOSFETs having SiGe/Si channel structure
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100639213B1 (ko) * 2004-12-28 2006-10-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US8110863B2 (en) * 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
TWI258172B (en) * 2005-08-24 2006-07-11 Ind Tech Res Inst Transistor device with strained Ge layer by selectively grown and fabricating method thereof
EP1763069B1 (de) * 2005-09-07 2016-04-13 Soitec Herstellungsverfahren einer Heterostruktur
US7902046B2 (en) * 2005-09-19 2011-03-08 The Board Of Trustees Of The Leland Stanford Junior University Thin buffer layers for SiGe growth on mismatched substrates
US7517702B2 (en) * 2005-12-22 2009-04-14 Mears Technologies, Inc. Method for making an electronic device including a poled superlattice having a net electrical dipole moment
TW200746237A (en) * 2005-12-22 2007-12-16 Mears R J Llc Method for making an electronic device including a poled superlattice having a net electrical dipole moment
US7718996B2 (en) * 2006-02-21 2010-05-18 Mears Technologies, Inc. Semiconductor device comprising a lattice matching layer
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7608526B2 (en) * 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
US7781827B2 (en) 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
US7928425B2 (en) * 2007-01-25 2011-04-19 Mears Technologies, Inc. Semiconductor device including a metal-to-semiconductor superlattice interface layer and related methods
CN100447950C (zh) * 2007-01-26 2008-12-31 厦门大学 低位错密度锗硅虚衬底的制备方法
US7564096B2 (en) * 2007-02-09 2009-07-21 Fairchild Semiconductor Corporation Scalable power field effect transistor with improved heavy body structure and method of manufacture
US7863066B2 (en) * 2007-02-16 2011-01-04 Mears Technologies, Inc. Method for making a multiple-wavelength opto-electronic device including a superlattice
US7880161B2 (en) 2007-02-16 2011-02-01 Mears Technologies, Inc. Multiple-wavelength opto-electronic device including a superlattice
US7713803B2 (en) * 2007-03-29 2010-05-11 Intel Corporation Mechanism for forming a remote delta doping layer of a quantum well structure
US7569869B2 (en) * 2007-03-29 2009-08-04 Intel Corporation Transistor having tensile strained channel and system including same
US7812339B2 (en) * 2007-04-23 2010-10-12 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures
US7531854B2 (en) * 2007-05-04 2009-05-12 Dsm Solutions, Inc. Semiconductor device having strain-inducing substrate and fabrication methods thereof
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US7791063B2 (en) * 2007-08-30 2010-09-07 Intel Corporation High hole mobility p-channel Ge transistor structure on Si substrate
FR2921749B1 (fr) * 2007-09-27 2014-08-29 Soitec Silicon On Insulator Procede de fabrication d'une structure comprenant un substrat et une couche deposee sur l'une de ses faces.
KR100922575B1 (ko) * 2007-12-05 2009-10-21 한국전자통신연구원 티형 게이트 전극을 구비한 반도체 소자 및 그의 제조 방법
US7825465B2 (en) * 2007-12-13 2010-11-02 Fairchild Semiconductor Corporation Structure and method for forming field effect transistor with low resistance channel region
FR2929758B1 (fr) * 2008-04-07 2011-02-11 Commissariat Energie Atomique Procede de transfert a l'aide d'un substrat ferroelectrique
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8222657B2 (en) * 2009-02-23 2012-07-17 The Penn State Research Foundation Light emitting apparatus
US8816391B2 (en) 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US8384128B2 (en) 2009-05-15 2013-02-26 Intel Corporation Carrier mobility in surface-channel transistors, apparatus made therewith, and systems containing same
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
CN102484174B (zh) * 2009-09-25 2015-12-16 惠普发展公司,有限责任合伙企业 硅锗量子阱发光二极管
JP2011138934A (ja) * 2009-12-28 2011-07-14 Sony Corp 薄膜トランジスタ、表示装置および電子機器
US8193523B2 (en) 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
US8455929B2 (en) 2010-06-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of III-V based devices on semiconductor substrates
JP6017125B2 (ja) * 2011-09-16 2016-10-26 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8963162B2 (en) 2011-12-28 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor
US9214577B2 (en) 2012-02-28 2015-12-15 International Business Machines Corporation Reduced light degradation due to low power deposition of buffer layer
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US20130295757A1 (en) * 2012-05-04 2013-11-07 BAE Systems Information and Electronic Systems Integration Inc. Short gate-length high electron-mobility transistors with asymmetric recess and self-aligned ohmic electrodes
EP2701198A3 (de) * 2012-08-24 2017-06-28 Imec Vorrichtung mit verspannter Schicht für Quantentopfeinschluss und Verfahren zur Herstellung davon
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
EP2741320B1 (de) * 2012-12-05 2020-06-17 IMEC vzw Herstellungsverfahren einer finfet-vorrichtung mit zwei verspannten kanälen
US9252270B2 (en) * 2012-12-13 2016-02-02 Globalfoundries Singapore Pte. Ltd. Floating body cell
US9231094B2 (en) * 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
US9276077B2 (en) * 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9406753B2 (en) 2013-11-22 2016-08-02 Atomera Incorporated Semiconductor devices including superlattice depletion layer stack and related methods
EP3072158A1 (de) 2013-11-22 2016-09-28 Atomera Incorporated Vertikale halbleiterbauelemente mit einer übergitter-durchstanz-stoppschicht und zugehörige verfahren
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
WO2015191561A1 (en) 2014-06-09 2015-12-17 Mears Technologies, Inc. Semiconductor devices with enhanced deterministic doping and related methods
US9722046B2 (en) 2014-11-25 2017-08-01 Atomera Incorporated Semiconductor device including a superlattice and replacement metal gate structure and related methods
KR102257423B1 (ko) * 2015-01-23 2021-05-31 삼성전자주식회사 반도체 기판 및 이를 포함하는 반도체 장치
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
US9941359B2 (en) 2015-05-15 2018-04-10 Atomera Incorporated Semiconductor devices with superlattice and punch-through stop (PTS) layers at different depths and related methods
US9721790B2 (en) 2015-06-02 2017-08-01 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US9558939B1 (en) 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
FR3076394A1 (fr) * 2018-01-04 2019-07-05 Stmicroelectronics (Rousset) Sas Espaceurs de transistors mos et leur procede de fabrication
CN111213241B (zh) * 2018-06-30 2023-09-22 魏进 半导体器件、半导体设备及其制造方法
US10510871B1 (en) 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350940A (en) 1984-02-02 1994-09-27 Fastran, Inc. Enhanced mobility metal oxide semiconductor devices
JPS61294877A (ja) 1985-06-24 1986-12-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPS63252478A (ja) 1987-04-09 1988-10-19 Seiko Instr & Electronics Ltd 絶縁ゲ−ト型半導体装置
US4994866A (en) 1988-01-07 1991-02-19 Fujitsu Limited Complementary semiconductor device
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5019882A (en) 1989-05-15 1991-05-28 International Business Machines Corporation Germanium channel silicon MOSFET
US5272365A (en) 1990-03-29 1993-12-21 Kabushiki Kaisha Toshiba Silicon transistor device with silicon-germanium electron gas hetero structure channel
US5223724A (en) 1990-07-31 1993-06-29 At & T Bell Laboratories Multiple channel high electron mobility transistor
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JPH0691249B2 (ja) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
US5259918A (en) 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
JP2727818B2 (ja) 1991-09-17 1998-03-18 日本電気株式会社 半導体装置
JP3144032B2 (ja) 1992-03-30 2001-03-07 ソニー株式会社 薄膜トランジスタ及びその製造方法
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5385853A (en) 1992-12-02 1995-01-31 International Business Machines Corporation Method of fabricating a metal oxide semiconductor heterojunction field effect transistor (MOSHFET)
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
US5436474A (en) 1993-05-07 1995-07-25 Board Of Regents Of The University Of Texas System Modulation doped field effect transistor having built-in drift field
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5985703A (en) 1994-10-24 1999-11-16 Banerjee; Sanjay Method of making thin film transistors
US5686744A (en) 1996-06-17 1997-11-11 Northern Telecom Limited Complementary modulation-doped field-effect transistors
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10025833A1 (de) * 2000-05-25 2001-11-29 Rubitec Gesellschaft Fuer Innovation & Technologie Ruhr Univ Bochum Mbh Halbleiterschichtsystem und Verfahren zur Herstellung von einem Halbleiterschichtsystem mit erhöhter Resistenz gegen thermische Prozessierung
US7138650B2 (en) 2001-08-06 2006-11-21 Sumitomo Mitsubishi Silicon Corporation Semiconductor substrate, field-effect transistor, and their manufacturing method of the same
US7846802B2 (en) 2001-09-21 2010-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003025984A3 (en) * 2001-09-21 2003-09-25 Amberwave Systems Corp Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7884353B2 (en) 2001-09-21 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7776697B2 (en) 2001-09-21 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7906776B2 (en) 2001-09-24 2011-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. RF circuits including transistors having strained material layers
US7709828B2 (en) 2001-09-24 2010-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. RF circuits including transistors having strained material layers
CN100342494C (zh) * 2002-02-11 2007-10-10 国际商业机器公司 采用uhv-cvd制作的应变si基底层以及其中的器件
WO2003069658A3 (en) * 2002-02-11 2004-02-19 Ibm Strained si based layer made by uhv-cvd, and devices therein
DE10360874B4 (de) * 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
WO2006127291A3 (en) * 2005-05-25 2007-02-22 Rj Mears Llc Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
WO2006127291A2 (en) * 2005-05-25 2006-11-30 Mears Technologies, Inc. Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
CN102194859A (zh) * 2010-03-05 2011-09-21 中国科学院微电子研究所 高迁移率ⅲ-ⅴ族半导体mos界面结构

Also Published As

Publication number Publication date
IL134674A0 (en) 2001-04-30
JP3457614B2 (ja) 2003-10-20
US20040227154A1 (en) 2004-11-18
CN1184695C (zh) 2005-01-12
US6350993B1 (en) 2002-02-26
IL134674A (en) 2004-05-12
CN1289149A (zh) 2001-03-28
US6858502B2 (en) 2005-02-22
US7084431B2 (en) 2006-08-01
US20020125475A1 (en) 2002-09-12
JP2000286413A (ja) 2000-10-13
TW498461B (en) 2002-08-11

Similar Documents

Publication Publication Date Title
DE10011054A1 (de) p-Kanal-Si/SiGe-Hochgeschwindigkeitshetero- struktur für Feldeffektbauelement
DE69730625T2 (de) Feldeffekttransistor und Verfahren zu dessen Herstellung
US10141437B2 (en) Extreme high mobility CMOS logic
DE112009000917B4 (de) Verfahren zum Bilden einer Pufferschicht-Architektur auf Silizium und dadurch gebildete Strukturen
DE69233266T2 (de) HEMT-Halbleiterbauelement
US5241197A (en) Transistor provided with strained germanium layer
KR100441469B1 (ko) 전계 효과 장치용 고속 게르마늄 채널 이종구조물
EP0228516B1 (de) Modulationsdotierter Feldeffekttransistor
DE112008002337B4 (de) P-Kanal-Ge-Transistorstruktur mit hoher Löchermobilität auf Si-Substrat
DE102005059231B4 (de) Verfahren zum Herstellen eines Verbindungshalbleiter-Feldeffekttransistors mit einer Fin-Struktur und Verbindungshalbleiter-Feldeffekttransistor mit einer Fin-Struktur
EP2465142B1 (de) Halbleiterstruktur
DE112005000223T5 (de) Verbesserte Betriebsweise mit III-nitrierten Feldeffekttransistoren
DE3811821A1 (de) Halbleiterbauelement
DE102015114791A1 (de) Transistor mit einer hohen Elektronenbeweglichkeit, der eine vergrabene Feldplatte aufweist
DE102016113735A1 (de) Durchschlagfestes HEMT-Substrat und Bauelement
DE112011103470T5 (de) Halbleiterbauelement und Verfahren zum Herstellen desselben
US5319223A (en) High electron mobility transistor
US5298441A (en) Method of making high transconductance heterostructure field effect transistor
DE10229003B4 (de) Ein Verfahren zur Herstellung eines SOI-Feldeffekttransistorelements mit einem Rekombinationsgebiet
DE19725449C2 (de) Halbleiter-Heterostruktur und Verfahren zur Herstellung
EP0380077A2 (de) Transistor, versehen mit einer gedehnten Schicht aus Germanium
DE3709302C2 (de) Monolithisch integrierte Senderanordnung sowie Verfahren zu ihrer Herstellung
EP0196517B1 (de) Verbindungshalbleiterbauelement
US8575595B2 (en) P-type semiconductor devices
EP1410443B1 (de) Feldeffekttransistor

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: IBM CORP., ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE