CN2760759Y - 应变沟道半导体结构 - Google Patents

应变沟道半导体结构 Download PDF

Info

Publication number
CN2760759Y
CN2760759Y CNU2004200844327U CN200420084432U CN2760759Y CN 2760759 Y CN2760759 Y CN 2760759Y CN U2004200844327 U CNU2004200844327 U CN U2004200844327U CN 200420084432 U CN200420084432 U CN 200420084432U CN 2760759 Y CN2760759 Y CN 2760759Y
Authority
CN
China
Prior art keywords
strained
semiconductor structure
substrate
structure according
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNU2004200844327U
Other languages
English (en)
Inventor
林俊杰
杨育佳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of CN2760759Y publication Critical patent/CN2760759Y/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Abstract

本实用新型是关于一种应变沟道半导体结构,包括:一基底,由具有第一自然晶格常数的一第一半导体材料所构成;一沟道区,设置于基底内;一堆栈栅极,设置于沟道区上,其包含有依序堆栈于沟道区上的一栅极介电层及一栅电极;以及一对源/漏极区,对称地设置于邻近于沟道区的基底中,其中各源/漏极区包括包含具有相异于第一自然晶格常数的第二自然晶格常数的第二半导体材料及具有相对于堆栈栅极的一内部侧及一外部侧的一晶格不相称区,而至少一外部侧横向地接触构成基底的第一半导体材料。

Description

应变沟道半导体结构
技术领域
本实用新型是有关于一种半导体结构,且特别是有关于一种应变沟道(strained-channel)半导体结构。
背景技术
近十几年来,随着金氧半导体场效应晶体管(metal-oxide-semiconductor field effect transistor,MOSFET)尺寸的缩小,包括栅极长度与栅极氧化层厚度的缩小,已使得持续改善速度效能、密度与每单位IC(integrated circuits)成本成为可能。
为了更进一步提升晶体管的效能,可利用在晶体管沟道的应变(strain)来改善载子迁移率及达到组件缩小的目的。以下介绍几个使沟道区应变的既有方法:
常见方法之一为,如1992年12月于加州旧金山所举行的InternationalElectron Devices Meeting所发表刊物中第1000-1002页处,由J.welser等人于标题为“NMOS and PMOS transistors fabricated in strainedsilicon/relaxed silicon-germanium structures”的论文中,将一松散硅锗(SiGe)缓冲层110提供于沟道区126的下方,如图1a所示。
而于图1b与图1c中,则利用一相异晶格常数的简单区块来显示于缓冲层110内的松散锗化硅层114与应变硅层130间的横截面。于图1b中,区块135表示硅的自然晶格常数,其晶格常数比区块115中锗化硅的自然晶格常数为小;而在图1c中,当一磊晶硅薄膜(区块135)成长在松散锗化硅层114(区块115)上时,区块135中硅的单位晶格136藉由横向延伸而产生一二维上的拉伸应变(biaxial tensile strain),使上述磊晶硅薄膜转变为如图1a所示的应变硅层130。
于图1a中,形成于应变硅层130上的一晶体管具有处于此二维上的拉伸应变的沟道区126。于此法中,松散锗化硅层114是作为将应变传入沟道区126下的一应力区(stressor)。而于此例中,此应力区是设置于沟道区126的下方。藉由上述二维上的拉伸应变的硅沟道的影响,整个晶体管中电子与电洞的迁移率可有显著的改善。而于上述方法中,磊晶硅层130是于晶体管形成前先行应变。
因此,上述方法需特别注意之处在于后续CMOS的高温制程所可能导致的应变松散(strain relaxation)。另外,由于锗化硅缓冲层110的厚度是以微米等级的速度成长,所以此法可说是非常昂贵。此外,于松散锗化硅层114中存在许多差排(dislocation)现象,有些还会增生到应变硅层130中而导致高缺陷密度,进而使晶体管效能受到负面的影响。
此外,于2000年的Simulation of Semiconductor Processes and Devices(SISPAD)期刊中第151-154页处,Ouyang等人于标题为“Two-dimensionalbandgap engineering in a novel Si/SiGe pMOSFET with enhanced deviceperformance and scalability”的论文中则揭露了具有锗化硅源/漏极以及锗化硅量子井沟道(quantum well channel)的一pMOSFET。
再者,A.Murthy等人于标题为“Semiconductor transistor having astressed channel”的美国第2003/0080361号专利申请案中则揭露了另一种藉由设置于沟道区的邻近侧边上的应力区(stressor)而于沟道区内形成应变的方法,并揭露了如图2中所示结构。
图2中显示了具有栅极结构G的一半导体晶体管的剖面情形,在此于栅极结构G中堆栈栅极203的组件则省略以简化图标。在此,堆栈栅极203是设置于如硅基底200的一半导体基底的表面上且位于设置于硅基底200内的两隔离区202之间。此外,两掺杂区204a及204b则设置于介于隔离区202间的硅基底200内并位于堆栈栅极203的对称侧。沟道区208则形成于掺杂区204a及204b间的硅基底200内,而包含硅、锗及硼的膜层206a及206b则磊晶地形成于设置于堆栈栅极203对称侧的掺杂区204a及204b上的各别区域并作为应力区(stressor)。
然而,于如此的半导体晶体管中,膜层206a及206b对应于沟道区208的外部侧与邻近的隔离区202完全接触且各应力区(膜层206a及206b)对于沟道区208所造成的应变则将为其邻近的隔离区202所缓冲,以至于无法最佳化沟道区208中的应变且其内应变将为的减低。
图3为图2中区域210的放大情形,用以说明邻近于应力区(例如膜层206a)的隔离区202及部分沟道区(即掺杂区204a及其邻近的沟道区208)内的原子排列情形。此时,掺杂区204a包含相同于硅基底200的材料,而其内的原子排列情形即为具有自然晶格常数的硅原子210的排列。在此,于膜层206a内,其原子排列则如具有大于其邻近的掺杂区204a内硅原子210的自然晶格常数的另一自然晶格常数且由磊晶形成的锗化硅(SiGe)原子212的排列。
此外,膜层206a亦接触其左侧的隔离区202。上述隔离区202通常为如二氧化硅214的非晶(amorphous)材料所填满,故无法于隔离区202内的非晶材料及膜层206a间形成具有适当原子排列的异质接面(hetero-junction)。因此,位于隔离区202内如二氧化硅214的非晶材料与应力区内材料将无法依照特定方式而排列。
再者,填入于隔离区202的二氧化硅214具有较沟道区(如掺杂区204a与沟道区208)内硅材料较小的杨氏系数(约为69GPa,硅约为170GPa),故于固定张力下将导致较大的应变。因此,填入有二氧化硅的隔离区202将较沟道区内硅材料更具有挤压性及延展性,而藉由应力区(如膜层206a)提供至邻近掺杂区204的沟道区208的应变将部分为此邻近隔离区202的二氧化硅所缓冲(buffered),以至于无法最适化沟道区208中的应变且将减低其内的应变。
因此,本实用新型提供了一种应变沟道半导体结构,其藉由改善内部应力区的位置及相关设计以改善于沟道区内的应变。
发明内容
有鉴于此,本实用新型的主要目的在于提供一种具有应变沟道晶体管的一半导体结构。
本实用新型的另一目的在于提供具有由多个应变沟道晶体管所组成的一晶体管数组的一应变沟道半导体结构,其中邻近各应变沟道晶体管的源/漏极区内的材料晶格不相称于其沟道区内的材料。
本实用新型的另一目的在于提供一种具有至少一应变沟道晶体管的应变沟道半导体结构,其中其端处内的晶格不相称区的外部侧横向地接触其邻近基底的第一半导体材料以使得施加于应变沟道区的应变无法为其邻近的隔离区材料所缓冲。
为达上述目的之一,本实用新型提供了一种应变沟道半导体结构,包括一基底,由具有第一自然晶格常数的一第一半导体材料所构成;一沟道区,设置于基底内;一堆栈栅极,设置于沟道区上,其包含有依序堆栈于沟道区上的一栅极介电层及一栅电极;以及一对源/漏极区,对称地设置于邻近于沟道区的基底中,其中各源/漏极区包括包含具有相异于第一自然晶格常数的第二自然晶格常数的第二半导体材料及具有相对于堆栈栅极的一内部侧及一外部侧的一晶格不相称区,而至少一外部侧横向地接触构成基底的第一半导体材料。
于一较佳实施例中,可于邻近于源/漏极区的基底中设置一隔离区,此隔离区并无接触源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。
而于另一较佳实施例中,上述隔离区可为一锥形隔离区,此锥形隔离区大体接触源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的平均间距。
而于一较佳实施例中,可于邻近于源/漏极区的基底中分别设置两隔离区,且此些隔离区皆无接触源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。
而于另一较佳实施例中,上述隔离区之一为锥形隔离区,且大体接触其邻近源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的平均间距。
此外,另一隔离区则无接触其邻近源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。
为达上述目的之一,本实用新型提供了一种应变沟道半导体结构,包括一基底,由具有第一自然晶格常数的一半导体材料所构成;多个沟道区,分隔地设置于基底内;一栅极数组,包含有多个堆栈栅极,而每一堆栈栅极包括依序堆栈于每一沟道区上的一栅极介电层以及一栅电极;以及多个源/漏极区,交错地设置于邻近于此些沟道区的基底中,其中各源/漏极区包括包含具有相异于该第一自然晶格常数的第二自然晶格常数的第二半导体材料及具有相对于堆栈栅极的一内部侧及一外部侧的一晶格不相称区,而至少一邻近于该栅极数组各端的外部侧横向地接触基底的第一半导体材料。
于一较佳实施例中,可于邻近于端处的一源/漏极区的基底中设置一隔离区,此隔离区并无接触上述源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。
而于另一较佳实施例中,上述隔离区可为锥形隔离区,此隔离区大体接触上述源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的平均间距。
而于一较佳实施例中,可于邻近于源/漏极区的基底中分别设置两隔离区,且此些隔离区皆无接触源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。上述隔离区至少其中之一为锥形隔离区,且大体接触其邻近源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的平均间距。此外,另一隔离区则无接触其邻近源/漏极区中的晶格不相称区的外部侧,并具有一大于50埃的间距。
于本实用新型中,藉由介于隔离区及作为施加应力于沟道区的应力区的邻近晶格不相称区的不接触或轻微接触情形,可使得施加于应变沟道区的应变无法为其邻近隔离区的材料所缓冲而达到最适化沟道区内的应变的情形。
附图说明
图1a~图1c为一系列剖面图,用以说明具有作为应力区的一松弛锗化硅层以使磊晶硅层上方产生应变的习知应变硅(strained-Si)晶体管;
图2为一剖面图,用以说明使沟道应变的另一习知应变硅晶体管;
图3为图2中部分区域的放大图;
图4a~图4h为一系列剖面图,用以说明依据本实用新型的第一实施例所形成的应变沟道半导体结构;
图5a~图5b为一系列剖面图,用以说明依据本实用新型的第二实施例所形成的应变沟道半导体结构;
图6a~图6h为一系列剖面图,用以说明依据本实用新型的第三实施例所形成的应变沟道半导体结构;
图7a~图7c为一系列剖面图,用以说明依据本实用新型的第四实施例所形成的应变沟道半导体结构;以及
图8a~图8e为一系列剖面图,用以说明依据本实用新型的第五实施例所形成的应变沟道半导体结构。
符号说明:
100、200~硅基底;    110~松散硅锗缓冲层;
114~松散锗化硅层;   122~漏极;
124~源极;           126~沟道区;
142~栅电极;         130~应变硅层;
135~硅区块;         136~硅的单位晶格;
115~锗化硅区块;     202~隔离区;
203~堆栈栅极;       204a、204b~掺杂区;
206a、206b~膜层;    210~硅原子;
212~硅锗原子;       214~二氧化硅材料;
G~栅极结构。
300、400、500~基底;
302、402、508、508’~隔离区;
304、404、510~栅极介电层;
306、406、512~栅电极;    308、408、514~掩模层;
310、412、516~凹陷;
312、414、518~第二半导体材料;
314、416、520~晶格不相称区;
318、418、522~浅源/漏极延伸区;
320、420、524~间隔物;
322、422、526~深源/漏极区;
324、424、528~源/漏极区;
326、426、530~应变沟道区;
328、428a、532~保护间隔物;
330~上盖层;                332~导电层;
428~保护层;                504~垫氧化层;
506~垫掩模层;              AA~有源区;
G~第一堆栈栅极;            G’~第二堆栈栅极;
D~凹陷深度;
d1~晶格不相称区与隔离区的间距;
d1’~晶格不相称区与隔离区的平均间距;
d2~晶格不相称区与堆栈栅极的间距;
30a~30d、40a~40d、50a~50b~晶体管。
具体实施方式
下列实施例为更充分说明本实用新型,并无限制其领域的意,且在此领域熟习此技艺者,可对此做些许更动与变化。
第一实施例:
本实用新型的应变沟道半导体结构的制造方法,将藉由图4a至图4h加以说明。
于图4a中,首先提供第一半导体材料所构成的一基底300。基底300包含由形成于基底300内的隔离结构(未图标)所定义出的多个有源区并用以形成组件于其内。为简化图示,于图4a中则仅显示由两邻近的隔离区302所定义出的一有源区AA。在此,基底300的第一半导体材料可例如为元素态、合金形式或化合物的半导体材料,其较佳地为如硅的元素态半导体材料。在此,隔离区302则例如为传统的浅沟渠隔离物(sballow trench isolation,STI)。
接着,于有源区AA内形成一包含依序堆栈于基底300的部分表面上的栅极介电层304、栅电极306及掩模层308的第一堆栈栅极G。在此,栅极介电层304可藉由热氧化反应、热氧化反应后再经氮化处理、化学气相沉积法、如溅镀的物理气相沉积法或其它习知技术所形成。而栅极介电层304材质可为二氧化硅、氮氧化硅(silicon oxynitride)或其组成,其厚度介于3至100埃间,较佳地介于10埃或更少。此外,栅极介电层304材质亦可能为具有相对介电常数大于8的高介电常数(high-k)材料,例如具有相当约3埃至100埃等效氧化硅厚度的氧化铝(Al2O3)、氧化铪(HfO2)、氧化锆(ZrO2)、氮氧化铪(HfON)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)、氧化镧(La2O3)或其组合。在此,栅电极306的材质则可为多晶硅、多晶锗化硅、如钼或钨的耐火金属、如氮化钛的化合物、其组合物或其它导电材料。此外,亦可于栅电极306内导入如广为所知的改变栅电极306的功函数植入以改变其功函数。在此,掩模层308则可为由化学气相沉积法(CVD)所形成的氮化硅或二氧化硅材料所构成。
在此,第一堆栈栅极G为依序于基底300上形成一介电层(未显示)、一栅电极材料层(未显示)以及一掩模材料层(未显示)所形成。接着,掩模材料层经图案化后而形成用以定义栅电极306的一掩模层308,并藉由此掩模层308作为蚀刻掩模以定义栅电极材料层及介电层,进而形成栅电极306与栅极介电层304及最后形成一掩模层308于其上。因此,栅电极306与沟道区间为栅极介电层304所电性隔离。在此,当基底300包含硅材料时,栅极介电层304的材质则较佳地为氮氧化硅,而栅电极306则较佳地为采用含氯与溴的化学法所蚀刻而成,以得到较佳蚀刻选择比。
于图4b中,接着藉由施行如电浆干蚀刻的蚀刻步骤(未显示)以蚀刻有源区AA内未为第一堆栈栅极G所覆盖的基底300。如此,于邻近第一堆栈栅极G对称侧的基底300内则形成有具有深度D的多个凹陷310。上述凹陷310的深度D约为50~2000埃,较佳地为50~600埃。
接着藉由如化学气相沉积(CVD)、超高真空化学气相沉积(UHV-CVD)或分子束磊晶的磊晶程序(未显示)以于此些凹陷310内填入具有异于基底300的第一半导体材料的第二自然晶格常数的第二半导体材料。此第二半导体材料可为元素态、合金或化合物的半导体材料,较佳地为包含硅与锗、硅与碳以及硅、碳及锗的组成物的合金半导体材料。
如此,于凹陷310内便形成了晶格不对称区314,其具有相对于第一堆栈栅极G的一内部侧及一外部侧。在此,其内部侧完全地横向接触栅极介电层304下方的基底300的一侧。
如图4c所示,接着于移除掩模层308后,则于基底300的有源区AA内留下第二堆栈栅极G’。接着可藉由习知的源/漏极区(source/drain region)及间隔物(spacer)制程以分别于第二堆栈栅极G’下方的部分基底300内形成源/漏极延伸区318、于第二堆栈栅极G’的各侧壁上形成间隔物320以及于邻近于第二堆栈栅极G’的基底300内形成深源/漏极区322进而形成一应变沟道晶体管30a。在此,由源/漏极延伸区318及深源/漏极区322所组成的源/漏极区324则包含了作为施加应变于邻近沟道区的应力区(stressor)的晶格不对称区314。因此,于源/漏极区324间的基底300内便形成有一应变沟道区326。
值得注意的,图4c中内所显示的有源区域AA为两隔离区302所定义而成,且每一隔离区302通常为具有相对于水平约80~90度倾斜侧壁的一锥状(taper)隔离结构。上述倾斜角非为90度,可使隔离材料较容易地填入于此些隔离结构内。因此,此些晶格不相称区314的每一外部侧与其邻近隔离区302间是由构成基底300的第一半导体材料所大体隔开且横向地大体接触邻近隔离区302的一倾斜侧壁的上部边角,其间具有大于50埃的平均间距(averaged distance)d1,故应力区(即晶格不相称区314)施加于应变沟道区326的应变将无法轻易地为此隔离区302所缓冲且可以最适化作用于应变沟道区326的应变。
再者,如图4b中所示的用以形成凹陷310的蚀刻步骤(未显示)施行前,可更藉由依序沉积及蚀刻如为二氧化硅的一保护层(未显示)而选择性地于第一堆栈栅极G的各侧壁上形成一保护间隔物328。因此,接着藉由施行后续制程便可形成如图4d中所示一晶格不相称区314,其内部侧与第一堆栈栅极G的一侧的基底300间具有一位移量d2,并可藉由改变保护间隔物328的宽度而加以调整此位移量d2,此位移量d2较佳地少于700埃。
接着于移除图4d中所示的保护间隔物328后,则可藉由如图4c中所示的后续程序以形成如图4e中所示具有位移后的晶格不相称区314的应变沟道晶体管30b。
此外,如图4c中所示的深源/漏极区322的形成前,可更施行一磊晶程序(未显示)以于露出的晶格不相称区314表面形成一上盖层330。此上盖层330的厚度较佳地高出栅极介电层304约100~400埃而其材质可相同于构成基底300的第一半导体材料,例如为硅的元素态半导体材料,或为相同于前述第二半导体材料312的合金半导体材料。然后便形成了深源/漏极区322并最后形成了源/漏极区324’。此时,便形成了具有位于其晶格不相称区314上的上盖层330的一应变沟道晶体管30c,其结构如图4e中所图示。此上盖层330便可作为各源/漏极区324’的隆起部(raised portion)而进一步形成了所谓的隆起型源/漏极(raised source/drain)结构。
再者,可更藉由所谓的自对准金属硅化制程(self-aligned silicideprocess)的施行以于如图4c及图4e中的源/漏极区324表面或如图4f中的上盖层330及此些图示中的栅电极306上选择性地形成材质如金属、金属硅化物或其组成的导电层332以降低源/漏极区324、324’与栅电极306的片电阻值。图4g则显示了具有位于晶格不相称区314表面上的导电层332的一应变沟道晶体管30d的结构。导电层332亦可形成如图4e中隆起型源/漏极区上的上盖层330上但于此不再加以显示以简化图示,且可为熟知此技艺者所能理解。
此外,如图4c中所示的应变沟道晶体管30a可更藉由前述制造方法形成于仅由一隔离区302所定义出的有源区AA内,其结构如图4h中所示。前述的用以形成具有位移后的晶格不相称区、隆起型源/漏极区或具有电阻值降低的源/漏极区与栅电极的应变沟道晶体管的制造方法亦可各别地或经由结合而实施以形成不同类型的可能应变沟道晶体管,故在此不以图4h中的应变沟道晶体管而加以限制。
如此,依据本实用新型的具有包含介于两晶格不相称区的一应变沟道区326的应变沟道晶体管30a、30b、30c或30d的一应变沟道半导体结构则分别如图标于图4c、4e、4f及4g中。如图4c所示具有应变沟道晶体管30a的应变沟道半导体结构,包括由第一半导体材料构成的一基底300;设置于基底内的一应变沟道区326;设置于应变沟道区326上的且包括依序堆栈的栅极介电层304与栅电极306的一堆栈栅极(如第二堆栈栅极G’);对称地设置于基底300及/或部分邻近应变沟道区326的基底300的一对源/漏极区(如源/极极区324或隆起型源/漏极区324’),其中每一源/漏极区包含为异于基底300的第一半导体材料的第二半导体材料所构成的一晶格不相称区314、相对于第二堆栈栅极G’的一外部侧及一内部侧且至少其外部侧的一横向地接触基底300的第一半导体材料。
此外,于前述半导体结构内的晶格不相称区314可适度地改变其位置且如位移后的晶格不相称区、隆起型源/漏极区、藉由自对准金属硅化物制程降低电阻值等应用皆可各别地或经由结合而应用于本实用新型的制造方法中以形成具有不同类型的应变沟道半导体结构。
于如图4c、4e、4f、4h中所示本实用新型的应变沟道半导体结构中,基底300较佳地包含自然晶格常数约为5.431埃的硅,而晶格不相称区314较佳地包含自然晶格常数约在5.431至5.657埃间如锗化硅的合金半导体材料,此常数大于基底300的自然晶格常数且与锗在锗化硅合金中的浓度有关。晶格不相称区314内的锗在锗化硅合金中的克分子分数(mole fraction)较佳地介于约0.1至0.9。因此,晶格不相称区314便可作为一应力区以于应变沟道区326内的基底300中产生一源极至漏极方向的压缩应力与一垂直方向的上拉张力,使应变沟道区326处于一源极至漏极方向的压缩应力与垂直方向的拉伸张力中。当此应变沟道晶体管30a、30b、30c及30d为P沟道晶体管时,应变沟道区326的电洞迁移率显著增加,而使驱动电流(drive current)提升。此外,于晶格不相称区314中可更包含碳以构成一碳硅锗合金,其中碳的克分子分数大于0.01。
另外,当基底300较佳地包含硅而晶格不相称区314则较佳地包含如碳化硅合金的一合金半导体材料时,上述半导体材料的自然晶格常数比基底300小。此晶格不相称区314内的碳含量于碳化硅合金中的克分子分数(molefraction)较佳地介于约0.01至0.04以使晶格不相称区314成为一应力区并于应变沟道区326中产生一源极至漏极方向的拉伸张力与一垂直方向的压缩应力,使应变沟道区326处于一源极至漏极方向的上拉张力与垂直方向的压缩应力中。当上述应变沟道晶体管为N沟道晶体管时,应变沟道区326内电子迁移率显著增加,而使得驱动电流提升。再者,于晶格不相称区314可更包含锗,以构成一硅锗碳合金,其中锗的克分子分数大于0.05。
再者,于图4c、4e、4f、4h中的应变沟道区326中的压缩应变及拉伸应变约为0.1%至4%,较佳地为约1%至4%。于本实施例中,晶格不相称区314的厚度约介于50至2000埃,较佳地介于50至600埃。而压缩应变与拉伸应变是与上述图示中晶格不相称区314的晶格常数、厚度及在源/漏极区中的位置有关。
此外,当图4c、4e、4f、4h中应变沟道晶体管为p沟道晶体管时,基底300为经n型掺杂的基底而当上述图标中应变沟道晶体管为n沟道晶体管时,基底300则为经p型掺杂的基底。
第二实施例:
于第一实施例中经由图4a至图4h所图示的本实用新型的应变沟道半导体结构的制造方法亦可用于形成由多个形成于基底上的应变沟道晶体管所构成的晶体管数组。
具有此晶体管数组的应变沟道半导体结构则如图5a及图5b所图示以分别说明由形成于基底300内的一或两隔离区302所定义出的有源区AA内的应变沟道晶体管数组。于有源区AA内所形成的各晶体管在此则例如为图4c中所示的应变沟道晶体管30a。其栅极结构(如第二堆栈栅极G’)及邻近包含晶格不相称区314的源/漏极区324在此是交错地设置于基底300内及其上以形成与如或非门型(NOR type)电路或与非门型(NAND type)电路等功能性电路连结的晶体管数组。
于本实施例中的应变沟道半导体结构中,于其晶体管数组的一端或每端的源/漏极区324内的晶格不相称区314具有相对于其邻近栅极结构的一外部侧且此外部侧与其邻近的隔离区302是大体为构成基底300的第一半导体材料所大体隔开且大体接触其邻近隔离区302的一倾斜侧壁的上部边角,其间的平均距离d1大于50埃。如此应力区(如位于一端或每一端的晶格不相称区314)施加于应变沟道区326的应力将不会为邻近隔离区302所轻易缓冲而可最佳化于应变沟道区326处的应变。
再者,于图5a及图5b中所图示的晶格不相称区314可适度地改变其位置且如位移后的晶格不相称区、隆起型源/漏极区、藉由自对准金属硅化物制程降低电阻值等应用皆可个别地或经由结合而应用于本实用新型的制造方法中以形成具有不同类型的应变沟道半导体结构,故在此而不以图5a及图5b中所图标的应变沟道半导体结构而加以限制。
第三实施例:
本实用新型的应变沟道半导体结构的另一制造方法,将藉由图6a至图6h加以说明。
于图6a中,首先提供由第一半导体材料所构成的一基底400。基底400包含由形成于基底400内的隔离结构(未图标)所定义出的多个有源区并用以形成组件于其内。为简化图示,于图6a中则仅显示由两邻近的隔离区402所定义出的一有源区AA。在此,构成基底400的第一半导体材料可为如元素态、合金或化合物半导体材料,其较佳地为如硅的元素态半导体材料。在此,隔离区402则例如为传统的浅沟渠隔离物(STI)。
接着,于有源区AA内形成包含依序堆栈于基底300的部分表面上的栅极介电层404、栅电极406及掩模层408的一第一堆栈栅极G。在此,栅极介电层404可藉由热氧化反应、热氧化反应后再经氮化处理、化学气相沉积法、如溅镀的物理气相沉积法或其它已知技术所形成。而栅极介电层404材质可为二氧化硅、氮氧化硅(silicon oxynitride)或其组合物,其厚度约介于3至100埃间,较佳地约介于为10埃或更少。此外,栅极介电层404材质亦可能为具有相对介电常数大于8的高介电常数(high-k)材料,例如具有相当约3埃至100埃等效氧化硅厚度的氧化铝(Al2O3)、氧化铪(HfO2)、氧化锆(ZrO2)、氮氧化铪(HfON)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)、氧化镧(La2O3)或其组合。在此,栅电极406的材质则可为多晶硅、多晶硅锗、如钼或钨的耐火金属、如氮化钛的化合物、其组合物或其它导电材料。此外,亦可于栅电极406内导入如广为所知的用以改变栅电极406的功函数植入以改变其功函数。在此,掩模层408则可为由化学气相沉积法(CVD)所形成的氮化硅或二氧化硅材料所构成。而两掩模图案410则接着选择性地形成于基底400上而各掩模图案410是大体设置于各隔离区402上并覆盖其邻近的一部分基底400表面。
在此,第一堆栈栅极G为依序于基底400上形成一介电层(未显示)、一栅电极材料层(未显示)以及一掩模材料层(未显示)所形成。接着,掩模材料层经图案化后而形成用以定义栅电极406的一掩模层408,并藉由此掩模层408作为蚀刻掩模以定义栅电极材料层及介电层,进而形成栅电极406与栅极介电层404及最后形成一掩模层408于其上。因此,栅电极406与沟道区间为栅极介电层404所电性隔离。在此,当基底400包含硅材料时,栅极介电层404的材质则较佳地为氮氧化硅,而栅电极406则较佳地为采用含氯与溴的化学法所蚀刻而成,以得较高蚀刻选择比。而藉由依序沉积及定义如光阻、二氧化硅或氮化硅材料的一第二掩模层(未显示)以形成掩模图案410于基底400上。
于图6b中,接着藉由施行如电浆干蚀刻的一蚀刻步骤(未显示)以蚀刻有源区AA内未为第一堆栈栅极G及掩模图案410所覆盖的基底400。如此,于邻近第一堆栈栅极G对称侧的基底400内则形成有具有深度D的数个凹陷412。上述凹陷412的深度D约为50~2000埃,较佳地为约50~600埃。
接着藉由如化学气相沉积、超高真空化学气相沉积或分子束磊晶的一磊晶程序(未显示)于此些凹陷区412内填入具有异于基底400的第一半导体材料的自然晶格常数的第二自然晶格常数的一第二半导体材料。此第二半导体材料可为元素态、合金或化合物的半导体材料且较佳地为包含硅与锗、硅与碳以及硅、碳及锗的组成物的合金半导体材料。
因此,于凹陷412内便形成了晶格不对称区416,其具有相对于第一堆栈栅极G的一内部侧及一外部侧。在此,其内部侧完全地横向接触栅极介电层404下方的基底400的一侧。
如图6c所示,接着于移除掩模层408及掩模图案410后,便于基底400上的有源区AA内留下第二堆栈栅极G’。接着可藉由习知的源/漏极区(source/drain region)及间隔物(spacer)制程于第二堆栈栅极G’下方的部分基底400内形成源/漏极延伸区418、于第二堆栈栅极G’的各侧壁上形成间隔物420及于邻近于第二堆栈栅极G’的基底400内形成深源/漏极区422进而形成一应变沟道晶体管40a。在此,由源/漏极延伸区418及深源/漏极区422所组成的源/漏极区424则包含作为施加应变于邻近沟道区的应力区(stressor)的晶格不对称区416。因此,于源/漏极区424间的基底400内便形成有一应变沟道区426。
值得注意的,图6c中内所显示的有源区域AA为两隔离区402所定义而成,且每一晶格不相称区416的每一外部侧与其邻近隔离区402间是由构成基底400的第一半导体材料完全地隔开,而无横向地接触其邻近隔离区402,且其间的间距d1约大于50埃,使应力区(即晶格不相称区416)施加于应变沟道区426的应变将无法为隔离区402所缓冲且将可以最适化其作用于应变沟道区426的应变。
再者,于图6b中掩模图案410形成前,可藉由依序沉积及蚀刻一保护层428而选择性地于第一堆栈栅极G的各侧壁上形成一保护间隔物428a。此掩模图案410是于前述蚀刻步骤施行前,分别地形成于部分掩模层428上,此时的结构则如图6d所示,保护层428的材质则例如为二氧化硅。
因此,于移除掩模图案410及保护间隔物428a后,接着藉由施行图6b所示的后续制程便可形成如图6e中所示一晶格不相称区416,其内部侧与第一堆栈栅极G的一侧基底400间具有一位移量d2,并可藉由改变保护间隔物428a的宽度而加以调整此位移量d2,此位移量d2较佳地少于700埃。
如此,具有经位移的晶格不相称区416的应变沟道晶体管40b可藉由图6c中所示的后续制程而形成,其结构如图6f所示。
此外,掩模图案410可仅形成于如图6a中的隔离区402其中之一并覆盖一部分邻近的基底400表面。经由图6b至图6c所图示的后续制程的施行,便形成一应变沟道晶体管40c,其具有晶格不相称区416的一外部侧大致与邻近隔离区402间由构成基底400的第一半导体材料所隔开,且横向地大体接触其邻近隔离区402一倾斜侧壁的上部边角,其间具有大于50埃的平均间距(averaged distance)d1’,而另一晶格不对称区416的外部侧与邻近隔离区402间则为构成基底400的第一半导体材料完全隔开,故应力区(即晶格不相称区416)施加于应变沟道区426的应变将无法轻易地为此隔离区402所缓冲且将可以最适化其作用于应变沟道区426的应变。图6g则显示了具有此应变沟道晶体管40c的半导体结构。
此外,如图6c中所示的应变沟道晶体管40a亦可藉由前述制造方法形成于仅为一隔离区402所定义出的有源区AA,其结构在此则未绘示,以简化图示。
再者,结合透过图6b至图6c以及图6d至图6f中所示的制造方法,更形成了另一种应变沟道晶体管40d,
其晶格不相称区416的一为一位移后的晶格不相称区,且其外部侧与邻近隔离区402间皆为构成基底400的第一半导体材料所完全隔开而无横向地接触邻近隔离区402的上部边角,并具有多于50埃的间距,使得作用于应变沟道区426的应变将无将无法为此隔离区402所缓冲且将可以最适化其作用于应变沟道区426的应变。于图6h中则显示了包含有此应变沟道晶体管40d的一半导体结构。
如此,依据本实用新型的具有包含介于两晶格不相称区的一应变沟道区426的应变沟道晶体管40a、40b、40c或40d的一应变沟道半导体结构则分别如图标于第6c、6f、6g及6g图中。如图6c所示具有应变沟道晶体管40a的应变沟道半导体结构,包括由第一半导体材料构成的一基底400;设置于基底内的一应变沟道区426;设置于应变沟道区426上的且包括依序堆栈的栅极介电层404与栅电极406的一堆栈栅极(如第二堆栈栅极G’);对称地设置于基底400及/或部分邻近应变沟道区426的基底400的一对源/漏极区(如源/极极区324),其中每一源/漏极区包含为异于基底300的第一半导体材料的第二半导体材料所构成的一晶格不相称区416、相对于第二堆栈栅极G’的一外部侧及一内部侧且至少其外部侧的一横向地接触基底400的第一半导体材料。
此外,于前述半导体结构内的晶格不相称区416可适度地改变其位置且如位移后的晶格不相称区、隆起型源/漏极区、藉由自对准金属硅化物制程降低电阻值等应用皆可个别地或经由结合而应用于本实用新型的制造方法中以形成具有不同类型的应变沟道半导体结构。为简化图示起见,在此不在绘示其不同结构。
于如图6c、6f、6g、6h中所示本实用新型的应变沟道半导体结构中,基底400较佳地包含自然晶格常数约为5.431埃的硅,且晶格不相称区416较佳地包含一自然晶格常数约介于5.431至5.657埃如锗化硅合金的合金半导体材料,此常数与锗在锗化硅合金中的浓度相关且大于基底400的自然晶格常数。晶格不相称区416内的锗在锗化硅合金中的克分子分数(mole fraction)较佳地介于约0.1至0.9。因此,晶格不相称区416便可作为一应力区以于应变沟道区426内的基底400中产生一源极至漏极方向的压缩应力与一垂直方向的拉伸张力,使应变沟道区426处于一源极至漏极方向的压缩应力与垂直方向的拉伸张力中。当此应变沟道晶体管40a、40b、40c及40d为P沟道晶体管时,应变沟道区426的电洞迁移率显著增加,而使驱动电流(drivecurrent)提升。此外,于晶格不相称区416中可更包含碳以成一碳硅锗合金,其中碳的克分子分数大于0.001。
此外,基底400较佳地包含硅且晶格不相称区416则较佳地包含如碳化硅合金的一合金半导体材料,而上述半导体材料的自然晶格常数比基底400小。此晶格不相称区416内的碳含量于硅化碳合金中的克分子分数(molefraction)较佳地介于约0.01至0.04以使晶格不相称区416成为一应力区并于应变沟道区426中产生一源极至漏极方向的上拉张力与一垂直方向的压缩应力,使应变沟道区426处于一源极至漏极方向的拉伸张力与垂直方向的压缩应力中。当上述应变沟道晶体管为N沟道晶体管时,应变沟道区426内电子迁移率显著增加,而使得驱动电流提升。再者,于晶格不相称区416可更包含锗,以为一硅锗碳合金,其中锗的克分子分数大于0.05。
再者,于图6c、6f、6g、6h中的应变沟道区426中的压缩应变及拉伸应变约为0.1%至4%,较佳地为约1%至4%。于本实施例中,晶格不相称区416的厚度约介于50至2000埃,较佳地介于50至600埃。而压缩应变与拉伸应变是与上述图示中晶格不相称区416的晶格常数、厚度及在源/漏极区中的位置有关。
此外,当图6c、6f、6g、6h中应变沟道晶体管为p沟道晶体管时,基底400为经n型掺杂的基底而当上述图标中应变沟道晶体管为n沟道晶体管时,基底400则为经p型掺杂的基底。
第四实施例:
于第三实施例中经由图6a至图6h所图示的本实用新型的应变沟道半导体结构的制造方法亦可用于形成具有多个位于基底上的应变沟道晶体管的一晶体管数组。
具有一晶体管数组的应变沟道半导体结构则如图7a、图7b及图7c所图示以分别说明形成于为基底400内的一或两隔离区402所定义出的有源区AA内的应变沟道晶体管数组。于有源区AA内所形成的各晶体管在此则例如为图6c中所示的应变沟道晶体管40a。其栅极结构(如第二堆栈栅极G’)及邻近包含晶格不相称区416的源/漏极区424在此是交错地设置于基底400内及其上以形成与如或非门型(NOR type)电路或与非门型(NAND type)电路等功能性电路连结的晶体管数组。
于本实施例中的应变沟道半导体结构中,于其晶体管数组的一端或各端的源/漏极区424内的晶格不相称区416具有相对于其邻近栅极结构的一外部侧且此外部侧与其邻近的隔离区402是大体为构成基底400的第一半导体材料所完全隔开且无接触其邻近隔离区302,其间距d1大于50埃。如此应力区(如位于一端或各端的晶格不相称区416)施加于应变沟道区426的应力将不会为邻近隔离区402所缓冲而可最适化于应变沟道区426处的应变。然而,于其晶体管数组一端的源/漏极区424内的晶格不相称区416的一外部侧与其邻近的隔离区402亦可为构成基底400的第一半导体材料所大体隔开且大体接触其邻近隔离区402的一倾斜侧壁的上部边角,其平均间距d1大于50埃。如此应力区(如位于一端或各端的晶格不相称区416)施加于应变沟道区426的应力将不会为邻近隔离区402所轻易缓冲而可最适化于应变沟道区426处的应变。
再者,图7a、图7b及图7c中所图示的晶格不相称区416可适度地改变其位置,而如位移后的晶格不相称区、隆起型源/漏极区、藉由自对准金属硅化物制程降低电阻值等应用皆可个别地或经由结合而应用于本实用新型的制造方法中以形成具有不同类型的应变沟道半导体结构,而不以图7a、图7b及图7c中所图标的应变沟道半导体结构而加以限制。
第五实施例:
本实用新型的应变沟道半导体结构的另一制造方法,将藉由图8a至图8e加以说明。
于图8a中,首先提供由第一半导体材料所构成的一基底500。基底500包含由形成于基底500内的隔离结构(未图标)所定义出的多个有源区以形成组件于其内。为简化图示,于图8a中则仅显示由两邻近的隔离区508所定义出的一有源区AA。首先,依序于基底500上形成一垫氧化层502及一垫掩模层504。接着依序施行微影及蚀刻步骤(未图示)以于垫氧化层502及垫掩模层504内形成多个开口OP。接着更施行一蚀刻步骤以蚀刻开口OP内的基底500以于开口OP内形成凹陷。接着更于开口内填入如二氧化硅的隔离材料并接着平坦化的以形成具有部分凸悬于邻近有源区基底500的隆起的隔离区508。如此,由位于基底500内两隔离区508所定义出的有源区AA则如图8a中所示。
在此,构成基底500的第一半导体材料可为如元素态、合金或化合物半导体材料且较佳地为如硅的元素态半导体材料。在此,隔离区508例如为传统的浅沟渠隔离物(STI)。
接着,如图8b所示,于移除垫氧化层502以及垫掩模层504后,接着于有源区AA内形成包含依序堆栈于基底500的部分表面上的栅极介电层510、栅电极512及掩模层514的一第一堆栈栅极G。在此,栅极介电层510可藉由热氧化反应、热氧化反应后再经氮化处理、化学气相沉积法、如溅镀的物理气相沉积法或其它已知技术所形成。而栅极介电层510材质可为二氧化硅、氮氧化硅(silicon oxynitride)或其组合物,其厚度约介于3至100埃间,较佳地约介于为10埃或更少。此外,栅极介电层510材质亦可能为具有相对介电常数大于8的高介电常数(high-k)材料,例如为具有相当约3埃至100埃等效氧化硅厚度的氧化铝(Al2O3)、氧化铪(HfO2)、氧化锆(ZrO2)、氮氧化铪(HfON)、硅酸铪(HfSiO4)、硅酸锆(ZrSiO4)、氧化镧(La2O3)或其组合。在此,栅电极512的材质则可为多晶硅、多晶硅锗、如钼或钨的耐火金属、如氮化钛的化合物、其组合物或其它导电材料。此外,亦可于栅电极512内导入如广为所知的用以改变栅电极512的功函数植入以改变其功函数。在此,掩模层514则可为由化学气相沉积法(CVD)所形成的氮化硅或二氧化硅材料所构成。
在此,第一堆栈栅极G为依序于基底500上形成一介电层(未显示)、一栅电极材料层(未显示)以及一掩模材料层(未显示)所形成。接着,掩模材料层经图案化后而形成用以定义栅电极512的一掩模层514,并藉由此掩模层514作为蚀刻掩模以定义栅电极材料层及介电层,进而形成栅电极512与栅极介电层510及最后形成一掩模层514于其上。因此,栅电极512与沟道区间为栅极介电层510所电性隔离。在此,当基底500包含硅材料时,栅极介电层510的材质则较佳地为氮氧化硅,而栅电极512则较佳地为采用含氯与溴的化学法所蚀刻而成,以得较高蚀刻选择比。
接着,藉由施行如电浆干蚀刻的一蚀刻步骤(未显示)以蚀刻有源区AA内未为第一堆栈栅极G及具有凸悬部的隔离区508’所覆盖的基底500。如此,于邻近第一堆栈栅极G对称侧的基底500内则形成有具有深度D的数个凹陷516。上述凹陷516的深度D约为50~2000埃,较佳地为约50~600埃。
接着藉由如化学气相沉积、超高真空化学气相沉积或分子束磊晶的一磊晶程序(未显示)于此些凹陷516内填入具有异于基底500的第一半导体材料的第二自然晶格常数的第二半导体材料518。此第二半导体材料可为元素态、合金或化合物的半导体材料且较佳地为包含硅与锗、硅与碳以及硅、碳及锗的组成物的合金半导体材料。
因此,于凹陷516内便形成有晶格不对称区520,其具有相对于第一堆栈栅极G的一内部侧及一外部侧。在此,其内部侧完全且横向地接触栅极介电层510下方的基底500的一侧。
如图8c所示,接着于移除掩模层514后,则于基底500上的有源区AA内留下第二堆栈栅极G’。接着可藉由习知的源/漏极区(source/drain region)以及间隔物(spacer)制程于第二堆栈栅极G’下方的部分基底500内形成源/漏极延伸区522、于第二堆栈栅极G’的各例壁上形成间隔物524以及于邻近于第二堆栈栅极G’的基底500内形成深源/漏极区526进而形成一应变沟道晶体管50a。在此,由源/漏极延伸区522及深源/漏极区526所组成的源/漏极区528则包含作为施加应变于邻近沟道区的应力区(stres sor)的晶格不对称区520。因此,于源/漏极区528间的基底500内便形成有一应变沟道区530。然后,选择性地移除各隔离区508的隆起部而于基底500内留下另一隔离区508’。
值得注意的,图8c中内所显示的有源区域AA为两隔离区508’所定义而成,且每一晶格不相称区520的每一外部侧与其邻近隔离区508’间是由构成基底500的第一半导体材料完全地隔开,而无横向地接触其邻近隔离区508’,且其间的距离d1约大于50埃,使应力区(即晶格不相称区520)施加于应变沟道区530的应变将无法为隔离区508’所缓冲且将可以最佳化其作用于应变沟道区530的应变。
再者,于凹陷516形成前,可藉由依序沉积及蚀刻一保护层(未显示)而选择性地于第一堆栈栅极G及隔离区508的隆起部的各侧壁上形成一保护间隔物532。此时的结构则如图8d所示,此保护层间隔物532的材质则例如为二氧化硅。
因此,于移除保护间隔物532后,接着藉由施行图8b所示的后续制程便可形成如图8e中所示一晶格不相称区520,其内部侧与第一堆栈栅极G的一侧基底500间具有一位移量d2,并可藉由改变保护间隔物532(在此未显示)的宽度而加以调整此位移量d2,此位移量d2较佳地少于700埃。
如此,具有位移后的晶格不相称区520的应变沟道晶体管50b可藉由图8c中所示的后续制程而形成,其结构如图8e所示。
于本实施例中具有如图8c及图8d所示的一应变沟道晶体管的半导体结构中,其中各晶格不相称区520的外部侧与邻近隔离区508间为构成基底500的第一半导体材料完全地隔开而无横向地接触邻近隔离区508使得作用于应变沟道区530的应变将无将无法轻易地为此隔离区508’所缓冲且将可以最适化其作用于应变沟道区530的应变。
此外,如图8c所示的应变沟道晶体管50a亦可藉由前述制造方法形成于仅由一隔离区508’所定义出的有源区AA内,其结构在此则未加以图标,以简化图标。
如此,依据本实用新型的具有包含介于两晶格不相称区的一应变沟道区530的应变沟道晶体管50a及50b的一应变沟道半导体结构则分别如图标于第8c及8e图中。如图8c所示具有应变沟道晶体管50a的应变沟道半导体结构,包括由第一半导体材料构成的一基底500;设置于基底内的一应变沟道区530;设置于应变沟道区530上的且包括依序堆栈的栅极介电层510与栅电极512的一堆栈栅极(如第二堆栈栅极G’);对称地设置于基底500及/或部分邻近应变沟道区530的基底500的一对源/漏极区(如源/极极区528),其中每一源/漏极区包含为异于基底500的第一半导体材料的第二半导体材料所构成的一晶格不相称区520而相对于第二堆栈栅极G’的两外部侧皆横向地接触构成基底500的第一半导体材料。
此外,于前述半导体结构内的晶格不相称区520可适度地改变其位置且如位移后的晶格不相称区、隆起型源/漏极区、藉由自对准金属硅化物制程降低电阻值等应用皆可个别地或经由结合而应用于本实用新型的制造方法中以形成具有不同类型的应变沟道半导体结构。为简化图示起见,在此不在绘示其不同结构。
于如图8c及图8e中所示本实用新型的应变沟道半导体结构中,基底500较佳地包含自然晶格常数约为5.431埃的硅,且晶格不相称区520较佳地包含一自然晶格常数约在5.431至5.657埃间如锗化硅合金的合金半导体材料,此常数与锗在硅锗合金中的浓度相关且大于基底500的自然晶格常数。晶格不相称区520内的锗在锗化硅合金中的克分子分数(mo1e fraction)较佳地介于约0.1至0.9。因此,晶格不相称区416便可作为一应力区以于应变沟道区530内的基底500中产生一源极至漏极方向的压缩应力与一垂直方向的拉伸张力,使应变沟道区530处于一源极至漏极方向的压缩应力与垂直方向的拉身张力中。当此应变沟道晶体管50a及50b为P沟道晶体管时,应变沟道区530的电洞迁移率显著增加,而使驱动电流(drive current)提升。此外,于晶格不相称区520中可更包含碳以成一碳硅锗合金,其中碳的克分子分数大于0.001。
此外,基底500较佳地包含硅且晶格不相称区520则较佳地包含如碳硅合金的一合金半导体材料,而上述半导体材料的自然晶格常数比基底500小。此晶格不相称区520内的碳含量于硅碳合金中的克分子分数(mole fraction)较佳地介于约0.01至0.04以使晶格不相称区520成为一应力区并于应变沟道区530中产生一源极至漏极方向的拉伸张力与一垂直方向的压缩应力,使应变沟道区530处于一源极至漏极方向的拉伸张力与垂直方向的压缩应力中。当上述应变沟道晶体管为N沟道晶体管时,应变沟道区530内电子迁移率显著增加,而使得驱动电流提升。再者,于晶格不相称区520可更包含锗,以为一硅锗碳合金,其中锗的克分子分数大于0.05。
再者,于图8c及图8e中的应变沟道区530中的压缩应变及拉伸应变约为0.1%至4%,较佳地为约1%至4%。于本实施例中,晶格不相称区520的厚度约介于50至2000埃,较佳地介于50至600埃。而压缩应变与拉伸应变是与上述图示中晶格不相称区520的晶格常数、厚度及在源/漏极区中的位置有关。
此外,当图8c及图8e中应变沟道晶体管为p沟道晶体管时,基底500为经n型掺杂的基底而当上述图标中应变沟道晶体管为n沟道晶体管时,基底500则为经p型掺杂的基底。
虽然本实用新型已以较佳实施例揭露如上,然其并非用以限定本实用新型,任何熟习此技艺者,在不脱离本实用新型的精神和范围内,当可作些许的更动与润饰,因此本实用新型的保护范围当视所附的权利要求范围所界定者为准。

Claims (29)

1.一种应变沟道半导体结构,其特征是,包括:
一基底,由具有第一自然晶格常数的一第一半导体材料所构成;
一沟道区,设置于该基底内;
一堆栈栅极,设置于该沟道区上,其包含有依序堆栈于该沟道区上的一栅极介电层及一栅电极;以及
一对源/漏极区,对称地设置于邻近于该沟道区的基底中,其中各源/漏极区包括包含具有相异于该第一自然晶格常数的第二自然晶格常数的第二半导体材料及具有相对于该堆栈栅极的一内部侧及一外部侧的一晶格不相称区,而至少一外部侧横向地接触构成该基底的第一半导体材料。
2.根据权利要求1所述的应变沟道半导体结构,其特征是,更包括一隔离区,设置于邻近所述源/漏极区之一的基底中,而该隔离区不接触该邻近源/漏极区的晶格不相称区的外部侧。
3.根据权利要求2所述的应变沟道半导体结构,其特征是,该隔离区与该外部侧的间距大于50埃。
4.根据权利要求2所述的应变沟道半导体结构,其特征是,该隔离区为一锥状隔离区而该锥状隔离区与该外部侧的平均间距大于50埃。
5.根据权利要求1所述的应变沟道半导体结构,其特征是,该堆栈栅极的一侧与该源/漏极区的晶格不相称区的内部侧间的间距少于700埃。
6.根据权利要求1所述的应变沟道半导体结构,其特征是,该晶格不相称区的厚度介于50~2000埃。
7.根据权利要求1所述的应变沟道半导体结构,其特征是,该第一半导体材料为硅。
8.根据权利要求1所述的应变沟道半导体结构,其特征是,该第二自然晶格常数大于该第一自然晶格常数。
9.根据权利要求1所述的应变沟道半导体结构,其特征是,该第二半导体材料择自于锗化硅、碳化硅及碳锗硅所组成的族群。
10.根据权利要求9所述的应变沟道半导体结构,其特征是,该第二半导体材料中锗的克分子分数大于0.05。
11.根据权利要求10所述的应变沟道半导体结构,其特征是,该第二半导体材料中碳的克分子分数大于0.001。
12.根据权利要求1所述的应变沟道半导体结构,其特征是,该第二自然晶格常数小于该第一自然晶格常数。
13.根据权利要求1所述的应变沟道半导体结构,其特征是,更包括有一隆起的源/漏极部,该隆起的源/漏极部高于该栅极介电层的厚度小于400埃。
14.根据权利要求1所述的应变沟道半导体结构,其特征是,该基底为一绝缘层上有半导体层的基底。
15.一种应变沟道半导体结构,其特征是,包括:
一基底,由具有第一自然晶格常数的一半导体材料所构成;
多个沟道区,分隔地设置于该基底内;
一栅极数组,包含有多个堆栈栅极,而每一堆栈栅极包括依序堆栈于每一沟道区上的一栅极介电层以及一栅电极;以及
多个源/漏极区,交错地设置于邻近于所述沟道区的基底中,其中各源/漏极区包括包含具有相异于该第一自然晶格常数的第二自然晶格常数的第二半导体材料及具有相对于该堆栈栅极的一内部侧及一外部侧的一晶格不相称区,而至少一邻近于该栅极数组各端的外部侧横向地接触该基底的第一半导体材料。
16.根据权利要求15所述的应变沟道半导体结构,其特征是,更包括一隔离区,设置于邻近于各端的源/漏极区的基底中且该隔离区无接触该邻近源/漏极区的晶格不相称区的外部侧。
17.根据权利要求16所述的应变沟道半导体结构,其特征是,该隔离区与该外部侧的间距大于50埃。
18.根据权利要求16所述的应变沟道半导体结构,其特征是,该隔离区为一锥状隔离区且该锥状隔离区与该外部侧的平均间距大于50埃。
19.根据权利要求15所述的应变沟道半导体结构,其特征是,该堆栈栅极的一侧与该源/漏极区的晶格不相称区的内部侧的间距少于700埃。
20.根据权利要求15所述的应变沟道半导体结构,其特征是,该晶格不相称区的厚度介于50~2000埃。
21.根据权利要求15所述的应变沟道半导体结构,其特征是,该第一半导体材料为硅。
22.根据权利要求15所述的应变沟道半导体结构,其特征是,该第二自然晶格常数大于该第一自然晶格常数。
23.根据权利要求15所述的应变沟道半导体结构,其特征是,该第二半导体材料择自于锗化硅、碳化硅及碳锗硅所组成的族群。
24.根据权利要求23所述的应变沟道半导体结构,其特征是,该第二半导体材料中锗的克分子分数大于0.05。
25.根据权利要求15所述的应变沟道半导体结构,其特征是,该第二半导体材料中碳的克分子分数大于0.001。
26.根据权利要求15所述的应变沟道半导体结构,其特征是,该第二自然晶格常数小于该第一自然晶格常数。
27.根据权利要求15所述的应变沟道半导体结构,其特征是,更包括有一隆起的源/漏极部,该隆起的源/漏极部具有高于该栅极介电层少于400埃的一厚度。
28.根据权利要求27所述的应变沟道半导体结构,其特征是,更包括有一金属硅化物层于该隆起的源/漏极部及该栅电极上。
29.根据权利要求15所述的应变沟道半导体结构,其特征是,该基底为一绝缘层上有半导体层的基底。
CNU2004200844327U 2003-07-25 2004-07-23 应变沟道半导体结构 Expired - Lifetime CN2760759Y (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US49042503P 2003-07-25 2003-07-25
US60/490,425 2003-07-25
US10/655,255 2003-09-04

Publications (1)

Publication Number Publication Date
CN2760759Y true CN2760759Y (zh) 2006-02-22

Family

ID=36082957

Family Applications (1)

Application Number Title Priority Date Filing Date
CNU2004200844327U Expired - Lifetime CN2760759Y (zh) 2003-07-25 2004-07-23 应变沟道半导体结构

Country Status (3)

Country Link
US (2) US7078742B2 (zh)
CN (1) CN2760759Y (zh)
TW (1) TWI253752B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100446272C (zh) * 2003-09-04 2008-12-24 台湾积体电路制造股份有限公司 应变沟道半导体结构

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7183221B2 (en) * 2003-11-06 2007-02-27 Texas Instruments Incorporated Method of fabricating a semiconductor having dual gate electrodes using a composition-altered metal layer
KR100519800B1 (ko) * 2004-01-13 2005-10-10 삼성전자주식회사 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
CN1684246B (zh) 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
KR100618852B1 (ko) * 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
US7598134B2 (en) * 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7161199B2 (en) * 2004-08-24 2007-01-09 Freescale Semiconductor, Inc. Transistor structure with stress modification and capacitive reduction feature in a width direction and method thereof
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
JP2006165081A (ja) * 2004-12-03 2006-06-22 Fujitsu Ltd 半導体装置の製造方法および半導体装置
EP1820211B1 (en) * 2004-12-07 2012-08-01 Thunderbird Technologies, Inc. Gate engineered fermi-fets with strained silicon channel and manufacturing method
US7732289B2 (en) * 2005-07-05 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a MOS device with an additional layer
JP5033316B2 (ja) * 2005-07-05 2012-09-26 日産自動車株式会社 半導体装置の製造方法
US8003470B2 (en) 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
DE102005052055B3 (de) * 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US8407634B1 (en) 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
CN100411146C (zh) * 2005-12-06 2008-08-13 联华电子股份有限公司 制作应变硅互补式金属氧化物半导体晶体管的方法
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
JP2007200972A (ja) * 2006-01-24 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
US8441000B2 (en) * 2006-02-01 2013-05-14 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US7544584B2 (en) * 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7618866B2 (en) * 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
KR100732318B1 (ko) * 2006-06-30 2007-06-25 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조 방법
DE102006035665B4 (de) * 2006-07-31 2010-12-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Feldeffekttransistors und Feldeffekttransistor
US7485544B2 (en) 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US20080042123A1 (en) * 2006-08-18 2008-02-21 Kong-Beng Thei Methods for controlling thickness uniformity of SiGe regions
US7612364B2 (en) * 2006-08-30 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with source/drain regions having stressed regions and non-stressed regions
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US8008157B2 (en) 2006-10-27 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device with raised source and drain regions
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
EP1936696A1 (en) * 2006-12-22 2008-06-25 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A field effect transistor device and methods of production thereof
US8217423B2 (en) * 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
DE102007004862B4 (de) * 2007-01-31 2014-01-30 Globalfoundries Inc. Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
US8569837B2 (en) * 2007-05-07 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having elevated source/drain regions
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US7816686B2 (en) * 2007-06-12 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming silicides with reduced tailing on silicon germanium and silicon
JP5286701B2 (ja) * 2007-06-27 2013-09-11 ソニー株式会社 半導体装置および半導体装置の製造方法
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8288233B2 (en) * 2007-09-28 2012-10-16 Intel Corporation Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby
JP5389346B2 (ja) * 2007-10-11 2014-01-15 富士通セミコンダクター株式会社 Mos電界効果トランジスタおよびその製造方法
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US20090184341A1 (en) * 2008-01-17 2009-07-23 Chartered Semiconductor Manufacturing, Ltd. Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US8115194B2 (en) * 2008-02-21 2012-02-14 United Microelectronics Corp. Semiconductor device capable of providing identical strains to each channel region of the transistors
US20090224328A1 (en) * 2008-03-04 2009-09-10 Shyh-Fann Ting Semiconductor device
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8253200B2 (en) 2008-11-19 2012-08-28 Omnivision Technologies, Inc. Lightly-doped drains (LDD) of image sensor transistors using selective epitaxy
US8232171B2 (en) * 2009-09-17 2012-07-31 International Business Machines Corporation Structure with isotropic silicon recess profile in nanoscale dimensions
TWI406362B (zh) * 2009-11-19 2013-08-21 Univ Nat United A complementary gold - oxygen - semi - crystal system method for increasing the mobility of holes in PMOS element region
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
DE102011076695B4 (de) * 2011-05-30 2013-05-08 Globalfoundries Inc. Transistoren mit eingebettetem verformungsinduzierenden Material, das in durch einen Oxidationsätzprozess erzeugten Aussparungen ausgebildet ist
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US8815656B2 (en) 2012-09-19 2014-08-26 International Business Machines Corporation Semiconductor device and method with greater epitaxial growth on 110 crystal plane
CN103779223B (zh) * 2012-10-23 2016-07-06 中国科学院微电子研究所 Mosfet的制造方法
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US9299837B2 (en) * 2013-05-22 2016-03-29 Globalfoundries Inc. Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9041062B2 (en) 2013-09-19 2015-05-26 International Business Machines Corporation Silicon-on-nothing FinFETs
US9691898B2 (en) * 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9450079B2 (en) 2014-04-09 2016-09-20 International Business Machines Corporation FinFET having highly doped source and drain regions
US9899274B2 (en) 2015-03-16 2018-02-20 International Business Machines Corporation Low-cost SOI FinFET technology
KR102326112B1 (ko) 2015-03-30 2021-11-15 삼성전자주식회사 반도체 소자
US9793407B2 (en) * 2015-12-15 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor
EP3394896A4 (en) * 2015-12-24 2019-12-18 Intel Corporation METHODS OF FORMING DOPED SOURCE / DRAIN CONTACTS AND STRUCTURES FORMED IN THIS WAY
US11450768B2 (en) 2020-10-05 2022-09-20 Sandisk Technologies Llc High voltage field effect transistor with vertical current paths and method of making the same

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069094A (en) 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
JPS551103A (en) 1978-06-06 1980-01-07 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor resistor
US4497683A (en) 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4631803A (en) 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
JPH0640583B2 (ja) * 1987-07-16 1994-05-25 株式会社東芝 半導体装置の製造方法
US4946799A (en) 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
JPH0394479A (ja) 1989-06-30 1991-04-19 Hitachi Ltd 感光性を有する半導体装置
US5338980A (en) * 1989-10-04 1994-08-16 Texas Instruments Incorporated Circuit for providing a high-speed logic transition
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP3019430B2 (ja) 1991-01-21 2000-03-13 ソニー株式会社 半導体集積回路装置
US5525828A (en) 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
JP2534430B2 (ja) * 1992-04-15 1996-09-18 インターナショナル・ビジネス・マシーンズ・コーポレイション フォ―ルト・トレランスのあるコンピュ―タ・システム出力の合致を達成するための方法
US5338960A (en) 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
EP0655785B1 (en) 1993-11-30 2001-10-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and its manufacturing method
JPH0846139A (ja) 1994-05-06 1996-02-16 Texas Instr Inc <Ti> ポリシリコン抵抗器とその作成法
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5607865A (en) 1995-01-27 1997-03-04 Goldstar Electron Co., Ltd. Structure and fabrication method for a thin film transistor
US6433382B1 (en) 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5629544A (en) 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5955766A (en) 1995-06-12 1999-09-21 Kabushiki Kaisha Toshiba Diode with controlled breakdown
US5708288A (en) 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
JP3383154B2 (ja) * 1996-06-20 2003-03-04 株式会社東芝 半導体装置
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5770881A (en) 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5789807A (en) 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5894152A (en) 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
JP3535527B2 (ja) 1997-06-24 2004-06-07 マサチューセッツ インスティテュート オブ テクノロジー 傾斜GeSi層と平坦化を用いたゲルマニウム・オン・シリコンの貫通転位の制御
US6221709B1 (en) 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
US6096591A (en) 1997-06-30 2000-08-01 Advanced Micro Devices, Inc. Method of making an IGFET and a protected resistor with reduced processing steps
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5872030A (en) 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of improving beta ratio in SRAM and device manufactured thereby
US6495900B1 (en) 1997-11-12 2002-12-17 Micron Technology, Inc. Insulator for electrical structure
EP0923116A1 (en) 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
JP3265569B2 (ja) 1998-04-15 2002-03-11 日本電気株式会社 半導体装置及びその製造方法
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
JP3403076B2 (ja) 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6100204A (en) 1998-07-28 2000-08-08 Advanced Micro Devices, Inc. Method of making ultra thin gate oxide using aluminum oxide
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6387739B1 (en) 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6015993A (en) 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6061267A (en) 1998-09-28 2000-05-09 Texas Instruments Incorporated Memory circuits, systems, and methods with cells using back bias to control the threshold voltage of one or more corresponding cell transistors
JP2000132990A (ja) 1998-10-27 2000-05-12 Fujitsu Ltd 冗長判定回路、半導体記憶装置及び冗長判定方法
US6258239B1 (en) * 1998-12-14 2001-07-10 Ballard Power Systems Inc. Process for the manufacture of an electrode for a solid polymer fuel cell
US6040991A (en) 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US5965917A (en) 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US6258664B1 (en) 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6531741B1 (en) 1999-03-03 2003-03-11 International Business Machines Corporation Dual buried oxide film SOI structure and method of manufacturing the same
TW403969B (en) 1999-04-09 2000-09-01 United Microelectronics Corp Method for manufacturing metal oxide semiconductor
US6358791B1 (en) 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
JP3530422B2 (ja) * 1999-06-16 2004-05-24 Necエレクトロニクス株式会社 ラッチ回路とレジスタ回路
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6737710B2 (en) * 1999-06-30 2004-05-18 Intel Corporation Transistor structure having silicide source/drain extensions
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6255175B1 (en) 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP3504212B2 (ja) 2000-04-04 2004-03-08 シャープ株式会社 Soi構造の半導体装置
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6281059B1 (en) 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (de) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6342410B1 (en) 2000-07-10 2002-01-29 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with three sided gate structure on semiconductor on insulator
JP3843708B2 (ja) 2000-07-14 2006-11-08 日本電気株式会社 半導体装置およびその製造方法ならびに薄膜コンデンサ
JP2002043576A (ja) 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
FR2812764B1 (fr) 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
JP2002076287A (ja) 2000-08-28 2002-03-15 Nec Kansai Ltd 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6576276B1 (en) * 2000-10-25 2003-06-10 The Coca-Cola Company CO2-hydrate product and method of manufacture thereof
US6549450B1 (en) 2000-11-08 2003-04-15 Ibm Corporation Method and system for improving the performance on SOI memory arrays in an SRAM architecture system
US6341083B1 (en) 2000-11-13 2002-01-22 International Business Machines Corporation CMOS SRAM cell with PFET passgate devices
JP2004523103A (ja) 2000-12-04 2004-07-29 アンバーウェーブ システムズ コーポレイション 歪みシリコン表面チャネルmosfetを用いるcmosインバータ回路
US6414355B1 (en) 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
KR100363555B1 (ko) * 2001-02-07 2002-12-05 삼성전자 주식회사 에스오아이 트랜지스터의 플로팅 바디효과를 제거하기위한 에스오아이 반도체 집적회로 및 그 제조방법
US6894324B2 (en) 2001-02-15 2005-05-17 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6867101B1 (en) 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
FR2823294B1 (fr) * 2001-04-06 2004-01-02 Giat Ind Sa Dispositif de chargement automatique d'une arme montee sur tourelle
US6593181B2 (en) 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (ja) 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6489684B1 (en) 2001-05-14 2002-12-03 Taiwan Semiconductor Manufacturing Company Reduction of electromigration in dual damascene connector
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6576526B2 (en) 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US6874100B2 (en) * 2001-07-12 2005-03-29 Digi-Data Corporation Raid system with multiple controllers and proof against any single point of failure
WO2003017336A2 (en) 2001-08-13 2003-02-27 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6700771B2 (en) 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6690082B2 (en) 2001-09-28 2004-02-10 Agere Systems Inc. High dopant concentration diffused resistor and method of manufacture therefor
US6521952B1 (en) 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US6555883B1 (en) 2001-10-29 2003-04-29 Power Integrations, Inc. Lateral power MOSFET for high switching speeds
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4173658B2 (ja) 2001-11-26 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
FI119215B (fi) 2002-01-31 2008-08-29 Imbera Electronics Oy Menetelmä komponentin upottamiseksi alustaan ja elektroniikkamoduuli
US6706576B1 (en) 2002-03-14 2004-03-16 Advanced Micro Devices, Inc. Laser thermal annealing of silicon nitride for increased density and etch selectivity
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
JP2003282726A (ja) 2002-03-27 2003-10-03 Nec Electronics Corp 半導体装置及びその製造方法
JP3581354B2 (ja) * 2002-03-27 2004-10-27 株式会社東芝 電界効果トランジスタ
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
JP4136452B2 (ja) 2002-05-23 2008-08-20 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
WO2003105204A2 (en) 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6936869B2 (en) 2002-07-09 2005-08-30 International Rectifier Corporation Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6605514B1 (en) 2002-07-31 2003-08-12 Advanced Micro Devices, Inc. Planar finFET patterning using amorphous carbon
US6686247B1 (en) 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US6969618B2 (en) 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
DE10240423B4 (de) 2002-09-02 2007-02-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Feldeffekttransistor und einem passiven Kondensator mit reduziertem Leckstrom und einer verbesserten Kapazität pro Einheitsfläche und Verfahren zu dessen Herstellung
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6730573B1 (en) 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6720619B1 (en) 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6919233B2 (en) 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US6878592B1 (en) * 2003-01-14 2005-04-12 Advanced Micro Devices, Inc. Selective epitaxy to improve silicidation
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6845034B2 (en) 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6847089B2 (en) 2003-04-03 2005-01-25 Texas Instruments Incorporated Gate edge diode leakage reduction
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7045849B2 (en) 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US7081395B2 (en) * 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US20040266116A1 (en) 2003-06-26 2004-12-30 Rj Mears, Llc Methods of fabricating semiconductor structures having improved conductivity effective mass
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6872610B1 (en) 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US7224068B2 (en) 2004-04-06 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stable metal structure with tungsten plug
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100446272C (zh) * 2003-09-04 2008-12-24 台湾积体电路制造股份有限公司 应变沟道半导体结构

Also Published As

Publication number Publication date
TW200529421A (en) 2005-09-01
US7867860B2 (en) 2011-01-11
US7078742B2 (en) 2006-07-18
TWI253752B (en) 2006-04-21
US20050082522A1 (en) 2005-04-21
US20050184345A1 (en) 2005-08-25

Similar Documents

Publication Publication Date Title
CN2760759Y (zh) 应变沟道半导体结构
CN100345280C (zh) 具有晶格不相称区的变形沟道晶体管结构及其制造方法
CN2726123Y (zh) 半导体组件
CN1293635C (zh) 可同时具有部分耗尽晶体管与完全耗尽晶体管的芯片及其制作方法
CN1317772C (zh) 半导体器件及其制造方法
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN100345301C (zh) 整合型晶体管及其制造方法
CN1210809C (zh) 半导体器件和半导体衬底
CN1270380C (zh) 半导体器件及其制造方法
CN1487599A (zh) 具有多个叠置沟道的场效应晶体管
CN1822392A (zh) 半导体器件
CN1227745C (zh) 垂直金属-氧化物-半导体晶体管及其制造方法
CN1218994A (zh) 半导体装置
CN1574253A (zh) 低泄漏异质结垂直晶体管及其高性能器件
CN1581494A (zh) 以部分空乏与完全空乏晶体管建构的静态存储元件
CN1725506A (zh) 应变沟道半导体结构及其制造方法
CN1763968A (zh) 双极型器件以及增加其中电荷载流子迁移率的方法
CN1941329A (zh) 用于cmos技术的应变感应迁移率增强纳米器件及工艺
CN1503372A (zh) 具有多重闸极及应变的通道层的晶体管及其制造方法
CN1976033A (zh) 半导体器件及其制造方法
CN1825625A (zh) 具混成应变诱导层的应变晶体管及其形成方法
CN1674298A (zh) 场效应晶体管
CN1909243A (zh) 半导体装置及其制造方法
CN1505155A (zh) 半导体器件及其制造方法
CN101030541A (zh) 半导体晶体管元件及其制作方法

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20140723

Granted publication date: 20060222