CN2726123Y - 半导体组件 - Google Patents

半导体组件 Download PDF

Info

Publication number
CN2726123Y
CN2726123Y CNU2004200496032U CN200420049603U CN2726123Y CN 2726123 Y CN2726123 Y CN 2726123Y CN U2004200496032 U CNU2004200496032 U CN U2004200496032U CN 200420049603 U CN200420049603 U CN 200420049603U CN 2726123 Y CN2726123 Y CN 2726123Y
Authority
CN
China
Prior art keywords
transistor
heavily stressed
semiconductor subassembly
subassembly according
sept
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNU2004200496032U
Other languages
English (en)
Inventor
柯志欣
杨育佳
李文钦
胡正明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of CN2726123Y publication Critical patent/CN2726123Y/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

本实用新型是关于一种半导体组件,此半导体组件的结构包括:一栅极介电层,覆盖于一通道区上;一源极区及一汲极区,位于该通道区的对称侧,其中通道区包含有第一半导体材料而源极区及汲极区包含有第二半导体材料;一闸电极,覆盖于栅极介电层上;以及一第一间隔物及一第二间隔物,形成于闸电极两侧上,其中此些间隔物各包含有邻近于该通道区的一空隙(void)。

Description

半导体组件
技术领域
本实用新型是有关于一种半导体组件,且特别是有关于一种应变通道互补型场效应晶体管(strained channel complementary field-effecttransistor)。
背景技术
近十几年来,随着金氧半场效晶体管(metal-oxide-semiconductorfield effect transistor,MOSFET)尺寸的缩小,包括栅极长度与栅极氧化层厚度的缩小,已使得持续改善速度效能、密度与每单位IC(integratedcircuits)成本成为可能。
为了更进一步提升晶体管的效能,可利用在晶体管通道的应变(strain)来改善载子迁移率,以达到提升晶体管效能的目的,进而使组件比例缩小。以下介绍几个使通道区应变的现有方法。
常见方法之一为,如1992年12月于加州旧金山所举行的InternationalElectron Devices Meeting所发表刊物中第1000-1002页处,由J.Welser等人于标题为“NMOS and PMOS transistors fabricated in strainedsilicon/relaxed silicon-germanium structures”的论文中所述,将一松散锗化硅(relaxed SiGe)缓冲层提供于通道区的下方,如图1a所示。如图1a所示,包含有形成且突出于一松散锗化硅层112的应变硅层110的一半导体组件100,其是位于一衰减锗化硅(degraded SiGe)缓冲层114。此衰减硅锗化硅(degraded SiGe)缓冲层114则形成于一硅基底116上。
于图1b中,松散锗化硅层112具有相较于松散硅材料的一较大晶格常数,因此磊晶成长于松散锗化硅层112上的应变硅层110其晶格将横向地延伸。其结果如图1b及图1c所示。因此,形成于应变硅层110上的晶体管108将具有处于二维上拉伸张力(biaxial tensile stress)。于此法中,松散锗化硅层112可视为施加应变于通道区120内的一应力区。此时,此应力区是设置于通道区120的下方。
采用位于二维拉伸张力的硅通道的晶体管中,整个晶体管中电子与电洞迁移率有显著的提升。于前述方法中,应变硅层是于晶体管形成前就已应变,因此,需特别注意后续CMOS的高温制程所可能产生的应变松散(strainrelaxation)。此外,由于锗化硅缓冲层的厚度是以微米的等级在成长,所以此法非常昂贵。再者,松散锗化硅缓冲层中存在许多差排(dislocation)现象,有些还会增生到应变硅层中,而产生高缺陷密度,使晶体管效能受到负面影响。因此,上述方法具有成本上及材料特性上限制。
于其它方法中,通道区是于晶体管形成后应变。在此方法中,一高应力薄膜132形成于位于硅基底136上的一晶体管结构130上,如图2所示。此高应力薄膜132(或应力区)对通道区134产生重大影响,使得通道区134晶格间隙(lattice spacing)改变且产生应变。此时,应力区132位于整个晶体管结构上方,详细的描述请参考A.Shimizu et al.,“Local mechanicalstress control (LMC):a new technique for CMOS performanceenhancement”,pp.433-436 of the Digest of Technical Papers of the2001 International Electron Device Meeting。
上述高应力薄膜所产生的应变于本质上被认为是与源极至汲极方向(source-to-drain direction)平行的一维方向(uniaxial)。然而,于源极至汲极方向上的一维拉伸张力应变减低了电洞迁移率,而一维的压缩应力减低了电子迁移率。亦可采用如锗的离子植入以选择性地减轻上述应变,使电洞或电子迁移率不致降低,然而由于n通道与p通道晶体管很靠近,所以上述植入的施行亦为困难。
发明内容
有鉴于习知技术中于晶体管中导入应变的方法,藉由一应力区以导入应变可使得具有第一导电性的晶体管受益但却导致具有第二导电性的晶体管的表现劣化。藉由本实用新型的较佳实施例所提供的制造n通道及p通道场效应晶体管的方法可达到解决或减少上述问题或其它问题,并达到分别最佳化其表现的目的。
于第一实施例中,本实用新型提供了一晶体管结构以去除于此晶体管通道区的一应力区的影响。如此的结构极适合用于当应力区降低了其载子迁移率时。本实用新型亦提供了一种整合方法用以最适化n通道及p通道场效应晶体管的通道区内应变。
依据本实用新型的一较佳实施例的一种晶体管,其包括:一栅极介电层,覆盖于一通道区上;一源极区及一汲极区,位于该通道区的对称侧,其中通道区包含有第一半导体材料而源极区及汲极区包含有第二半导体材料;一闸电极,覆盖于栅极介电层上;以及一第一间隔物及一第二间隔物,形成于闸电极两侧上,其中此些间隔物各包含有邻近于该通道区的一空隙(void)。
依据本实用新型的一较佳实施例的一种晶体管组件,其包括:一半导体基底;一第一晶体管,形成于半导体基底内,其中第一晶体管具有由第一半导体材料所构成的一第一通道区以及对称地设置于邻近第一通道区的一第一源极区及一第一汲极区,而第一源极区及第一汲极区包含与第一半导体材料晶格不对称的一第二半导体材料;以及一第二晶体管形成于半导体基底内且具有异于第一晶体管的一导电性(conductivity type),其中该第二晶体管具有由第二半导体材料所构成的第二通道区,该第二晶体管亦具有对称地设置于邻近第二通道区的一第二源极区及一第二汲极区,而第二源极区及第二汲极区包含与异于第二半导体材料的一材料。
附图说明
图1a显示具有作为应力区的一松散锗化硅层以使磊晶硅层上方产生应变的一习知应变硅(strained-Si)晶体管;
图1b及图1c显示于Si/SiGe异质结构间的原始应变;
图2显示采用一高应力薄膜作为应力区以导入应变于通道区的另一方式。
图3a~图3g显示第一实施例的制程流程。
图4a~图4d显示第二实施例的制程流程。
符号说明:
100~半导体组件;              108、130~晶体管;
110~应变硅层;                112~松散锗化硅层;
114~衰减锗化硅缓冲层;        116、136~硅基底;
120、134~通道区;             132~高应力薄膜。
200~p通道晶体管;             201~n通道晶体管;
202~硅基底;
204a、204b、204c~隔离区;
206~栅极介电层;              208~闸电极;
210~源极延伸区;              212~汲极延伸区;
214、216~间隔物;             218~介电罩幕;
220、240~凹陷;               222、226~底切;
224、225~源/汲极区;          228、238~高应力膜层;
230、232~空隙;               234~空隙的长度;
236~通道区;                 242~第一半导体材料;
244~第二半导体材料。
具体实施方式
为了让本实用新型的上述和其它目的、特征、和优点能更明显易懂,下文特举一较佳实施例,并配合所附图示,作详细说明如下:
以下将详细讨论较佳实施例的制作与使用。然而,本实用新型不仅提供了多种于广泛领域可实施的发明概念,于特定实施例中所讨论的制作与使用为更充分说明本实用新型的制作与使用而非限制本实用新型的领域。
本实用新型的较佳实施例是有关于半导体组件的领域,且特别地有关于具有分别最佳化表现的经应变n通道及p通道场效应晶体管。
于较佳实施例中,n通道及p通道晶体管可藉由操纵于其通道区中的应变量及应变本质以最佳化其表现。比方说,于n通道晶体管通道的源极至汲极方向中最好导入拉伸应力以得到较佳表现,而于p通道晶体管通道的源极至汲极方向中最好导入压缩应力以得到较佳表现。
于一实施例中,可采用具有拉伸应力的一高应力膜层以导入拉伸应力至晶体管的通道区。可是,于源极至汲极方向的拉伸应力于改善了n通道晶体管的迁移率但降低了p通道晶体管的迁移率。依据本实用新型的较佳实施例中,当高应力膜层的效应减低了于通道区中载子的迁移率时,可采用如空隙的一应力减低区以减低于通道区上高应力膜层的效应。
举例来说,具有拉伸应力的高应力膜层可于源极至汲极方向产生拉伸应变而降低了p通道晶体管中的电洞迁移率。可于p通道晶体管的间隔物区内形成一空隙以降低此高应力膜层的影响。所空出的表面表现出无应力的边界状态且因此表现出极低应力的区域。此空出表面或为此空出表面所围绕区域即为一空隙区。藉由于高应力膜层及此p通道晶体管的通道区间设置空隙,将可减低拉伸应力对于p通道晶体管的负面影响。因此,藉由设置空隙于所选择通道区内如所选择晶体管的间隔物内的空洞处,可降低所选择通道区的此高应力膜层的应力。较大的空隙可造成较多降低效用而因此降低应力规模。举例来说,于p通道晶体管间隔物内的空隙可大于n通道晶体管的间隔物内的空隙。
第一实施例
图3a~图3g中图示了第一实施例中分别最适化于一半导体芯片中晶体管的通道区应变的方法。于此较佳实施例中,起始材料为硅基底,亦可使用含有如砷化镓的化合物半导体材料或如锗化硅的合金半导体材料。此起始材料亦可为如绝缘层上有硅基材的一绝缘层上有半导体层(SOI)基底。此外,此起始材料亦可为包含由磊晶成长而成的一半导体层且/或为如一三重井区结构的为于半导体层内经掺杂的一区域。
图3a显示了使用起始材料为一硅基底块202的较佳实施例。隔离区204a、204b及204c(以下统称为204)则形成于基底202内。此些隔离区204较佳地为熟悉此技艺者所熟知的浅沟槽隔离物。此外,为熟悉此技艺者所理解,亦可采用其它如场氧化层(藉由局部的硅氧化所形成)的其它隔离结构。
图3a中显示有两主动区,分别为介于隔离区204a及204b间及隔离区204b及204c间的第一主动区及第二主动区。举例来说,可于此些主动区其中之一内形成一n通道晶体管,而于另一主动区内则可形成一p通道晶体管。且如习知技术所知,于含有上述n通道晶体管的基底202部分将掺杂有p型掺质而含有上述p通道晶体管的基底202部分则将掺杂有n型掺质。此些经掺杂部将可由习知的一个或多个井区使用而形成。
于半导体区202上的表面则形成有一栅极介电层206。此栅极介电层206可包含二氧化硅、氮氧化硅及氮化硅,或为上述材质的组合。此外,栅极介电层206亦可为由具有相对介电常数大于5的材质所形成,其材质例如为氧化铝、氧化铪、氧化锆、氮氧化铪、氧化镧或为此些材质的组合。
接着沉积一闸电极材料。此闸电极材料较佳地含有如钽、钛、钼、钨、铂、铝、铪、镧的金属、含有如钛的硅化物、钴的硅化物、镍的硅化物、铊的硅化物的金属硅化物、经掺杂的多晶硅或多晶硅锗或任何其它的导电材质。上述材质亦可结合地使用。上述任何导体皆可搭配前述的栅极介电材料使用。例如,可先沉积一非晶硅并接着再结晶化此非晶硅以形成多晶硅。
接着依序实施一微影步骤及一蚀刻步骤以形成如图3a中的堆栈栅极。此堆栈栅极含有闸电极208及其下的栅极介电层206。于较佳实施例中,闸电极208的材质为多晶硅而栅极介电层206的材质为氮氧化硅。闸电极厚度通常约介于500~2000埃,较佳地少于1500埃。而栅极介电层206的等效氧化硅厚度则通常介于3~50埃,较佳地少于20埃。
源极延伸区及汲极延伸区210、212则由离子植入方式所形成。于较佳实施例中,则形成具有不同导电类型通道区的两晶体管200及201。例如,当n通道组件被遮蔽时,p通道延伸区210(或212)可藉由植入如硼的掺质以形成。同上,当p通道组件被遮蔽时,n通道延伸区210(或212)可由植入如砷或磷的掺质而形成。
请参照图3b,于闸电极208的侧边上形成有间隔物214/216。于一例子中,间隔物可如二氧化硅或氮化硅由化学气相沉积法所沉积而成的介电材质所构成,并由对此介电材质的非等向性蚀刻而形成简单的间隔物。于图3b中所示,间隔物为一复合间隔物,其包含有介电衬层214以及间隔物主体216。此介电衬层214可由如氧化硅的介电衬层材质的沉积所形成,而如氮化硅的间隔物主体216则可由采用反应性离子蚀刻的一非等向性蚀刻而形成。于另一实施例中,介电衬层214可为氧化物而介电衬层可为氮化物。
依据较佳实施例,于第一主动区内(于隔离区204a及204b间的半导体区202)形成具有第一导电类型的晶体管200,其源极区及汲极区内形成有晶格不相称区。请参照图3c,其可藉由于包含有晶体管201的第二主动区(于隔离区204b及204c间的半导体区202)内形成一介电罩幕218所形成,而露出具有第一导电类型的晶体管200。
如图3c所示,于此第一导电类型晶体管的源极区及汲极区中蚀刻形成凹陷区220。形成凹陷区220的蚀刻可为干式电浆蚀刻程序。于较佳实施例中,当基底202为硅基底时,此电浆干蚀刻程序可采用含氟的化学品。值得注意的,于形成凹陷区220的蚀刻时,复合间隔物的介电衬层214亦可能轻微地被蚀刻而形成底切区222。
请参照图3d,接着施行一选择性磊晶程序以形成此于具有第一导电种类晶体管的源极区及汲极区224。此磊晶成长而成的材质224较佳地为与基底202材质具有不同自然晶格常数的一半导体材料。在此,当第一导电类型为p型时,此晶格不相称材质224较佳地为锗化硅。而当第一导电类型为n型时,此晶格不相称材质224较佳地为碳化硅或碳硅锗(Si1-x-yGexCy)合金,其中碳的穆尔分率y大于锗的穆尔分率的十分之一。于第一导电类型晶体管的源极区及汲极区224高度可能高于第二导电类型晶体管的源极汲极区的高度。
值得注意的,形成介电罩幕218、蚀刻凹陷区220以及磊晶成长晶格不对称材料224的制程步骤可于形成间隔物214、216于闸电极208的侧边上前先被执行。
如图3e所示,接着移除介电罩幕218。当介电罩幕材质为氧化硅时,其可由使用经稀释的氢氟酸溶液达成。于移除介电罩幕218过程中可能于晶体管201的介电衬层214中产生底切226。由于经过两次类似的上述制程,底切222可能大于底切226。
于遮蔽PMOS晶体管202时,可由离子植入方式形成NMOS晶体管201的源极区及汲极区。于较佳实施例中,所使用的掺质可为砷、磷或其组合。其源极区及汲极区225则如图3f中所示。
如图3f所示,于晶体管200及201上形成一高应力薄膜228。此高应力薄膜228可为氮化硅或其它高应力的材料。其应力可为压缩或拉伸应力且其约为0.1~4GPa。此高应力薄膜228的形成方法较佳地为化学气相沉积法,如一低压化学气相沉积法(LPCVD)或一电浆加强型化学气相沉积法(PECVD)或其它习知方法。
由于底切222及226分别形成于晶体管的间隔物内,故空隙230及232则亦形成于间隔物内。其为自然形成且带来额外的效益。值得注意的,于高应力薄膜228的形成过程中,极可能完全地于填入此些底切内而使得最终结构中无空隙的形成。另一方面,本实用新型教导了于一应变通道晶体管中自然形成空隙的方法以有效去除位于具有某一导电类型晶体管上高应力薄膜的负面影响。
于较佳实施例中,当此第一导电类型为p型而第二导电类型为n型时,于空隙230及232分别具有横向的伸展并可视作为上述空隙的长度。例如为于图3f中所示n通道晶体管201的空隙232的长度234。于此空隙区的空隙尺寸如剖面图内的第一空隙。当于剖面图中的第一空隙的尺寸大于相同图示中的第二空隙,具有较大尺寸或较大长度的空隙可较具有较小尺寸或较小长度的空隙具有较高的应力减低效应。
于较佳实施例中,空隙230及232的尺寸可由量测间隔物214、216的宽度得知。比方说,空隙232可能具有超过间隔物宽度的5%的一长度234。而于另一实施例中,空隙232则可能具有超过间隔物214、216的至少10%的宽度。
当于n通道及p通道晶体管201及200上为单一高拉伸应力膜层228所覆盖时,各晶体管的通道区236将感受到一拉伸应变,而n通道晶体管201将可获得较佳的迁移率改善,但此时p通道晶体管200的迁移率反而劣化。而依据较佳实施例,可导入空隙230于p通道通道区236的空缺处以消除高拉伸张力薄膜228的负面效应。而依据另一较佳实施例,p通道晶体管200的通道区236可具有较邻近于n通道晶体管201的通道区236内空隙232为大的空隙230。
于另一实施例中,如图3g所示,于p通道晶体管200上可为一高压缩应力膜层238所覆盖而n通道晶体管可为一高拉伸应力膜层228所覆盖时。此时压缩应力依源极至汲极方向作用于p通道晶体管200的通道区236中并改善其内的电洞迁移率,而拉伸应力则依源极至汲极方向作用于n通道晶体管201的通道区236中并改善其内的电子迁移率。
如图3g中所示的结构可由先形成图3f中的结构并接着形成一罩幕层(未显示)覆盖于n通道晶体管的高拉伸应力膜层228。此覆盖于p通道晶体管的高应力膜层可接着形成于p通道晶体管上。比方说,此膜层可完全地覆盖于整个组件上且然后由蚀刻以定义出所期望的图案。
第二实施例
本实用新型的另一方法实施例将由图4a~图4d加以说明,而于先前图3a~图3g中所讨论的项目在此不再重复。两种不同导电类型的晶体管200及201分别形成于不同的主动区内,且由一蚀刻步骤以蚀刻个别晶体管的源极区及汲极区。此蚀刻可采如干式电浆蚀刻的蚀刻步骤。所形成的结构则如图4a中所示,于晶体管200及201中分别显示有凹陷220及240。
请参照图4b,于源极区及汲极区内的凹陷220及240内分别磊晶地成长出晶格不对称区242及244。于p通道晶体管及n通道晶体管200及201内则可使用不同的晶格不相称材料。可由首先形成一罩幕(未显示)覆盖于n通道晶体管上且施行一第一磊晶以选择性成长出一第一半导体材料242于p通道晶体管的源极区及汲极区内。
于此罩幕移除后,接着形成一第二罩幕(未显示)以覆盖于p通道晶体管200。接着施行一第二磊晶程序以选择性地于n通道晶体管201的源极区及汲极区内成长第二半导体材料244。于图4b中则显示了其最终结构。
于较佳实施例中,第一半导体材料242可为锗化硅而第二半导体材料244可为碳化硅或硅锗碳(Si1-x-yGexCy)合金,其中碳的穆尔分率y大于锗的穆尔分率的十分之一。由于基底202的材料较佳地为硅,具有较大晶格常数的锗化硅材料的第一半导体材料的出现将导致p通道晶体管200的通道区236处于压缩应变。类似地,具有较小晶格常数的碳化硅材料的第二半导体材料的出现亦将导致n通道晶体管201的通道区236处于拉伸应变。
晶体管200及201的间隔物214、216可为复合间隔物,而其介电衬层214暴露于蚀刻步骤时可自然地于其内形成底切。当介电衬层214为氧化硅时,上述蚀刻将可为一氢氟酸蚀刻,此时的结构如图4c中所示。
接着形成高应力薄膜228(或238)于如图4d中晶体管200及201上。虽未显示,但可如前述图3g中所图标,于n通道晶体管及p通道晶体管上可形成有不同的膜层。于另一实施例中,此高应力薄膜可仅形成于晶体管200(或201)其中之一上。

Claims (23)

1.一种半导体组件,其特征在于包括:
一栅极介电层,覆盖于一通道区上;
一源极区及一汲极区,位于该通道区的对称侧,其中该通道区包含有第一半导体材料而该源极区及汲极区包含有第二半导体材料;
一闸电极,覆盖于该栅极介电层上;以及
一第一间隔物及一第二间隔物,形成于该闸电极两侧上,其中所述的间隔物各包含有邻近于该通道区的一空隙。
2.根据权利要求1所述的半导体组件,其特征在于:更包括一高应力膜层,覆盖于该闸电极与所述的间隔物上。
3.根据权利要求2所述的半导体组件,其特征在于:该空隙减低了该高应力膜层对于该通道区的影响。
4.根据权利要求2所述的半导体组件,其特征在于:该高应力膜层具有介于0.5~4Gpa的应力。
5.根据权利要求1所述的半导体组件,其特征在于:各间隔物包含一氧化衬层及一间隔物主体。
6.根据权利要求5所述的半导体组件,其特征在于:该空隙是设置于该间隔物的氧化衬层内。
7.根据权利要求1所述的半导体组件,其特征在于:更包括该高应力膜层,覆盖于该闸电极与所述的间隔物上,其中该高应力膜层具有拉伸应力。
8.根据权利要求1所述的半导体组件,其特征在于:更包括该高应力膜层,覆盖于该闸电极与所述的间隔物上,其中该高应力膜层具有压缩应力。
9.一种半导体组件,包括:
一半导体基底;
一第一晶体管,形成于该半导体基底内,其中该第一晶体管具有由第一半导体材料所构成的一第一通道区以及对称地设置于邻近该第一通道区的一第一源极区及一第一汲极区,而该第一源极区及第一汲极区包含与该第一半导体材料晶格不对称的一第二半导体材料;以及
一第二晶体管形成于该半导体基底内且具有异于该第一晶体管的一导电性,其中该第二晶体管具有由该第二半导体材料所构成的第二通道区,该第二晶体管亦具有对称地设置于邻近该第二通道区的一第二源极区及一第二汲极区,而该第二源极区及第二汲极区包含与异于该第二半导体材料的一材料。
10.根据权利要求9所述的半导体组件,其特征在于:更包括一高应力膜层,覆盖于该第一晶体管及第二晶体管上。
11.根据权利要求9所述的半导体组件,其特征在于:该第一晶体管更包括邻近第一闸电极且覆盖于该第一通道区上的一第一间隔物,而该第一间隔物包含形成于其内的一第一空隙,其中该第二晶体管更包括邻近该第二闸电极且覆盖于该第二通道区上的一第二间隔物,而该第二间隔物包括有形成于其内的第二空隙。
12.根据权利要求11所述的半导体组件,其特征在于:该第一间隔物及该第二间隔物分别包括一介电衬层,其中该第一空隙与第二空隙是位于该介电衬层内。
13.根据权利要求9所述的半导体组件,其特征在于:该第一半导体材料具有一第一自然晶格常数,而该第二半导体材料具有一第二自然晶格常数,其中该第二自然晶格常数大于该第一晶格常数。
14.根据权利要求13所述的半导体组件,其特征在于:该第一通道区的至少一部分是处于源极至汲极方向上的压缩应力。
15.根据权利要求9所述的半导体组件,其特征在于:该第二半导体材料向上延伸至高于该第一通道区高度的10~500埃。
16.根据权利要求9所述的半导体组件,其特征在于:该第二通道区的至少一部分是处于源极至汲极方向上的拉伸应力。
17.根据权利要求9所述的半导体组件,其特征在于:更包括一高应力膜层,形成于该第一晶体管及第二晶体管的至少一部分上,其中该高应力薄膜具有介于0.1~1.9Gpa的拉伸应力。
18.根据权利要求9所述的半导体组件,其特征在于:更包括一高应力膜层,形成于该第一晶体管及第二晶体管的至少一部分上,其中该高应力薄膜具有介于0.1~1.9Gpa的压缩应力。
19.根据权利要求9所述的半导体组件,其特征在于:更包括形成于该第一晶体管的至少一部分上的一高应力膜层以及形成于第二晶体管上的一第二高应力膜层,其中该第一高应力薄膜具有异于该第二高应力膜层的应力。
20.根据权利要求9所述的半导体组件,其特征在于:该第一晶体管包含一p通道晶体管而该第二晶体管则包含一n通道晶体管。
21.根据权利要求20所述的半导体组件,其特征在于:更包括一高应力膜层,形成于该第一晶体管上,其中该高应力膜层具有压缩应力。
22.根据权利要求21所述的半导体组件,其特征在于:更包括一第二高应力膜层,形成于该第二晶体管上,其中该第二高应力膜层具有拉伸应力。
23.根据权利要求20所述的半导体组件,其特征在于:更包括一高应力膜层,形成于该第二晶体管上,其中该高应力膜层具有拉伸应力。
CNU2004200496032U 2003-08-12 2004-04-22 半导体组件 Expired - Lifetime CN2726123Y (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/639,170 2003-08-12
US10/639,170 US7101742B2 (en) 2003-08-12 2003-08-12 Strained channel complementary field-effect transistors and methods of manufacture

Publications (1)

Publication Number Publication Date
CN2726123Y true CN2726123Y (zh) 2005-09-14

Family

ID=34135820

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004100060757A Expired - Lifetime CN100345308C (zh) 2003-08-12 2004-02-27 半导体元件及其制造方法
CNU2004200496032U Expired - Lifetime CN2726123Y (zh) 2003-08-12 2004-04-22 半导体组件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2004100060757A Expired - Lifetime CN100345308C (zh) 2003-08-12 2004-02-27 半导体元件及其制造方法

Country Status (4)

Country Link
US (2) US7101742B2 (zh)
CN (2) CN100345308C (zh)
SG (1) SG120135A1 (zh)
TW (1) TWI227058B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101366104B (zh) * 2006-02-08 2010-11-10 富士通半导体股份有限公司 p沟道MOS晶体管和半导体集成电路装置
CN105206583A (zh) * 2015-08-28 2015-12-30 西安电子科技大学 基于SOI的应变Si沟道倒梯形栅CMOS集成器件及制备方法

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642566B2 (en) * 2006-06-12 2010-01-05 Dsm Solutions, Inc. Scalable process and structure of JFET for small and decreasing line widths
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7545001B2 (en) * 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US6949482B2 (en) * 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7005333B2 (en) * 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7002224B2 (en) * 2004-02-03 2006-02-21 Infineon Technologies Ag Transistor with doped gate dielectric
US7094671B2 (en) * 2004-03-22 2006-08-22 Infineon Technologies Ag Transistor with shallow germanium implantation region in channel
CN1684246B (zh) 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
KR100642632B1 (ko) * 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
JP4700295B2 (ja) * 2004-06-08 2011-06-15 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US7329941B2 (en) * 2004-07-20 2008-02-12 International Business Machines Corporation Creating increased mobility in a bipolar device
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7102205B2 (en) * 2004-09-01 2006-09-05 International Business Machines Corporation Bipolar transistor with extrinsic stress layer
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US7138309B2 (en) * 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer
JP4369379B2 (ja) * 2005-02-18 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
KR100703967B1 (ko) * 2005-02-28 2007-04-05 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7829978B2 (en) * 2005-06-29 2010-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Closed loop CESL high performance CMOS device
KR101329388B1 (ko) * 2005-07-26 2013-11-14 앰버웨이브 시스템즈 코포레이션 다른 액티브 영역 물질의 집적회로 집적을 위한 솔루션
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US20070090484A1 (en) * 2005-08-25 2007-04-26 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit stress control system
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US8003470B2 (en) 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
DE102005052055B3 (de) * 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7420202B2 (en) 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
DE102005057073B4 (de) * 2005-11-30 2011-02-03 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
US8159030B2 (en) * 2005-11-30 2012-04-17 Globalfoundries Inc. Strained MOS device and methods for its fabrication
US7618856B2 (en) 2005-12-06 2009-11-17 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistors
US20070128810A1 (en) * 2005-12-07 2007-06-07 Ching-Hung Kao Ultra high voltage MOS transistor device and method of making the same
US20070164365A1 (en) * 2006-01-17 2007-07-19 Chan Joseph Y Single stress liner for migration stability and speed
JP2007200972A (ja) * 2006-01-24 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
JP4847152B2 (ja) * 2006-02-22 2011-12-28 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7538002B2 (en) * 2006-02-24 2009-05-26 Freescale Semiconductor, Inc. Semiconductor process integrating source/drain stressors and interlevel dielectric layer stressors
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
KR101179515B1 (ko) 2006-03-24 2012-09-03 에스케이하이닉스 주식회사 반도체 소자의 제조방법
US7569499B2 (en) * 2006-03-28 2009-08-04 Texas Instruments Incorporated Semiconductor device made by multiple anneal of stress inducing layer
DE102006015075A1 (de) * 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale Technik zur Bereitstellung von Verspannungsquellen in MOS-Transistoren in unmittelbarer Nähe zu einem Kanalgebiet
DE102006015077B4 (de) 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
DE102006015087B4 (de) * 2006-03-31 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren
US7288822B1 (en) * 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
US8124529B2 (en) * 2006-06-01 2012-02-28 Texas Instruments Incorporated Semiconductor device fabricated using a metal microstructure control process
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US7585711B2 (en) * 2006-08-02 2009-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor-on-insulator (SOI) strained active area transistor
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7998821B2 (en) * 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
JP5092340B2 (ja) * 2006-10-12 2012-12-05 富士通セミコンダクター株式会社 半導体装置及びその製造方法
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
JP5114919B2 (ja) * 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20080119025A1 (en) * 2006-11-21 2008-05-22 O Sung Kwon Method of making a strained semiconductor device
US8569858B2 (en) 2006-12-20 2013-10-29 Freescale Semiconductor, Inc. Semiconductor device including an active region and two layers having different stress characteristics
EP1936696A1 (en) * 2006-12-22 2008-06-25 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A field effect transistor device and methods of production thereof
EP1936697B1 (en) * 2006-12-22 2016-03-09 Imec A field effect transistor device, and methods of production thereof
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US7843011B2 (en) * 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7709910B2 (en) * 2007-04-23 2010-05-04 International Business Machines Corporation Semiconductor structure for low parasitic gate capacitance
TW200910470A (en) * 2007-05-03 2009-03-01 Dsm Solutions Inc Enhanced hole mobility p-type JFET and fabrication method therefor
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
KR100949804B1 (ko) * 2007-12-14 2010-03-30 한국전자통신연구원 자기장 감지소자
JP2009170523A (ja) * 2008-01-11 2009-07-30 Rohm Co Ltd 半導体装置およびその製造方法
US20090189671A1 (en) * 2008-01-25 2009-07-30 Steven John Baumgartner Method and Apparatus for Improvement of Matching FET Currents Using a Digital to Analog Converter
US20090224263A1 (en) * 2008-03-06 2009-09-10 Toshiba America Electronic Components, Inc. Generating Stress in a Field Effect Transistor
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7892932B2 (en) * 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US7816274B2 (en) * 2008-03-27 2010-10-19 Chartered Semiconductor Manufacturing Ltd. Methods for normalizing strain in a semiconductor device
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
KR20100067875A (ko) * 2008-12-12 2010-06-22 주식회사 동부하이텍 커패시터 및 그 제조 방법
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
DE102009015715B4 (de) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
US8067282B2 (en) * 2009-10-08 2011-11-29 United Microelectronics Corp. Method for selective formation of trench
US8159009B2 (en) * 2009-11-19 2012-04-17 Qualcomm Incorporated Semiconductor device having strain material
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
JP5159828B2 (ja) 2010-05-21 2013-03-13 パナソニック株式会社 半導体装置
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
CN102543890B (zh) * 2012-02-28 2014-07-02 上海华力微电子有限公司 一种利用应变硅技术提高sonos的擦写速度的方法
CN102693944A (zh) * 2012-05-10 2012-09-26 上海华力微电子有限公司 一种提高静态随机存储器读出冗余度的方法
US8658486B2 (en) * 2012-05-23 2014-02-25 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
US8652917B2 (en) * 2012-05-23 2014-02-18 GlobalFoundries, Inc. Superior stability of characteristics of transistors having an early formed high-K metal gate
US8901615B2 (en) * 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US9041062B2 (en) 2013-09-19 2015-05-26 International Business Machines Corporation Silicon-on-nothing FinFETs
CN104465519B (zh) * 2013-09-23 2017-07-28 中芯国际集成电路制造(上海)有限公司 嵌入式源/漏mos晶体管的制造方法
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
CN106062953B (zh) * 2013-12-27 2020-01-21 英特尔公司 用于CMOS的双轴向拉伸应变的Ge沟道
US9450079B2 (en) 2014-04-09 2016-09-20 International Business Machines Corporation FinFET having highly doped source and drain regions
US9520496B2 (en) * 2014-12-30 2016-12-13 International Business Machines Corporation Charge carrier transport facilitated by strain
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
US9564373B2 (en) 2015-02-27 2017-02-07 International Business Machines Corporation Forming a CMOS with dual strained channels
US9899274B2 (en) 2015-03-16 2018-02-20 International Business Machines Corporation Low-cost SOI FinFET technology
CN104851884A (zh) * 2015-04-14 2015-08-19 上海华力微电子有限公司 用于锗硅填充材料的成形腔
TWI680502B (zh) 2016-02-03 2019-12-21 聯華電子股份有限公司 半導體元件及其製作方法
US9825157B1 (en) 2016-06-29 2017-11-21 Globalfoundries Inc. Heterojunction bipolar transistor with stress component
US10224407B2 (en) * 2017-02-28 2019-03-05 Sandisk Technologies Llc High voltage field effect transistor with laterally extended gate dielectric and method of making thereof

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069094A (en) * 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
JPS551103A (en) * 1978-06-06 1980-01-07 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor resistor
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4631803A (en) * 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
JPH0640583B2 (ja) * 1987-07-16 1994-05-25 株式会社東芝 半導体装置の製造方法
US4946799A (en) * 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
JPH0394479A (ja) * 1989-06-30 1991-04-19 Hitachi Ltd 感光性を有する半導体装置
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP3019430B2 (ja) 1991-01-21 2000-03-13 ソニー株式会社 半導体集積回路装置
US5525828A (en) 1991-10-31 1996-06-11 International Business Machines Corporation High speed silicon-based lateral junction photodetectors having recessed electrodes and thick oxide to reduce fringing fields
JPH0817186B2 (ja) * 1992-03-18 1996-02-21 三星電子株式会社 電界効果トランジスタの製造方法
US5338960A (en) 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
US5596529A (en) * 1993-11-30 1997-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JPH0846139A (ja) 1994-05-06 1996-02-16 Texas Instr Inc <Ti> ポリシリコン抵抗器とその作成法
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) * 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5629544A (en) * 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5955766A (en) 1995-06-12 1999-09-21 Kabushiki Kaisha Toshiba Diode with controlled breakdown
US5708288A (en) 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5789807A (en) 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) * 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JP4053647B2 (ja) * 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) * 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5894152A (en) * 1997-06-18 1999-04-13 International Business Machines Corporation SOI/bulk hybrid substrate and method of forming the same
KR100400808B1 (ko) * 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 그레이드된 GeSi층 및 평탄화를 사용한 Si상의 Ge의 쓰레딩 전위 밀도 제어
US6221709B1 (en) * 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6495900B1 (en) * 1997-11-12 2002-12-17 Micron Technology, Inc. Insulator for electrical structure
EP0923116A1 (en) * 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
US6064589A (en) * 1998-02-02 2000-05-16 Walker; Darryl G. Double gate DRAM memory cell
JP3265569B2 (ja) * 1998-04-15 2002-03-11 日本電気株式会社 半導体装置及びその製造方法
US6558998B2 (en) * 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
JP3403076B2 (ja) * 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6008095A (en) * 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6387739B1 (en) * 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6015993A (en) * 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
US6198307B1 (en) * 1998-10-26 2001-03-06 Rambus Inc. Output driver circuit with well-controlled output impedance
JP2000132990A (ja) 1998-10-27 2000-05-12 Fujitsu Ltd 冗長判定回路、半導体記憶装置及び冗長判定方法
DE19853268C2 (de) * 1998-11-18 2002-04-11 Infineon Technologies Ag Feldeffektgesteuerter Transistor und Verfahren zu dessen Herstellung
US5965917A (en) * 1999-01-04 1999-10-12 Advanced Micro Devices, Inc. Structure and method of formation of body contacts in SOI MOSFETS to elimate floating body effects
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
TW403969B (en) * 1999-04-09 2000-09-01 United Microelectronics Corp Method for manufacturing metal oxide semiconductor
CN1173405C (zh) * 1999-05-06 2004-10-27 松下电器产业株式会社 互补型金属氧化物半导体的半导体集成电路
US6358791B1 (en) * 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6255175B1 (en) * 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) * 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP3504212B2 (ja) 2000-04-04 2004-03-08 シャープ株式会社 Soi構造の半導体装置
US6281059B1 (en) 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (de) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP4363746B2 (ja) 2000-05-25 2009-11-11 株式会社東芝 永久磁石式リラクタンス型回転電機
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP3843708B2 (ja) * 2000-07-14 2006-11-08 日本電気株式会社 半導体装置およびその製造方法ならびに薄膜コンデンサ
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
FR2812764B1 (fr) * 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
JP2002076287A (ja) * 2000-08-28 2002-03-15 Nec Kansai Ltd 半導体装置およびその製造方法
JP4830197B2 (ja) 2000-09-13 2011-12-07 トヨタ自動車株式会社 燃料改質装置
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
WO2002047168A2 (en) 2000-12-04 2002-06-13 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6414355B1 (en) * 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6894324B2 (en) * 2001-02-15 2005-05-17 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6867101B1 (en) 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US6593181B2 (en) * 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) * 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6576526B2 (en) 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
WO2003017336A2 (en) 2001-08-13 2003-02-27 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6691192B2 (en) 2001-08-24 2004-02-10 Intel Corporation Enhanced general input/output architecture and related methods for establishing virtual channels therein
US6521952B1 (en) 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US7038248B2 (en) 2002-02-15 2006-05-02 Sandisk Corporation Diverse band gap energy level semiconductor device
US6688311B2 (en) 2002-03-14 2004-02-10 Allergan, Inc. Method for determining effect of a clostridial toxin upon a muscle
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6740535B2 (en) * 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6686247B1 (en) 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US6969618B2 (en) 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6730573B1 (en) * 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US6720619B1 (en) 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6919233B2 (en) 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US7112860B2 (en) 2003-03-03 2006-09-26 Cree, Inc. Integrated nitride-based acoustic wave devices and methods of fabricating integrated nitride-based acoustic wave devices
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) * 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101366104B (zh) * 2006-02-08 2010-11-10 富士通半导体股份有限公司 p沟道MOS晶体管和半导体集成电路装置
CN105206583A (zh) * 2015-08-28 2015-12-30 西安电子科技大学 基于SOI的应变Si沟道倒梯形栅CMOS集成器件及制备方法

Also Published As

Publication number Publication date
US20050035470A1 (en) 2005-02-17
CN100345308C (zh) 2007-10-24
TWI227058B (en) 2005-01-21
US7101742B2 (en) 2006-09-05
US20060189056A1 (en) 2006-08-24
US7442967B2 (en) 2008-10-28
SG120135A1 (en) 2006-03-28
CN1581508A (zh) 2005-02-16
TW200507255A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
CN2726123Y (zh) 半导体组件
CN1293646C (zh) 增加沟道载流子流动性的结构
CN1825625A (zh) 具混成应变诱导层的应变晶体管及其形成方法
CN100345280C (zh) 具有晶格不相称区的变形沟道晶体管结构及其制造方法
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN1225797C (zh) 半导体器件及其制备方法
CN1685523A (zh) 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法
CN1941387A (zh) 半导体结构及其制造方法
CN1976059A (zh) 鳍片型场效应晶体管结构以及用于制造这种结构的方法
CN1763968A (zh) 双极型器件以及增加其中电荷载流子迁移率的方法
CN1897231A (zh) 半导体装置及其形成方法
CN1805151A (zh) 具有局部应力结构的金属氧化物半导体场效应晶体管
CN1503372A (zh) 具有多重闸极及应变的通道层的晶体管及其制造方法
CN1790638A (zh) 具有区域化应力结构的金属氧化物半导体的场效晶体管
CN1897303A (zh) 半导体装置及其形成方法
CN1661785A (zh) 场效应晶体管及其制造方法
CN101075562A (zh) 制造晶体管结构的方法
CN1762056A (zh) 具有拉伸应变基片的mosfet器件及其制备方法
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
CN1913175A (zh) 半导体元件及其形成方法
CN1941416A (zh) Ldmos器件及其制造方法
CN1507064A (zh) 整合型晶体管及其制造方法
CN1825627A (zh) 半导体元件及形成半导体元件的方法
CN1941296A (zh) 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区
CN1794433A (zh) 异质结构沟道绝缘栅极场效应晶体管的制造方法及晶体管

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20140422

Granted publication date: 20050914