CN1960855A - Uv刻印用的柔顺性的硬质模板 - Google Patents

Uv刻印用的柔顺性的硬质模板 Download PDF

Info

Publication number
CN1960855A
CN1960855A CNA2005800133464A CN200580013346A CN1960855A CN 1960855 A CN1960855 A CN 1960855A CN A2005800133464 A CNA2005800133464 A CN A2005800133464A CN 200580013346 A CN200580013346 A CN 200580013346A CN 1960855 A CN1960855 A CN 1960855A
Authority
CN
China
Prior art keywords
layer
template
mint
elastomer
imprinting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800133464A
Other languages
English (en)
Other versions
CN1960855B (zh
Inventor
M·P·C·瓦茨
R·D·弗伊欣
S·V·斯里尼瓦桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN1960855A publication Critical patent/CN1960855A/zh
Application granted granted Critical
Publication of CN1960855B publication Critical patent/CN1960855B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning

Abstract

公开一种顺应的UV刻印平版印刷模板,该模板还可用作热刻印模板,以及模板的制造方法。模板主要包括浮雕图像和用来调整浮雕图像的弹性体。一个实施方式中,浮雕图像排列在柔顺的刻印层中,在刻印层和刚性透明基板之间设置了弹性体。一个实施方式中,模板与晶片表面共形。一个实施方式中,将弹性体和刻印层层叠在基板上,在刻印层上形成浮雕图像的图案,形成模板。

Description

UV刻印用的柔顺性的硬质模板
发明背景
发明领域
本发明涉及刻印适用于微型制造(micro-fabrication)结构的平版印刷术模板。在此所述的柔顺性模板的特别用途是将图案转移到非平面的表面。
相关技术说明
微型制造包括制造非常小的结构,例如,具有微米或更小级别特征的结构。推动制造越来越小的结构体的工业领域之一是电子工业。随着电子器件小型化的快速发展,驱动电子器件的集成电路也必须小型化。
平版印刷术技术通常被用于制造集成电路。这些平版印刷术通常包括将光敏材料施用于半导体基板。这些光敏材料通常称作“光刻胶”,被选择性地暴露于一种辐照形式。通常使用曝光工具和光掩模来制得达到要求的选择性曝光。这种曝光改变了光刻胶的溶解度,因而在光刻胶中形成光掩模的图案,在显影后除去可溶的光刻胶。
历史上,曝光工具曾经是光学系统。然而,光学系统受到其对非常小特征的分辨能力的限制。例如,分辨率的公式如下,其中λ是波长,NA是数值孔径:
                    RES=k1λ/NA
对甚至更小特征的分辨率需要减小波长、增大数值孔径,或者需要这两者。当然,对目前用于远紫外光平版印刷术,减小波长至明显小于248nm并不是简单的。此外,增大数值孔径导致显著的聚焦深度损失,如下面公式所示,其中λ也是波长,NA是数值孔径:
                    DOF=k2λ/(NA)2
因此,能印刷微结构的照相平版印刷系统所需要的聚焦深度很小,小到微晶片非平面性所不允许,这通常是由正常工艺变化造成的。因此,由于微晶片的非平面性以及波长减小的限制性,照相平版印刷术在印刷未来集成电路所需的小于100nm的特征方面受到限制。
刻印平版印刷术能制造小于100nm的特征。一些刻印平版印刷技术证实是对高分辨率形成图案的常规照相平版印刷的低成本、高生产量替代方法。出现的这种技术中,在模板中的浮雕图像用来将表面的浮雕复制到设置在基板表面的可聚合材料上。模板与基板上的可聚合材料机械接触,使材料处于固化和/或聚合的状态,在基板上形成给予模板浮雕结构的浮雕结构。所述聚合材料通过例如热量或光进行固化或聚合。这种形成图案和聚合的方法分别被称作热刻印平版印刷术或紫外光(UV)刻印平版印刷术。典型的基板包括半导体、介电材料、磁性材料或光电材料。与光平版印刷术不同,刻印平版印刷术的分辨率不受波长的限制。但是,刻印平版印刷术受到制造高分辨率模板能力以及将浮雕图像转移到这种模板上的能力的限制。结果,需要具有能确定非平面表面能力的模板。此外,因为平版印刷通常是集成电路制造成本中最大的单项费用,因此需要能互换或用于UV刻印或用于热刻印的模板。因此,需要提供一种用于微型制造的改进的模板。
发明概述
本发明的一个实施方式中,刻印平版印刷的模板包含全部排列在刻印区内的浮雕图像和被用来调整至少一部分浮雕图像的弹性体。一个实施方式中,浮雕图像具有小于约100nm的特征。这种模板包含的刻印区能在整个区域对光化辐射透射。一个实施方式中,所述模板包括能透射光化辐射的刻印层和基板,在刻印层和基板之间放置了弹性体。一个实施方式中,弹性体层能透射光化辐射。一个实施方式中,使刻印区与非平面的表面共形。在一个实施方式中,弹性体层是模板中刚性最低的层。以这种方式,在弹性体上施加力时,能有利于改变浮雕图像内的特征。
本发明的实施方式中,模板包括:刻印层,刻印层包含第一和第二的平行表面,且第一表面包含具有浮雕图像的刻印区;弹性体;以及基板,所述弹性体排列在基板和刻印层的第二表面之间。一个实施方式中,弹性体的刚性小于基板。一个实施方式中,弹性体的刚性小于刻印层。以这种方式,在模板和不均匀表面之间要求机械接触的图案转移时,刻印区容易与不均匀表面贴合,因此可使浮雕图像适合该表面。一个实施方式中,刻印区能透射光化辐射。这种模板不仅能与UV刻印平版印刷系统一起使用,而且能与热刻印平版印刷系统互换。
在示例的实施方式中,模板通过下面方法形成:在基板上形成掩模层;在掩模层上形成图案,使基板的一部分露出;对基板上一个或多个露出的部分进行蚀刻,以在基板上形成浮雕图像;除去掩模层;用防粘剂涂布浮雕图像;在基板上沉积共形层(conformallayer),使共形层的一部分排列在基板上形成的浮雕图像内;在共形层上设置弹性体层;排列基板,使弹性体结合在共形层和刚性基板之间;从基板上取下平版印刷模板,该模板包含结合在刚性基板和共形层之间的弹性体层。在一个示例的实施方式中,模板通过下面方法形成:在基板上相继形成至少一个弹性体层;在该弹性体层上形成刻印层;在该刻印层上形成牺牲层;在该牺牲层上形成掩蔽层,使牺牲层的一部分通过该掩蔽层露出;对牺牲层的一个或多个露出部分进行蚀刻,使刻印层的一部分通过该掩蔽层露出;对刻印层的一个或多个露出部分进行蚀刻,使形成浮雕图像;除去全部的掩蔽层和牺牲层。下面描述这些以及其它的实施方式。
附图简述
图1是本发明一个实施方式的模板的截面图;
图2是本发明制造模板的示例方法的开始步骤的截面图;
图3是本发明制造模板的示例方法的后一步骤的截面图;
图4是本发明制造模板的示例方法的后一步骤的截面图;
图5是本发明制造模板的示例方法的后一步骤的截面图;
图6是本发明制造模板的示例方法的后一步骤的截面图;
图7是本发明制造模板的示例方法的开始步骤的截面图;
图8是本发明制造模板的示例方法的后一步骤的截面图;
图9是本发明制造模板的示例方法的后一步骤的截面图;
图10是本发明制造模板的示例方法的后一步骤的截面图;
图11是本发明制造模板的示例方法的后一步骤的截面图;
图12是本发明制造模板的示例方法的后一步骤的截面图;
图13是本发明一个实施方式的模板的平面图;
图14是本发明一个实施方式的模板的平面图;
图15是本发明一个实施方式的模板的平面图;
图16是本发明制造模板的示例方法的截面图。
在不同图中的同样标号表示同样的部件。
优选实施方式的详细说明
参照图1,按照本发明实施方式的模板10包括:其中有浮雕图像20的刻印层12,弹性体层22和基板24。弹性体层22设置在刻印层12和基板24之间。模板10设计用于刻印平版印刷系统,其中,促使模板10为可成形的材料,在其上刻印对应于形成有图案的材料的浮雕图像20的图案。形成有图案的材料随后在暴露于光化辐射如紫外光辐照、热辐射等时固化。示例的平版印刷系统可以商品名IMPRIO 100TM从Molecular Imprints,Inc.,在1807-C Braker Lane,Suite 100,Austin,Texas 78758的商行获得。对IMPRIO 100TM的系统描述可在www.molecularimprints.com获得,并参考结合于本文。结果,基板24、弹性体层22和刻印层12对光化辐射是透明的。
浮雕图像20包括多个间隔开的凹部28和凸起26。此实施方式中,凹部28是多个沿平行于凸起26的方向延伸的凹槽,这些凸起在模板10的截面上形成城垛形状。但是,凹部28和凸起26可对应于实际上需要形成的任何特征,例如集成电路。一个实施方式中,凹部和凸起的最小特征尺寸等于或小于100nm。本文中,“特征尺寸”通常指凹部28、凸起26或包含浮雕图像20的其它几何体中之一的宽度、长度和/或深度。
在刻印平版印刷工艺中,模板10经受了几百磅/厘米2的刻印力。结果,要求用在受到刻印力时发生的不希望的尺寸变化最小的材料形成浮雕图像。形成刻印层12的示例材料是玻璃、石英、熔凝硅石等。
同样,要求基板24在受到刻印力时基板保持刚性。为此目的,基板24可以整体或部分地由硅、二氧化硅、硅锗碳、氮化镓、硅锗、蓝宝石、砷化镓、外延型硅、多晶硅、氮化硼、石英、氧化铟锡或它们的组合制成。某些实施方式中,基板24的至少一部分是由SiOx形成的,其中x小于2。例如,x可约为1.5。选择基板24的厚度,使基板在受到刻印力时不发生尺寸变化或很小的变化,如压缩。
要求在刻印期间,浮雕图像20与要形成图案的表面的特征相适应。为此目的,以能提供这种适应性的方式构造该刻印层12。例如,刻印层12具有所需的厚度,使刻印层在Z-轴适应,同时在与Z-轴垂直的轴即X和Y轴上的尺寸变化最小。
为使刻印层12具备顺应性,弹性体层22可由适当厚度的合适材料形成,在受到刻印力时在Z-轴发生形变。此外,要求弹性体层22具有充分合适的记忆力,返回没有受到刻印力时的最初状态,因而在除去变形力时能恢复原来的形状。此外,弹性体层22上的特征最好在模板的操作寿命期间都是稳定的。例如,选择弹性体层22,使该层能抵抗由于重复暴露于辐照和/或重复的机械应力而发生的降解或变形。
可根据经验来决定对刻印层12和弹性体层22要求的特性。一个实施方式中,通过建模来第一次估算这些特性。例如,150mm的晶片的弯曲从晶片中心到晶片侧边为4-5微米。另外,晶片的局部表面高度变化在例如2-4mm范围内峰至峰为50-100nm。一种在约5-100mm2范围内有一个刻印区并有小于100nm的特征的模板优选制造成,其转移的浮雕图像在与晶片表面机械接触时不会因晶片表面的不均匀性扭曲。为防止这种扭曲,刻印区宜与晶片表面共形。对这种模板要达到这种标准,可将诸如上述的参数输入计算机的模型。优选对各独立加工层和器件制订模板标准模型,因为通常的不均匀性是依赖于加工步骤和/或器件的。通过模型化和实验法,可调整模板部件,提供以正确的空间频率的刻印区形变,用于消除表面的不平整度(non-planarity),并能进行图案转移。
下面说明制造本发明的示例模板10的实施方式。图2中,示出示例的加工层的截面图。注意到,并不要求按比例示出相对的层厚度和结构尺寸。如上所示,模板10包括平面基板24,该基板可以是例如石英。在一个实施方式中,基板24可以是对光化辐照透射的。在基板24的平面表面上,施用弹性体层22。在一个实施方式中,基板24相对于弹性体层22为刚性的。如下面详细说明的,弹性体层22包含由一种或多种粘弹性材料,并可使用一种或多种增粘剂或其它提高弹性体层22与其相邻层之间的粘合的方式。在一个实施方式中,使用另外的增粘剂不会使制成的模板为不透明的。
在弹性体层22上设置刻印层12。采用层叠、化学气相沉积(CVD)、挤出、旋转处理,上述方法的任意组合,或本领域公知的其它方式施用刻印层12。例如,刻印光化辐照-透射层12可包括在玻璃上自旋或SOG,如其名称意味的是从液态自旋。
在刻印层12上,可设置图案转移层30。这种图案转移层30可以是光敏层,如光致抗蚀剂,也称作“光刻胶”。曝光时,根据使用的光刻胶种类,光化辐射使光致抗蚀剂为可溶或不溶。形成图案的过程露出了光刻胶的31部分,如图3所示。在此实施例中,露出的部分31已成为可溶的。形成图案的过程包括但不限于,光系统、电子束系统、激光系统和离子系统等。显影过程除去可溶的光致抗蚀剂,因此形成开口32,如图4所示。通过开口32,露出刻印层12。如图5所示,在保留的光致抗蚀剂用作掩模的同时,可以对刻印层12进行蚀刻。例如,刻印层12包含石英,可以在电感偶合等离子体(ICP)中用氟对石英进行蚀刻。但是,制造刻印模板可采用任何蚀刻方法,这取决于要求的蚀刻速率和下层形成被蚀刻层的组分。示例的蚀刻方法包括等离子体蚀刻、活性离子蚀刻、化学湿蚀刻等,刻印层进行蚀刻之后,剥除图案转移层30,留下图6所示的结构。因此,在刻印层12上形成浮雕图像20,图13中更清楚地示出。
在一个实施方式中,任选的牺牲层42可设置在图案转移层30和刻印层12之间,如图7所示。图案转移层30刻印设置在任选牺牲层42上,并按照上述形成图案。即,如图8所示,形成图案的过程露出了光刻胶的31部分,使31部分为可溶。显影过程形成开口32,如图9所示。通过开口32,露出牺牲层42。如图10所示,在保留的图案转移层30用作掩模的同时,可以对牺牲层42进行蚀刻。
选择具有能促使模板形成图案的性质的牺牲层42。例如,牺牲层42可以是导电的,因此能通过提供泄放电荷的方式促使电子束形成图案。例如,牺牲层42具有选定的化学性能,以增强随后对刻印层12进行蚀刻。牺牲层42可以具有能提高随后刻印层蚀刻的组成。在图11中,对刻印层12进行蚀刻。在一个实施方式中,当进行蚀刻时,牺牲层42可对浮雕图像20提供要求的原位表面处理。牺牲层42可以是不透明的,如在一个实施方式中,牺牲层最终被除去。例如,在图12中除去了牺牲层42。因此,在一个示例实施方式中,牺牲层42优选是可以除去,但不会损害或能永久包含刻印层12内的浮雕特性的未来功能。牺牲层42宜全部从刻印的光化辐照透射层的至少刻印区18除去。即,牺牲层42宜从模板上的至少某些特定区域除去,这些区域必须在用来复制浮雕图像20的表面上与一种材料接触。
示例模板的平面图示于图13。将浮雕图像20蚀刻在刻印层12中,由弹性体层22将浮雕图像与基板24隔开。浮雕图像20全部排列在刻印区18内。此时,要求对模板10进行蚀刻,使刻印区18是在周边基板38上面间隔一定距离的水平面,如图14所示。具体地,刻印区18是在顶部上的“平台(mesa)”39。浮雕图像20全部排列在刻印区18内。平台39可如下形成。将浮雕图像20蚀刻到模板10中并除去任何掩蔽层后,在模板上再次涂敷图案转移层30。这种光敏层覆盖了整个模板10,包括浮雕图像20。外围基板38的周边,即从刻印区18的周边伸出的区域露出并进行蚀刻。按照这种方式,在基板38的周围之内形成突出的区域或“平台”39。在这种周围蚀刻之后,从平台39上除去光致抗蚀剂。制成的模板10包括排列在突出的平台39上的浮雕图像20。在一个实施方式中,平台39的高度小于约15μm。但是,可以改变平台的高度。
根据用于刻印层12的材料的厚度,平台39可包括弹性体层22,如图5所示。即,采用周围蚀刻来形成平台39也可以蚀刻通过弹性体层22而进入刚性基板24。在一个实施方式中,要求这样露出的弹性体侧壁与平台39的侧壁相当。在另一个实施方式中,要求选择刻印层的厚度和平台39的高度,使弹性体层22保持完整。即,不希望形成露出的与平台39的侧壁相当的弹性体侧壁。这种实施方式中,对这样的周边进行蚀刻,只除去刻印层12,留下平台39周边的至少一部分弹性体,如图6所示。
上述实施方式是制造柔顺性模板10的实施方式,这种模板包括刚性基板24、弹性体层22和刻印层12,这些模板部件在形成浮雕图像图案时进行整合。但是,在一个实施方式中,在形成浮雕图像的图案后可能改变了刻印层12的厚度。刻印层12的厚度可通过例如蚀刻、分层(cleave)、抛光或者除去刻印层12的上述第一基本平面侧来减小。形成浮雕图像20后,将刻印层12厚度调节到顺应厚度(compliant thickness),在优选的实施方式中是实验法决定的。将刻印层12调节到顺应厚度后,将刻印层12设置在基板24上,使弹性体排列在刻印层和刚性基板24之间。在一个实施方式中,弹性体层22的刚性小于基板24。在一个实施方式中,基板24、弹性体层22和刻印层12能透射光化辐射。在不同的实施方式中,弹性体层22可采用上述中的任一种方法设置在基板24上。
在另一个实施方式中,将反浮雕图像蚀刻在一母模(master),随后由该母模制造任意数量的模板。结果,这种母模可由不透明的材料制造,或者这种母模可由上述适合于基板的任何材料构成。母模材料可根据其形成图案的能力以及随后提供制造模板的模具的能力来选择。在一个实施方式中,母模由石英基材制成。
制造模板的母模必须包含所需模板图案的反图案。要从这种母模制造具有所需图案的模板,需设置一个与包含反浮雕图像的母模表面相当的共形刻印层。这种刻印层的表面先涂敷防粘剂,然后再排列该刻印层。示例的防粘剂披露于2003年2月27日提交的标题为“Composition and Method to Form a ReleaseLayer”的美国专利申请号10/375,382,该专利申请转让给本发明的受让人且其全文参考结合于本文。在一个示例的实施方式中,刻印层12不仅是共形的,而且是对光化辐照透射。光化辐照-透射的共形层可以通过旋涂或者通过例如化学气相沉积形成,或者通过本领域公知的其它任何方式来形成。透射的弹性体排列在刻印层上。将能透射光化光的刚性基板设置在弹性体上。可使用增粘剂或其它方式来防止模板发生分层。随后,从母模上取下包含刚性基板、弹性体和共形层的透射光的模板。
上面所述是制造柔顺性模板的实施方式,该实施方式预期通过除去刻印层12的一部分来形成浮雕图像20。但是,在一个实施方式中,刻印层12刻印通过沉积形成。即,刻印层12刻印通过例如聚焦离子束沉积刻印层12来形成,使原位形成浮雕图像20。刻印层12可沉积在上述实施方式的弹性体层22和刚性基板24上。
上面所述是制造柔顺性模板的实施方式,该实施方式预期弹性体层22连续延伸在整个刻印区18上。但是,在一个实施方式中,要求模板具有的弹性体层22没有连续延伸通过刻印区18。即,要求弹性体层22具有与光化-辐照-透射特征一对一对应的弹性体特征,所述光化-辐照-透射特征包含刻印层12。这种模板设计成非常柔顺的。这种模板例如可通过在透射光的薄层上形成图案来制造,其中将该透射光的薄层设置在弹性体层22上,将弹性体层22设置在刚性基板24上。当对透射光的薄层进行蚀刻时,也对弹性体层22进行蚀刻,使各浮雕特征包含了光透射部分和弹性体部分,如图7所示。
对本文这些实施方式中选择的弹性体层22可包含一种或多种具有粘弹性的材料。特别是,弹性体层22可包含一个或多个粘弹性材料层。独立地施用形成弹性体层22的各材料。在一个实施方式中,弹性体对光如紫外光基本是透明的。可根据它们的物理性质来性质粘弹性材料。例如,有益的性质有光的透射率、溶剂和/或耐化学品性、粘合性、润湿性、抗降解性、电导率、Poisson比值以及其它性质。在示例的实施方式中,弹性体是聚二甲基硅氧烷或PDMS。
PDMS的性质可依据存在的交联量变化,可通过改变PDMS的组成来变化。PDMS具有相对较高的热膨胀系数。因此,需要特别考虑由PDMS制成的模板和预期用于UV刻印以及热刻印。例如,可以考虑一种热刻印系统,该系统使用来自半导体晶片基板的热量,以交联设置在晶片表面的可聚合材料。热刻印系统的一个例子披露于2004年1月15日提交的标题为“Thermal ProcessingSystem for Imprint Lithography”的美国专利申请10/753,384,该专利申请转让给本发明的受让人,其全文参考结合于本文。弹性体PDMS用于和至少一种另外的弹性体结合。根据对所需结果有相互影响的物理性质来选择弹性体。例如,不同的热膨胀系数、不同的Poisson比值或不同的粘合性质可决定构成弹性体层22的材料。因此也决定了这些材料的排列。在一个实施方式中,还刻印在弹性体层22中使用增粘剂。例如,由于PDMS有一定程度的疏水性,PDMS可使用另外的增粘剂。在一个实施方式中,在施用包括弹性体层22的各层之间进行另外的处理或相当的处理。例如,PDMS表面可被氧化以提高粘合性。
在一个实施方式中,可使用氟化橡胶为弹性体来替代PDMS,或除PDMS外还使用氟化橡胶作为弹性体。如图6所示,要求制造其上有弹性体层22的透射刚性基板的模板,其中,设置形成图案的层,使刚性基板24以及弹性体层22围绕该形成图案层的周边延伸。即,在露出的刻印区18周围空留下一个区域。这种情况下,需要有回弹性的弹性体。可依据氟化橡胶的回弹性来选择氟化橡胶。例如,KalrezTM是由全氟橡胶构成的材料,这种材料能承受氧等离子体或氧/CF4等离子体蚀刻。要求氟化橡胶甚至在高温也能耐酸和溶剂腐蚀,以及宽范围的各种化学品。作为另一个例子,Viton是由能承受约-15℃至最高约280℃温度的氟化橡胶构成的材料。当预期双重目的的模板时需要具有这种高温下回弹性的弹性体。即,模板可互换用于或UV或热刻印平版印刷应用。但是,当考虑到用于本发明的应用时,耐宽范围的各种化学品性能也很重要。
如上所述,在晶片表面形成的互补浮雕图像通常是牺牲层。即,使用浮雕图像来促进设置在该牺牲浮雕图像下面的一个或多个器件层上形成图案,并且在所述器件层上形成图案之后通常除去全部的牺牲浮雕图像。但是,本文所述的改进的模板以及相关的刻印技术并不限于形成牺牲层。这种改进的模板可用于直接由浮雕图像形成功能器件层的那些应用。直接由浮雕图像形成器件层可以取消制造集成电路中的一些步骤,因此有望能缩短制造周期时间。这样形成器件层能取消形成图案的牺牲层并除去该图案的牺牲层所需的加工步骤。这样形成刻印器件层时优选使用加工流体,这种流体能在刻印和固化时形成功能器件特征。例如,如果制成用于形成互连结构的刻印模板与导电的刻印浮雕材料结合使用,可直接形成用于集成电路的互连件,因此在固化时形成导体。采用这种方法来形成互连件就不需要有图案的牺牲层。除了导电层外,其它刻印浮雕材料可以固化形成半导体层、介电层和/或具有所需的机械、密封或光性质的层。
如上所示,本发明的一个目的是提供可供刻印平版印刷术用的柔顺性模板。如上面所述,制造本文预期的一个实施方式的模板具有以下特征,即能在模板和形成图案的表面之间的共形性(conformality),因此确保将浮雕图像从一个刻印精确转印到下一个刻印。但是,注意到,本发明还预期的一种实施方式能较好地转印更改的浮雕图像。在一个实施方式中,制成的柔顺性模板的Poisson比值应能有利于改变模板,因此形成的特征的尺寸小于采用前导-侧边形成图案的系统,例如电子束图案产生器所形成的图案。这种实施方式中,可使用许多具有正和负的Poisson比值的弹性体形成模板。这种模板可包含由多个弹性体的弹性体层22,弹性体的排列方式是,使该弹性体层22上面的刻印层具有各自只受对应的单个弹性体的形变影响的刻印特征。在一个实施方式中,要求形成许多个用于单一加工层的部分模板。这种部分模板只转移加工层的特征的一部分。因此,对单一加工层可采用多个刻印步骤。例如,第一模板可刻印水平特征,第二模板刻印垂直特征。这种工艺能利用每个模板上单一形变的弹性体。在另一个实施方式中,预期具有粘弹性的刻印层的模板。这种模板可使用能形成图案的弹性体层,因此,不必需要另外的刻印层。这种模板还刻印设计成能有利于改变刻印特征,因此可以在MgSO4上形成甚至更小几何体的图案。
虽然已详细说明了柔顺性模板的实施方式,但是,很明显,可以对此进行修改和变动,所有这些修改和变动都在本发明宗旨和范围之内。对上述内容,应理解,对本发明部件的最佳尺寸关系,包括尺寸变化、材料、形状、操作的功能和方式、组件和用途对本领域技术人员而言是显而易见的,在附图中所示和说明书中描述的所有与它们的等同关系都包含在本发明内。例如,可以使用任何合适的弹性体来替代所述的PDMS。刻印层可以是除SOG外的任何合适材料。实际上,在可形成图案的弹性体存在下,总而言之可取消刻印层。并且虽然描述了小于约100nm的可形成图案的特征,应理解,在此所述的柔顺性模板也适用于形成制造例如集成电路时所需的任何尺寸特征的图案。此外,在制造本文所述的模板时可使用宽范围的各种材料来替代上述材料。材料和方法可根据其制造光透明且与表面顺应的模板的能力进行选择;和/或该模板可用于两种或多种类型的刻印平版印刷;和/或可用于直接形成特征而不需使用牺牲层;和/或有利于改变使用时的浮雕图像,因此能形成甚至更小特征尺寸。
因此,前面所述只是对本发明原理的说明。此外,由于对本发明技术人员而言很容易进行各种修改和变动,因此不希望前述内容将本发明限于所示和所述的确切结构,因此适当的修改和等同体都在本发明范围之内。

Claims (10)

1.一种平版印刷模板,该模板包括:
刻印区,所述刻印区内包含全部浮雕图像;
弹性体,所述弹性体设置成能调整所述浮雕图像的至少一部分。
2.如权利要求1所述的模板,其特征在于,所述刻印区全部能透射光化辐射。
3.如权利要求1所述的模板,所述模板还包括刻印层,所述刻印层全部能透射光化辐射,所述刻印层包含基本平行于第二表面的第一表面,所述第一表面包含所述刻印区,所述弹性体与所述第二表面相邻。
4.如权利要求1所述的模板,所述模板还包括基板,所述基板被所述弹性体与所述刻印区分开,所述基板的刚性大于所述刻印区。
5.如权利要求1所述的模板,其特征在于,所述浮雕图像包含具有空间尺寸的特征,对所述浮雕图像的至少一部分进行调整的步骤包括改变至少一个特征的至少一个尺寸。
6.制造平版印刷模板的方法,该方法包括:
在基板上形成至少一个弹性体层;
在至少一个弹性体层上形成刻印层,使至少一个弹性体层排列在所述基板和所述刻印层之间;
形成掩蔽层,使与所述掩蔽层相邻的层的一部分通过所述掩蔽层露出,所述掩蔽层的排列方式使所述刻印层排列在所述掩蔽层和所述弹性体层之间;
对所述刻印层的一个或多个露出部分进行蚀刻,在所述刻印层上形成浮雕图像;
除去所述掩蔽层。
7.如权利要求6所述的方法,其特征在于,所述刻印层包含刻印区,所述浮雕图像全部排列在所述刻印区内,所述刻印区全部能透射光化辐射。
8.如权利要求6所述的方法,其特征在于,使所述刻印层与晶片表面共形。
9.如权利要求6所述的方法,该方法还包括:
在所述蚀刻的刻印层上形成掩蔽层,掩蔽在所述浮雕图像周围的矩形刻印区;在所述浮雕图像周边外面进行蚀刻,使所述周边的全部蚀刻表面成为与所述刻印区表面间隔的一段距离。
10.如权利要求9所述的方法,其特征在于,蚀刻所述周边的步骤包括蚀刻到所述基板。
CN2005800133464A 2004-04-27 2005-04-19 Uv刻印用的柔顺性的硬质模板 Expired - Fee Related CN1960855B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/833,240 US7140861B2 (en) 2004-04-27 2004-04-27 Compliant hard template for UV imprinting
US10/833,240 2004-04-27
PCT/US2005/013502 WO2005113257A2 (en) 2004-04-27 2005-04-19 Compliant hard template for uv imprinting

Publications (2)

Publication Number Publication Date
CN1960855A true CN1960855A (zh) 2007-05-09
CN1960855B CN1960855B (zh) 2012-03-07

Family

ID=35135388

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800133464A Expired - Fee Related CN1960855B (zh) 2004-04-27 2005-04-19 Uv刻印用的柔顺性的硬质模板

Country Status (7)

Country Link
US (2) US7140861B2 (zh)
EP (1) EP1740373A4 (zh)
JP (1) JP2007535172A (zh)
KR (1) KR20070002066A (zh)
CN (1) CN1960855B (zh)
TW (1) TWI279830B (zh)
WO (1) WO2005113257A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113168089A (zh) * 2018-10-12 2021-07-23 莫福托尼克斯控股有限公司 具有可调高尺寸稳定性的柔性印模
CN113204169A (zh) * 2021-04-12 2021-08-03 新沂崚峻光电科技有限公司 一种新型压印膜的制备方法

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2270592B1 (en) 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
BRPI0417848B1 (pt) 2003-12-19 2019-01-15 Univ North Carolina State método para formar uma pluralidade de micro-partículas e/ou nano-partículas, pluralidade de partículas formada pelo método e aparelho para formar uma pluralidade de partículas de acordo com o método
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
WO2006084202A2 (en) * 2005-02-03 2006-08-10 The University Of North Carolina At Chapel Hill Low surface energy polymeric material for use in liquid crystal displays
JP2008536699A (ja) * 2005-04-14 2008-09-11 プレジデント・アンド・フエローズ・オブ・ハーバード・カレツジ マイクロ加工のための犠牲層における調節可能な溶解度
JP4700996B2 (ja) * 2005-04-19 2011-06-15 東芝機械株式会社 転写装置
US7648354B2 (en) * 2005-04-28 2010-01-19 Toshiba Kikai Kabushiki Kaisha Transfer apparatus having gimbal mechanism and transfer method using the transfer apparatus
JP4729338B2 (ja) * 2005-05-10 2011-07-20 東芝機械株式会社 転写装置
JP4701008B2 (ja) * 2005-05-25 2011-06-15 東芝機械株式会社 ジンバル機構を備えた転写装置
US7927089B2 (en) * 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20090304992A1 (en) * 2005-08-08 2009-12-10 Desimone Joseph M Micro and Nano-Structure Metrology
US8850980B2 (en) * 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
KR100785035B1 (ko) 2006-12-11 2007-12-12 삼성전자주식회사 나노 임프린트용 마스터 및 그 제작방법
US7968253B2 (en) 2006-06-20 2011-06-28 Samsung Electronics Co., Ltd. Nano imprint master and method of manufacturing the same
WO2008011051A1 (en) * 2006-07-17 2008-01-24 Liquidia Technologies, Inc. Nanoparticle fabrication methods, systems, and materials
KR100889814B1 (ko) 2006-07-25 2009-03-20 삼성전자주식회사 스탬퍼 및 그 제조방법과 스탬퍼를 이용한 기판의 임프린팅공정
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
WO2008118861A2 (en) * 2007-03-23 2008-10-02 The University Of North Carolina At Chapel Hill Discrete size and shape specific organic nanoparticles designed to elicit an immune response
JP2010537395A (ja) * 2007-05-30 2010-12-02 モレキュラー・インプリンツ・インコーポレーテッド 窒化ケイ素、炭化ケイ素、または酸窒化ケイ素膜を有するテンプレート
US20080309900A1 (en) * 2007-06-12 2008-12-18 Micron Technology, Inc. Method of making patterning device, patterning device for making patterned structure, and method of making patterned structure
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
NL1036215A1 (nl) * 2007-12-11 2009-06-15 Asml Netherlands Bv Lithographic method and carrier substrate.
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8114331B2 (en) 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
JP5327421B2 (ja) * 2008-03-14 2013-10-30 大日本印刷株式会社 インプリント用スタンパ
NL1036623A1 (nl) * 2008-03-26 2009-09-29 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US20090263729A1 (en) * 2008-04-21 2009-10-22 Micron Technology, Inc. Templates for imprint lithography and methods of fabricating and using such templates
US7920329B2 (en) * 2008-06-20 2011-04-05 Aptina Imaging Corporation Embedded lens for achromatic wafer-level optical module and methods of forming the same
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
JP5383110B2 (ja) * 2008-07-25 2014-01-08 株式会社東芝 インプリント装置
JP4609562B2 (ja) * 2008-09-10 2011-01-12 日立電線株式会社 微細構造転写用スタンパ及びその製造方法
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
JP2010267357A (ja) * 2009-05-18 2010-11-25 Hitachi High-Technologies Corp パターンドメディアの製造方法及び製造装置
FR2955520B1 (fr) * 2010-01-28 2012-08-31 Commissariat Energie Atomique Moule pour la lithographie par nano-impression et procedes de realisation
NL2007128A (en) * 2010-08-16 2012-02-20 Asml Netherlands Bv Imprint lithography inspection method and apparatus.
JP5637785B2 (ja) * 2010-09-06 2014-12-10 キヤノン株式会社 原版、及びそれを用いた物品の製造方法
EP2718465B1 (en) 2011-06-09 2022-04-13 Illumina, Inc. Method of making an analyte array
JP5328869B2 (ja) * 2011-10-21 2013-10-30 東芝機械株式会社 転写用の型の製造方法
AU2012328662B2 (en) 2011-10-28 2015-12-17 Illumina, Inc. Microarray fabrication system and method
JP5821909B2 (ja) * 2013-07-30 2015-11-24 大日本印刷株式会社 光インプリント用モールドおよびその製造方法
US9513543B2 (en) 2013-11-20 2016-12-06 Eastman Kodak Company Method for forming a non-deformable patterned template
WO2016054092A1 (en) 2014-09-29 2016-04-07 Magic Leap, Inc. Architectures and methods for outputting different wavelength light out of waveguides
NZ773820A (en) 2015-03-16 2022-07-29 Magic Leap Inc Methods and systems for diagnosing and treating health ailments
KR102449800B1 (ko) 2015-06-15 2022-09-29 매직 립, 인코포레이티드 가상 및 증강 현실 시스템들 및 방법들
WO2017176898A1 (en) 2016-04-08 2017-10-12 Magic Leap, Inc. Augmented reality systems and methods with variable focus lens elements
AU2017264780B2 (en) 2016-05-12 2022-05-12 Magic Leap, Inc. Distributed light manipulation over imaging waveguide
CN115685626A (zh) 2016-11-18 2023-02-03 奇跃公司 用于重定向具有宽入射角范围的光的多层液晶衍射光栅
AU2017361424B2 (en) 2016-11-18 2022-10-27 Magic Leap, Inc. Spatially variable liquid crystal diffraction gratings
JP7237830B2 (ja) 2016-11-18 2023-03-13 マジック リープ, インコーポレイテッド 交差格子を用いた導波管光マルチプレクサ
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
JP7069160B2 (ja) 2016-12-08 2022-05-17 マジック リープ, インコーポレイテッド コレステリック液晶に基づく回折デバイス
CA3046328A1 (en) 2016-12-14 2018-06-21 Magic Leap, Inc. Patterning of liquid crystals using soft-imprint replication of surface alignment patterns
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
KR20230053724A (ko) 2017-01-23 2023-04-21 매직 립, 인코포레이티드 가상, 증강, 또는 혼합 현실 시스템들을 위한 접안렌즈
JP7158396B2 (ja) 2017-02-23 2022-10-21 マジック リープ, インコーポレイテッド 可変屈折力反射体を有するディスプレイシステム
AU2018239264B2 (en) 2017-03-21 2023-05-18 Magic Leap, Inc. Eye-imaging apparatus using diffractive optical elements
US11841481B2 (en) 2017-09-21 2023-12-12 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
US10852547B2 (en) 2017-12-15 2020-12-01 Magic Leap, Inc. Eyepieces for augmented reality display system
JP2022509083A (ja) 2018-11-20 2022-01-20 マジック リープ, インコーポレイテッド 拡張現実ディスプレイシステムのための接眼レンズ
WO2020257469A1 (en) 2019-06-20 2020-12-24 Magic Leap, Inc. Eyepieces for augmented reality display system

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1146618A (en) * 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH02305612A (ja) * 1989-03-13 1990-12-19 Nippon Sheet Glass Co Ltd 微細パターン付き基板の製造方法
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
AUPM897594A0 (en) * 1994-10-25 1994-11-17 Daratech Pty Ltd Controlled release container
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
WO1997006012A1 (en) * 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US6753131B1 (en) 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
JP2000011858A (ja) * 1998-06-22 2000-01-14 Yamaha Corp 電界放射型素子の製造方法
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
EP1251974B1 (en) 1999-12-23 2005-05-04 University of Massachusetts Methods for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
TW562755B (en) * 1999-12-31 2003-11-21 Ibm Stamp device for printing a pattern on a surface of a substrate
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
KR100479600B1 (ko) * 2001-06-28 2005-04-06 주식회사 하이닉스반도체 콘택 형성 방법
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US7670770B2 (en) 2001-07-25 2010-03-02 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
TWI276153B (en) * 2001-11-12 2007-03-11 Hynix Semiconductor Inc Method for fabricating semiconductor device
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
JP2004034300A (ja) * 2002-06-28 2004-02-05 Elionix Kk 微小型押成形装置
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
JP4333274B2 (ja) * 2002-08-29 2009-09-16 凸版印刷株式会社 パターン形成装置及び方法
AU2003263606A1 (en) * 2002-09-18 2004-04-08 Tokyo University Of Science Surface processing method
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US8222072B2 (en) * 2002-12-20 2012-07-17 The Trustees Of Princeton University Methods of fabricating devices by low pressure cold welding
JP4340086B2 (ja) * 2003-03-20 2009-10-07 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US6808646B1 (en) * 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6908851B2 (en) * 2003-06-17 2005-06-21 Texas Instruments Incorporated Corrosion resistance for copper interconnects
US6852358B1 (en) 2003-08-28 2005-02-08 Chang Chun Plastics Co., Ltd. Process for preparing an optical waveguide component from acrylate/titanium alkoxide composite material and the prepared optical waveguide component
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
KR100566700B1 (ko) * 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113168089A (zh) * 2018-10-12 2021-07-23 莫福托尼克斯控股有限公司 具有可调高尺寸稳定性的柔性印模
CN113204169A (zh) * 2021-04-12 2021-08-03 新沂崚峻光电科技有限公司 一种新型压印膜的制备方法

Also Published As

Publication number Publication date
JP2007535172A (ja) 2007-11-29
EP1740373A4 (en) 2010-08-11
WO2005113257A9 (en) 2006-04-06
US7279113B2 (en) 2007-10-09
US20050236360A1 (en) 2005-10-27
TWI279830B (en) 2007-04-21
TW200540941A (en) 2005-12-16
KR20070002066A (ko) 2007-01-04
US20060096949A1 (en) 2006-05-11
EP1740373A2 (en) 2007-01-10
WO2005113257A2 (en) 2005-12-01
WO2005113257A3 (en) 2006-07-13
CN1960855B (zh) 2012-03-07
US7140861B2 (en) 2006-11-28

Similar Documents

Publication Publication Date Title
CN1960855B (zh) Uv刻印用的柔顺性的硬质模板
JP3821069B2 (ja) 転写パターンによる構造体の形成方法
US6517977B2 (en) Lithographic template and method of formation and use
US8657597B2 (en) Templates for use in imprint lithography and related intermediate template structures
JP5395757B2 (ja) パターン形成方法
US7767129B2 (en) Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7922960B2 (en) Fine resist pattern forming method and nanoimprint mold structure
US6890688B2 (en) Lithographic template and method of formation and use
US20050084804A1 (en) Low surface energy templates
CN1659691A (zh) 微米结构和纳米结构的复制和转移
JP2006524919A (ja) インプリント・リソグラフィを使用した段階的構造体の形成方法
US7041436B2 (en) Method for the manufacture of micro structures
JP4939994B2 (ja) パターン形成方法及び半導体装置の製造方法
JP4036820B2 (ja) サブ波長構造体の製造
US20070117278A1 (en) Formation of devices on a substrate
JP6015140B2 (ja) ナノインプリントモールドおよびその製造方法
CN113508336A (zh) 用于压模产生和固化的方法和设备
WO2005037446A2 (en) Applying imprinting material to substrates employing electromagnetic fields
CN103034047B (zh) 一种提高分辨率的光刻工艺
JP2021064664A (ja) インプリント用モールド及びその製造方法
CN112219164A (zh) 用于生产多层压印母版的方法、多层压印母版及多层压印母版的用途
Zelsmann et al. Materials and processes in UV-assisted nanoimprint lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120307

Termination date: 20120419