CN1902740A - 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程 - Google Patents

利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程 Download PDF

Info

Publication number
CN1902740A
CN1902740A CNA2004800395299A CN200480039529A CN1902740A CN 1902740 A CN1902740 A CN 1902740A CN A2004800395299 A CNA2004800395299 A CN A2004800395299A CN 200480039529 A CN200480039529 A CN 200480039529A CN 1902740 A CN1902740 A CN 1902740A
Authority
CN
China
Prior art keywords
gate electrode
etch stop
stop layer
nitride
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800395299A
Other languages
English (en)
Inventor
R·仇
J·布拉斯克
C·巴恩斯
S·哈尔兰德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1902740A publication Critical patent/CN1902740A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Abstract

本发明涉及在晶体管结构之上沉积一个能够在晶体管内产生晶格应力并且使性能提高的层(710)。可以在形成于衬底上的多个晶体管之上,或者在多个选择的晶体管之上形成应力层。

Description

利于高产量并包括蚀刻终止层和/或应力膜 的置换栅流程
技术领域
[0001]本发明涉及半导体和半导体制备方法技术领域;更具体地涉及减少缺陷并且提高性能的晶体管栅电极、它的制造方法。
背景技术
[0002]在以更高性能和增加的产量制造集成电路努力中,出现了许多工艺技术。一种这样的技术改进是用牺牲栅电极来改进栅电极结构的几何结构和可制造性以及性能的新方法。提高集成电路性能的第二种改进涉及包括位于下面的应变半导体层的工艺。
[0003]形成一种器件的典型工艺可以由多个步骤构成。已知的和相关的技术可以包括下面的步骤。使用初始晶片或衬底,用二氧化硅的薄膜层来首先形成用于处理的硅表面。接下来,用掩模,通常是氮化硅来制造多层。可以用化学气相沉积工艺或等效方法来限定并形成开关器件的有源区域,然后湿刻蚀阶段可以用磷酸来随后除去不想要的沉积物。
[0004]对于MOS器件,在硅基底或衬底上形成栅介电层,然后形成栅电极。首先,准备用于加工的衬底表面,然后在衬底中形成阱区。接下来,形成包括栅介电层、侧壁和侧壁隔离体的栅电极结构。随后,通常用注入和氧化物生长步骤来形成器件内不同区域的电气特性。在栅电极形成之后,通常通过在硅衬底的顶表面中注入或扩散来形成源极和漏极区。可以除去牺牲栅电极为沉积永久栅电极材料做准备。可以进行改进晶体管的几何结构和电气特性的进一步的步骤以及后面的步骤,以开口接触窗并且形成互连结构。
[0005]在进行可能引入许多缺陷或高缺陷率的金属抛光工艺中遇到了形成牺牲栅电极结构所带来的障碍。可能的缺陷存在于剩余的栅电极结构和中间介电层的内部和周围。
[0006]关于硅开关器件性能的提高,近年来的改进之一包括在形成任何开关器件之前,在位于下面的衬底上形成应变衬底层。典型地,在松弛的硅锗层上制备薄的应变硅层。然后在位于下面的应变硅层上制备MOS器件。通过使用晶格常数大于或不同于硅的晶格常数的材料(例如硅锗)产生的晶格不匹配来产生应变硅层。锗的晶格常数比硅的晶格常数约大百分之四。因此,当沉积在含锗衬底的顶部上时,硅晶体受到应力。晶格不匹配使得上部硅层产生应变,这在形成的硅开关内产生了空穴和电子迁移率的增加。通过受到应力的硅的电子经受流速的增加,这使得在应变硅层之上形成的开关器件的性能增加。
[0007]然而,应变硅技术的困难是在应变硅层的下面存在松弛的硅锗层,而硅锗层可能受到各种处理步骤的影响,例如MOS器件制备过程中的热氧化、硅化物的形成和退火。形成应变硅层时的另一个间题是:在后面的处理步骤中随后经受高温可能有效地除去位于下面的硅层中产生的晶格应变中的一些或全部。
[0008]附图说明
[0009]图1示出了在栅介电层和栅电极形成之后的牺牲栅堆叠体。
[0010]图2示出了在除去了牺牲栅、留下用于随后的栅处理的沟槽之后的图1中示出的结构。保留牺牲中间层介电层。
[0011]图3示出了在除去了牺牲栅和栅介电层、留下用于随后的栅处理的沟槽之后的图1中示出的结构。沉积了置换栅介电层。保留牺牲中间层介电层。
[0012]图4示出了在抛光之前在沉积置换栅电极之后的图3中示出的栅堆叠体。
[0013]图5示出了在抛光之后的图4中示出的栅堆叠体,图示了抛光的不均匀性。
[0014]图6示出了在蚀刻除去牺牲中间层介电层之后的图5中示出的栅堆叠体。
[0015]图7示出了在沉积氮化物蚀刻终止层(NESL)之后的栅结构。
[0016]图8示出了在沉积了中间层介电层之后的图7中示出的栅结构。
[0017]图9示出了处理中的晶体管结构,不占有面积的接触窗和浅沟槽隔离区。
[0018]具体实施方式
[0019]描述一种新颖的晶体管结构和其制造方法。牺牲栅电极通常应用在半导体工艺中在形成源极、漏极和沟道的注入工艺过程中。本发明的工艺包括形成牺牲栅电极结构、集成置换栅电极、以及在沉积刻蚀终止层和/或应力诱导层之前用抛光和湿刻蚀步骤来减少缺陷。而且,置换栅电极可能是实现作为栅电极的金属的候选者。本发明的晶体管包括在晶体管结构之上形成在位于下面的结构中产生应力的层。
[0020]在一个实施例中,用牺牲栅电极来构造晶体管。图1图示出了具有牺牲栅电极结构的晶体管结构。在衬底205上形成牺牲栅电极结构。衬底通常是硅晶片。在衬底205上形成栅介电层215和牺牲栅电极210。可以通过衬底的热氧化、通过化学气相沉积(CVD)或其它技术在衬底205上生长栅介电层215。牺牲栅电极210可以由包括多晶硅或二氧化硅(SiO2)的多种材料制成。
[0021]在衬底上形成牺牲栅电极结构之后,用掺杂或注入步骤来形成晶体管内不同区域的电气特性。形成源极和漏极延伸部分或尖端242来提高晶体管的击穿电压、基本上在栅电极和源极/漏极区之间形成沟道区250。
[0022]在形成延伸区242之后,在牺牲栅电极210的侧面上形成与牺牲栅电极210的侧面高度相一致的侧壁隔离体220。侧壁隔离体通常是氮化物或氧化物,并且通过热氧化或通过化学气相沉积CVD工艺来形成。侧壁隔离体材料的例子是氮化硅、碳掺杂氮化物或没有氧化物成分的碳掺杂氮化物。
[0023]源极和漏极区240/241的其余部分通过注入或高度掺杂该区域来形成,栅电极和侧壁隔离体充当阻挡层。在注入之后进行退火工艺以激活所注入的掺杂物并修复任何注入损伤。退火工艺可以在低热预算(例如短时间的高温)下进行以避免掺杂物的再分布。
[0024]接下来,进行牺牲中间层介电膜的覆盖沉积,然后进行抛光工艺以平面化并暴露栅电极。在图1中,在已经形成了栅介电层215、牺牲栅电极210、栅侧壁隔离体220、源极/漏极区240/241和沟道250之后,在衬底和栅电极结构的上方覆盖沉积牺牲中间层介电层(ILD0)230。可以用化学或机械抛光技术对牺牲ILD0层230进行进一步的处理,以将牺牲ILD0层平面化,并且暴露牺牲栅电极210的顶表面。
[0025]接下来,如图2所示,将牺牲栅堆叠体蚀刻掉。除去牺牲栅电极是为永久栅电极的沉积作准备。蚀刻工艺应该提供使栅侧壁隔离体220和牺牲中间层介电层(ILD0)230完好无损的选择性。选择蚀刻材料以按照比侧壁隔离体高得多的速度选择性地蚀刻牺牲栅电极材料。蚀刻选择性优选在约10∶1或更大的范围内。在一个实施例中,对于最大的蚀刻选择性,在20到30摄氏度的室温下使用约30分钟的蚀刻时间。
(a)在一个实施例中,通过湿蚀刻工艺除去牺牲栅电极,例如当牺牲栅电极210是多晶硅时,可以使用例如是氢氧化铵或HF/硝酸混合物的蚀刻剂。
(b)在另一个实施例中,当牺牲栅电极210是氮化硅时,可以使用例如磷酸蚀刻剂的蚀刻剂。
(c)在另一个实施例中,当牺牲栅电极210是氧化物时,可以使用例如氢氟酸的蚀刻剂。
[0026]在图2中,蚀刻工艺除去了牺牲栅电极,并且在侧壁隔离体220之间留下了沟槽305,接下来将由置换栅电极来填充沟槽305。在一个实施例中,栅介电层215将保留着。
[0027]如图3所示,在另一个实施例中,当除去牺牲栅电极时,也可以除去位于侧壁隔离体220之间的栅介电层(氧化物)215。在这个实施例中,置换栅电极工艺将包括由新的栅介电层216对沟槽305进行保形沉积,新的栅介电层216例如是但不限于高k的材料,包括:HfO2、ZrO2、Al2O3、Ta2O5、TiO2、La2O3。可以通过基底衬底的热氧化、通过例如化学气相沉积(CVD)或原子层沉积(ALD)的其它技术来生长置换栅介电层。在已经沉积了新的栅介电层之后,可以任选地对它进行退火或对它进行远程等离子氮化(RPN)或其它的后氧化物生长处理。然后如下面所进一步描述的对该结构进行处理。
[0028]接下来,进行置换栅电极的沉积。图4图示出了置换栅电极的工艺。沉积置换栅电极410来填充凹部或沟槽并且还将置换栅电极410沉积在牺牲中间层介电层(ILD0)230的上方。可以用多种工艺来成长置换栅电极,例如热氧化、化学气相沉积、原于层或多晶硅沉积。置换栅电极材料可以是多晶硅、硅化物、氧化物、金属或其它导电材料。而且,置换栅电极可以是单一的金属或多种金属。可以使用置换栅电极金属,铝(Al)、钛(Ti)、钼(Mo)、钨(W),但不限于这些金属,并且还可以使用金属氮化物和碳化物,例如钛的氮化物和碳化物(TiN,TiC)或钽的氮化物和碳化物(TaN,TaC)。
[0029]接下来,进行抛光工艺。图5图示出了对栅电极进行抛光之后的结构。在图5中,使置换栅电极结构的顶部经受抛光工艺以使表面平面化并暴露栅电极。在凹部或沟槽的上方沉积置换栅电极之后,进行抛光以除去任何不想要的金属,留下被填充的沟槽、被暴露的栅电极510和被平面化的结构。抛光工艺对侧壁隔离体和牺牲中间层介电层(ILD0)典型地是选择性的以保持栅电极的垂直尺寸。对牺牲中间层介电层和置换栅电极进行抛光将典型地除去小于50埃的置换栅电极高度。然而,对金属栅电极510进行抛光和平面化会产生凹陷或其它抛光不均匀性,它们会留下对产量有显著影响的线条。图5图示出了由抛光工艺产生的可能的缺陷模式,包括金属拖尾590、牺牲ILD0 530的呈凹点的沉积或凹陷的区域591。这些可能由归因于最初的牺牲ILD平面化过程中向下到栅电极510和侧壁隔离体的过度抛光的划痕、断片或表面形貌而产生。
[0030]接下来,然后用蚀刻工艺来除去抛光缺陷。利用牺牲中间层介电层减少由抛光工艺产生的缺陷。现在通过使用湿蚀刻工艺选择性地除去牺牲ILD0层和剩下的抛光缺陷。除去抛光缺陷提供了更高的总产量。
[0031]前面的抛光工艺暴露牺牲中间层介电层(ILD0)以使蚀刻工艺有效。在图6中,牺牲中间层介电(ILD0)层的湿蚀刻去除暴露晶体管结构610,并且还有另外的好处:使不想要的例如图5中示出的金属线条或缺陷跟着去除掉。对于金属填充的凹点、凹陷区域和金属拖尾,牺牲ILD0的湿蚀刻去除充当了去除掉的层(liftoff layer)以除去这些不想要的金属缺陷,为下一层的沉积作准备。
[0032]选择蚀刻材料以按照比其它特征高得多的速度蚀刻牺牲ILD0。使用对于牺牲ILD0具有选择性的湿蚀刻工艺,而不需要蚀刻隔离体、金属栅电极以及大块衬底、例如浅沟槽隔离(STI)区或硅化物覆盖的扩散区的其它特征。蚀刻选择性优选在约10∶1或更大的范围内。湿蚀刻去除工艺从置换栅电极除去低于10埃的高度。在一个实施例中,对于最大的选择性,在20到30摄氏度的室温下使用约2分钟到5分钟的蚀刻时间:
a)在一个实施例中,对于没有氧化物成分的碳掺杂氮化物隔离体,使用化学当量的氮化硅牺牲ILD0层。
b)在另一个实施例中,对于氮化硅或碳掺杂氮化物隔离体,使用软化学气
相沉积(CVD)氧化物牺牲ILD0。
c)在使用碳掺杂氮化物隔离体的实施例中,可以用高质磷酸除去牺牲ILD0层。
d)在使用氮化硅或碳掺杂氮化物隔离体的实施例中,可以使用缓冲的氢氟酸(HF)溶液来除去牺牲ILD0层,缓冲的氢氟酸(HF)溶液可选择地与例如乙二醇的表面活性剂一起使用。
e)还可以使用其它的各向同性或各向异性蚀刻工艺。
[0033]在可选择的实施例中,可以使用很短的金属蚀刻来除去沿栅堆叠体顶部的其余特征缺陷,例如在70摄氏度下使用硫酸和过氧化氢混合物中的氮化钛蚀刻将按照每分钟约60埃的速度蚀刻。
[0034]在除出了牺牲中间层介电层之后,如图6中所示,现在暴露晶体管,并且从结构中去除了金属缺陷。现在在晶体管上添加氮化物蚀刻终止层(NESL)和/或应力诱导膜层。在一个实施例中,如图所示栅介电层215保留着。在如图3中所示的实施例中,对该结构继续进行类似的处理。图7图示出了含有应变NESL层710的本发明结构的一个实施例。NESL710形成在晶体管结构750之上。
[0035]氮化物蚀刻终止层(NESL)710在晶体管的沟道250、源极240和漏极241部分中产生应力。使用如图所示的、但是包括后来形成的特征的晶体管750,当将正确极性的电荷施加到栅电极210上时,沟道区域250发生电气反转并且成为源极240和漏极241区之间的导电通路。形成在栅电极结构210之上的NESL710在位于下面的晶体管中产生应力,这增加了电子和/或空穴的迁移率,产生约百分之10到20的性能提高。
[0036]在一个实施例中,用使用硅烷和氮气的化学气相沉积工艺来进行氮化物蚀刻终止层(NESL)的沉积。也可以使用其它等效的沉积工艺。NESL层可以是在整个衬底上方的覆盖沉积层,或者可选择地,NESL可以选择性地在单个器件或晶体管的上方形成。NESL沉积也可以在接近于或低于400摄氏度的温度下用相对短的时间例如约1分钟形成,使得能够与任何热敏感的金属置换栅电极的候选材料成功地集成。
[0037]在一个实施例中,氮化物蚀刻终止层(NESL)的厚度是约500埃。然而,100到1200埃的范围也将在位于下面的晶体管内产生应力以提高性能。在另一个实施例中,NESL由氮化硅(Si3N4)构成。也可以使用不同的材料来形成NESL,例如锗、锗化硅(SiGe)、其它氧化物,例如碳掺杂的氧化硅或碳掺杂的氮化硅。
[0038]接下来,如图8所示,在已经沉积了氮化物蚀刻终止层(NESL)710之后,随后沉积“真正的”中间层介电(ILD)层830。ILD层可以是二氧化硅或低k的介电材料。然后可以继续进行进一步的处理,例如改进晶体管的几何结构或电气特性和/或开口用于形成互连结构的接触窗。在可选择的实施例中,可以采用另外的应变层。在一个实施例中,可以用蚀刻工艺除去第一NESL的一些部分以形成与后来沉积的其它NESL的互连。
[0039]此外,在一个实施例中,可以沉积NESL以便随后允许如图9中所示不占面积的接触(un-landed contact)。示出了具有不占面积的接触窗910的浅沟槽隔离(STI)区920。可以形成接触窗,暴露STI的一部分,准备进行进一步的处理以随后形成在器件上方的互连。
[0040]应该注意到,在此描述的工艺步骤和结构没有形成一个用于制备集成电路的完整的工艺流程。本发明可以与多种集成电路制造技术,包括目前在现有技术中使用的那些技术相结合来实施。同样地,在这里的描述中只是包含了对于理解本发明必要的那些共用工艺步骤。
[0041]对于本领域技术人员来说,在不偏离本发明的范围下,可以进行各种变化,这是显而易见的,这不应被认为仅限于本说明书中所描述的内容。应该理解的是,在不偏离本发明的精神和范围下,可以使用其它的实施例,并且可以进行合理的机械和电气变化。在附图中,相同的附图标记贯穿几个附图表示基本上相似的元件。

Claims (30)

1.一种方法,包括:
在衬底上形成牺牲栅电极;
在牺牲栅电极的侧面上形成侧壁隔离体,形成牺牲中间层介电层;
除去牺牲栅电极;
沉积置换栅电极;
对牺牲中间层介电层和置换栅电极进行抛光;和
在中间层介电层和没在栅电极沟槽中的任何残留的栅电极材料上进行湿蚀刻去除。
2.权利要求1的方法,其中侧壁隔离体是氮化硅或碳掺杂的氮化物。
3.权利要求1的方法,其中牺牲中间层介电层是软化学气相沉积氧化物或化学当量的氮化硅。
4.权利要求1的方法,其中对牺牲中间层介电层和置换栅电极进行抛光除去了小于50埃的置换栅电极高度。
5.权利要求1的方法,其中进行湿蚀刻去除除去了对牺牲中间层介电层和置换栅电极进行抛光产生的剩余缺陷。
6.权利要求5的方法,其中用磷酸、高质磷酸、含水的氢氟酸、缓冲的氢氟酸溶液或与表面活性剂一起使用的氢氟酸来进行湿蚀刻去除。
7.权利要求6的方法,其中与氢氟酸一起使用的表面活性剂是乙二醇。
8.权利要求5的方法,其中在约20摄氏度到约30摄氏度的温度下进行湿蚀刻去除工艺。
9.权利要求5的方法,其中湿蚀刻去除工艺持续约2分钟到5分钟的时间。
10.权利要求5的方法,其中湿蚀刻去除工艺具有10∶1或更大的近似选择性。
11.权利要求1的方法,其中湿蚀刻去除从置换栅电极除去低于10埃的高度。
12.权利要求1的方法,其中进行残留栅电极材料的湿蚀刻去除工艺包括在70摄氏度下使用硫酸和过氧化氢混合物中的氮化钛蚀刻。
13.权利要求12的方法,其中氮化钛蚀刻按照每分钟约60埃的速度除去中间层介电层。
14.权利要求1的方法,还包括沉积氮化物蚀刻终止层。
15.权利要求14的方法,其中氮化物蚀刻终止层在位于下面的结构中产生应力。
16.权利要求1的方法,还包括沉积在位于下面的结构中产生应力的氮化物蚀刻终止层。
17.一种方法,包括:
在衬底上形成牺牲栅电极;
在牺牲栅电极的侧面上形成侧壁隔离体,形成牺牲中间层介电层;
除去牺牲栅电极;
沉积置换栅电极;
对牺牲中间层介电层和置换栅电极进行抛光;和
在中间层介电层和栅电极上进行湿蚀刻去除;
沉积在位于下面的结构中产生应力的氮化物蚀刻终止层。
18.权利要求17的方法,其中氮化物蚀刻终止层的沉积被安排为在整个衬底上方的覆盖沉积。
19.权利要求17的方法,其中在单个的器件或晶体管的上方选择性地形成氮化物蚀刻终止层的沉积。
20.权利要求17的方法,其中用使用硅烷和氮气或氨气的化学气相沉积工艺来执行氮化物蚀刻终止层的沉积。
21.权利要求17的方法,其中氮化物蚀刻终止层由氮化硅构成(Si3N4)。
22.权利要求17的方法,其中氮化物蚀刻终止层来自于由锗、硅锗、碳掺杂氧化硅和碳掺杂氮化硅所构成的组。
23.权利要求17的方法,其中沉积氮化物蚀刻终止层在100到1200埃的厚度之间。
24.权利要求17的方法,其中沉积氮化物蚀刻终止层约500埃厚。
25.权利要求17的方法,其中氮化物蚀刻终止层的沉积是在接近于或低于400摄氏度的温度下用少于1分钟的时间形成的。
26.权利要求25的方法,其中氮化物蚀刻终止层可与温度敏感的金属栅电极候选材料相兼容。
27.权利要求17的方法,其中在沉积了氮化物沉积终止层之后沉积中间层介电层。
28.一种在衬底上形成的晶体管,包括:
金属栅电极;
在栅电极的侧面上形成的侧壁隔离体;
沉积在栅电极和侧壁隔离体的上方的氮化物蚀刻终止层,其在位于下面的晶体管结构中产生应力;和
在侧壁隔离体、栅电极和氮化物蚀刻终止层的上方形成的中间层介电层。
29.权利要求28的方法,其中金属栅电极包括选自于由铝(Al)、钛(Ti)、钼(Mo)、钨(W)、钛(TiN,TiC)和钽(TaN,TaC)所构成的组中的一种材料或多种材料。
30.权利要求28的方法,其中置换栅电极材料由多种金属构成。
CNA2004800395299A 2003-12-30 2004-12-24 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程 Pending CN1902740A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/749,196 2003-12-30
US10/749,196 US7078282B2 (en) 2003-12-30 2003-12-30 Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films

Publications (1)

Publication Number Publication Date
CN1902740A true CN1902740A (zh) 2007-01-24

Family

ID=34711035

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800395299A Pending CN1902740A (zh) 2003-12-30 2004-12-24 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程

Country Status (6)

Country Link
US (2) US7078282B2 (zh)
EP (1) EP1719163B1 (zh)
KR (1) KR100856436B1 (zh)
CN (1) CN1902740A (zh)
TW (1) TWI278026B (zh)
WO (1) WO2005067027A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924106A (zh) * 2009-06-15 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
CN101593696B (zh) * 2008-05-26 2011-05-04 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
CN102157378A (zh) * 2010-02-11 2011-08-17 中国科学院微电子研究所 一种半导体器件的制造方法
WO2012075661A1 (zh) * 2010-12-06 2012-06-14 中国科学院微电子研究所 沟道应力可调节的器件及其制造方法
WO2012088779A1 (zh) * 2010-12-31 2012-07-05 中国科学院微电子研究所 Mos晶体管及其形成方法
CN102569049A (zh) * 2010-12-28 2012-07-11 中芯国际集成电路制造(上海)有限公司 一种金属栅极的制作方法
CN102655121A (zh) * 2011-03-03 2012-09-05 中国科学院微电子研究所 牺牲栅去除方法及栅堆叠制作方法
CN102683189A (zh) * 2011-03-07 2012-09-19 中芯国际集成电路制造(上海)有限公司 一种金属栅极及mos晶体管的形成方法
US8384162B2 (en) 2010-12-06 2013-02-26 Institute of Microelectronics, Chinese Academy of Sciences Device having adjustable channel stress and method thereof

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060046523A1 (en) * 2004-08-25 2006-03-02 Jack Kavalieros Facilitating removal of sacrificial layers to form replacement metal gates
KR100585159B1 (ko) * 2004-09-13 2006-05-30 삼성전자주식회사 반도체소자의 퓨즈 형성방법
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7223647B2 (en) * 2004-11-05 2007-05-29 Taiwan Semiconductor Manufacturing Company Method for forming integrated advanced semiconductor device using sacrificial stress layer
US7064066B1 (en) * 2004-12-07 2006-06-20 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
JP4982958B2 (ja) * 2005-03-24 2012-07-25 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7501336B2 (en) * 2005-06-21 2009-03-10 Intel Corporation Metal gate device with reduced oxidation of a high-k gate dielectric
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
JP4997752B2 (ja) * 2005-12-13 2012-08-08 ソニー株式会社 半導体装置の製造方法
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
JP5003515B2 (ja) * 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US8455268B2 (en) * 2007-08-31 2013-06-04 Spansion Llc Gate replacement with top oxide regrowth for the top oxide improvement
US8685811B2 (en) * 2008-01-14 2014-04-01 United Microelectronics Corp. Method for manufacturing a CMOS device having dual metal gate
KR20090080751A (ko) * 2008-01-22 2009-07-27 삼성전자주식회사 저항성 메모리 소자 및 그 제조방법
US7804141B2 (en) * 2008-02-19 2010-09-28 United Microelectronics Corp. Semiconductor element structure and method for making the same
DE102008011813B4 (de) * 2008-02-29 2010-03-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US7816218B2 (en) * 2008-08-14 2010-10-19 Intel Corporation Selective deposition of amorphous silicon films on metal gates
US7981801B2 (en) * 2008-09-12 2011-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) method for gate last process
US8546252B2 (en) * 2009-10-05 2013-10-01 International Business Machines Corporation Metal gate FET having reduced threshold voltage roll-off
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8609497B2 (en) * 2010-02-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of dual EPI process for semiconductor device
DE102010028460B4 (de) * 2010-04-30 2014-01-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
CN102487085B (zh) * 2010-12-01 2014-04-23 中国科学院微电子研究所 半导体器件及其制造方法
KR101829003B1 (ko) 2011-03-18 2018-02-13 삼성전자주식회사 개선된 피모오스 성능을 갖는 반도체 장치의 제조 방법
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
US20120280288A1 (en) 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US9093421B2 (en) * 2012-06-26 2015-07-28 International Business Machines Corporation Implementing gate within a gate utilizing replacement metal gate process
US8956974B2 (en) * 2012-06-29 2015-02-17 Micron Technology, Inc. Devices, systems, and methods related to planarizing semiconductor devices after forming openings
CN103730342B (zh) * 2012-10-10 2016-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR20140047920A (ko) 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US8884344B2 (en) 2013-03-08 2014-11-11 International Business Machines Corporation Self-aligned contacts for replacement metal gate transistors
KR20140121634A (ko) 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9472456B2 (en) * 2013-12-24 2016-10-18 Intel Corporation Technology for selectively etching titanium and titanium nitride in the presence of other materials
US9147576B2 (en) 2014-01-23 2015-09-29 International Business Machines Corporation Gate contact with vertical isolation from source-drain
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US9627510B1 (en) 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
US10658486B2 (en) 2017-05-18 2020-05-19 Taiwan Semiconductor Manufacutring Co., Ltd. Mitigation of time dependent dielectric breakdown
DE102018116726A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive High-k-Bildung in einem Gate-Last-Prozess
CN111180583A (zh) * 2019-10-15 2020-05-19 北京元芯碳基集成电路研究院 晶体管及其制造方法
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5690525A (en) * 1979-11-28 1981-07-22 Fujitsu Ltd Manufacture of semiconductor device
JP2870485B2 (ja) * 1996-06-03 1999-03-17 日本電気株式会社 半導体装置の製造方法
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6071784A (en) * 1997-08-29 2000-06-06 Advanced Micro Devices, Inc. Annealing of silicon oxynitride and silicon nitride films to eliminate high temperature charge loss
US6015727A (en) * 1998-06-08 2000-01-18 Wanlass; Frank M. Damascene formation of borderless contact MOS transistors
US6146954A (en) * 1998-07-21 2000-11-14 Advanced Micro Devices, Inc. Minimizing transistor size in integrated circuits
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
TW514992B (en) * 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6686630B2 (en) * 2001-02-07 2004-02-03 International Business Machines Corporation Damascene double-gate MOSFET structure and its fabrication method
US6706594B2 (en) * 2001-07-13 2004-03-16 Micron Technology, Inc. Optimized flash memory cell
US6790721B2 (en) * 2001-07-13 2004-09-14 Micron Technology, Inc. Metal local interconnect self-aligned source flash cell
US6613623B1 (en) * 2001-08-20 2003-09-02 Taiwan Semiconductor Manufacturing Company High fMAX deep submicron MOSFET
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6660598B2 (en) * 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
KR100423904B1 (ko) * 2002-03-26 2004-03-22 삼성전자주식회사 모스 트랜지스터에 접속되는 콘택을 가진 반도체 장치의제조방법
US6706592B2 (en) * 2002-05-14 2004-03-16 Silicon Storage Technology, Inc. Self aligned method of forming a semiconductor array of non-volatile memory cells
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6861350B1 (en) * 2003-06-19 2005-03-01 Advanced Micro Devices, Inc. Method of manufacturing semiconductor device comprising silicon-rich tasin metal gate electrode

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593696B (zh) * 2008-05-26 2011-05-04 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
CN101924106A (zh) * 2009-06-15 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
CN102157378A (zh) * 2010-02-11 2011-08-17 中国科学院微电子研究所 一种半导体器件的制造方法
WO2012075661A1 (zh) * 2010-12-06 2012-06-14 中国科学院微电子研究所 沟道应力可调节的器件及其制造方法
US8384162B2 (en) 2010-12-06 2013-02-26 Institute of Microelectronics, Chinese Academy of Sciences Device having adjustable channel stress and method thereof
CN102569049A (zh) * 2010-12-28 2012-07-11 中芯国际集成电路制造(上海)有限公司 一种金属栅极的制作方法
CN102569049B (zh) * 2010-12-28 2014-03-19 中芯国际集成电路制造(上海)有限公司 一种金属栅极的制作方法
WO2012088779A1 (zh) * 2010-12-31 2012-07-05 中国科学院微电子研究所 Mos晶体管及其形成方法
US8420492B2 (en) 2010-12-31 2013-04-16 Institute of Microelectronics, Chinese Academy of Sciences MOS transistor and method for forming the same
CN102655121A (zh) * 2011-03-03 2012-09-05 中国科学院微电子研究所 牺牲栅去除方法及栅堆叠制作方法
CN102683189A (zh) * 2011-03-07 2012-09-19 中芯国际集成电路制造(上海)有限公司 一种金属栅极及mos晶体管的形成方法
CN102683189B (zh) * 2011-03-07 2016-03-16 中芯国际集成电路制造(上海)有限公司 一种金属栅极及mos晶体管的形成方法

Also Published As

Publication number Publication date
EP1719163A1 (en) 2006-11-08
TWI278026B (en) 2007-04-01
TW200522171A (en) 2005-07-01
US20060237804A1 (en) 2006-10-26
KR100856436B1 (ko) 2008-09-04
EP1719163B1 (en) 2014-12-17
WO2005067027A1 (en) 2005-07-21
US20050145894A1 (en) 2005-07-07
KR20060103479A (ko) 2006-09-29
US7078282B2 (en) 2006-07-18

Similar Documents

Publication Publication Date Title
CN1902740A (zh) 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程
CN110838488B (zh) 半导体装置与其形成方法
JP4002868B2 (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
TWI552347B (zh) 使用經摻雜的凸起源極和汲極區的源極和汲極摻雜
US20180175025A1 (en) Vertical transistors and methods of forming same
US7459382B2 (en) Field effect device with reduced thickness gate
US20100038705A1 (en) Field effect device with gate electrode edge enhanced gate dielectric and method for fabrication
US20040259303A1 (en) Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
CN1846313A (zh) 用于高性能器件的金属替换栅极的结构和方法
TWI488225B (zh) 用濕式化學方法形成受控底切而有優異完整性的高介電係數閘極堆疊
CN113314609A (zh) 半导体器件及方法
US7892929B2 (en) Shallow trench isolation corner rounding
US6417056B1 (en) Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
CN103545185B (zh) 一种采用伪栅极制造半导体器件的方法
US6864149B2 (en) SOI chip with mesa isolation and recess resistant regions
US20230395606A1 (en) Method of making semiconductor device having buried bias pad
US8629028B2 (en) Metal oxide semiconductor field effect transistor (MOSFET) gate termination
US6087248A (en) Method of forming a transistor having thin doped semiconductor gate
US8039339B2 (en) Separate layer formation in a semiconductor device
TW200845390A (en) Semiconductor structure including stepped source/drain region
JP2000077429A (ja) 半導体装置の製造方法
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US10910276B1 (en) STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method
US20230008994A1 (en) Semiconductor device with dielectric layer and method of forming the same
US20050026457A1 (en) Method for forming a localized region of a material difficult to etch

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20070124