CN1860602A - 高性能应变互补金属氧化物半导体器件 - Google Patents

高性能应变互补金属氧化物半导体器件 Download PDF

Info

Publication number
CN1860602A
CN1860602A CNA2004800284756A CN200480028475A CN1860602A CN 1860602 A CN1860602 A CN 1860602A CN A2004800284756 A CNA2004800284756 A CN A2004800284756A CN 200480028475 A CN200480028475 A CN 200480028475A CN 1860602 A CN1860602 A CN 1860602A
Authority
CN
China
Prior art keywords
shallow
trench isolation
sidepiece
effect transistor
prominent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800284756A
Other languages
English (en)
Other versions
CN100530589C (zh
Inventor
布鲁斯·B·多丽斯
奥利格·G·格卢申科夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1860602A publication Critical patent/CN1860602A/zh
Application granted granted Critical
Publication of CN100530589C publication Critical patent/CN100530589C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

本发明涉及一种半导体器件和制造方法,该半导体器件和制造方法提供具有带突悬的浅槽隔离的n沟道场效应晶体管(nFET),该突悬在与电流方向平行的方向上及在与电流横贯的方向上突悬在Si-SiO2界面上。所述器件和方法还提供具有带突悬的浅槽隔离的p沟道场效应晶体管(pFET),该突悬在与电流横贯的方向上突悬在Si-SiO2界面上。但是,用于该pFET的所述浅槽隔离在与电流方向平行的方向上没有突悬。

Description

高性能应变互补金属氧化物半导体器件
技术领域
本发明总地涉及半导体器件及其制造方法,更特别地,涉及一种互补金属氧化物半导体(CMOS)器件,其包括浅槽隔离(STI)突悬界面从而防止器件中氧化引起的压缩应力。
背景技术
CMOS器件可利用各种工艺技术制造。一种方法需要在松弛的(relaxed)硅锗(SiGe)层上制造应变硅(Si)层。随着锗(Ge)浓度增大,Si晶格中的应变增大。这主要因为这样的应变影响性能(例如电子和空穴迁移率)。虽然应变可改善n沟道场效应晶体管(nFET)中的电子迁移率,但是p沟道场效应晶体管(pFET)中的性能改善(即空穴迁移率的提高)成为更大的挑战。在小量的拉伸应变的情况下pFET中的空穴迁移率初始表现稍微的下降,但是随着更高的应变而线性增大。
相对于电流沿纵方向施加的压缩应力会导致空穴迁移率的显著增加,但是也会降低电子迁移率。一般在CMOS制造中用来隔离离散的元件(discretecomponent)从而防止干扰的浅槽隔离(STI)工艺易受氧化导致的体积膨胀引起的应力的的影响。该应力能显著影响性能,例如通过减小nFET电子迁移率而负面影响性能。
特别地,与STI的垂直部分相邻地定位的Si易受氧化引起的应力的影响。在栅极氧化或栅级堆叠的再氧化期间Si会变得氧化。由于多次栅极氧化的使用氧化部分会表现出显著增大的厚度,这在制造高性能逻辑电路中是常见的。增大的厚度在硅有源区域中引起应力,其会影响性能,例如通过降低nFET电子迁移率而负面影响性能。
应力源接近晶体管栅极时,这样的氧化引起的压缩应力对性能的影响被放大。现代CMOS芯片具有在公共硅衬底中并列的数百万有源器件。随着小型化和在单个衬底上引入更多有源器件的努力持续下去,这样的应力源足够接近从而显著地影响性能变得更加可能。
本发明专注于克服上述问题中的一个或更多。
发明内容
在本发明的第一方面中,一种半导体结构形成在衬底上,包括具有至少一个突悬(overhang)的至少一个浅槽隔离,所述至少一个突悬被选择性配置来防止该衬底的确定部分中的氧化引起的应力。
在本发明的另一方面中,一种半导体结构形成在衬底上。该结构包括第一场效应晶体管,该第一场效应晶体管具有源极、漏极、栅极、以及从该源极到该漏极的电流方向。该结构还包括用于该第一场效应晶体管的第一浅槽隔离。该第一浅槽隔离具有至少一个突悬,其被配置来防止沿与该第一场效应晶体管的电流方向平行的方向的氧化引起的应力。
在本发明的再一方面中,提供一种形成半导体结构的工艺。该工艺需要形成第一浅槽隔离。该浅槽隔离具有至少一个突悬,其配置来防止氧化引起的沿第一确定方向的应力。在该工艺中,形成一种结构,包括硅层、在该硅层上的二氧化硅层、以及在该二氧化硅层上的硅氮化物层。该硅氮化物层、该二氧化硅层和该硅衬底的一部分被蚀刻从而形成槽。该槽中该硅氮化物层的侧壁部分被蚀刻从而产生该硅氮化物层相对于该槽的凹进。浅槽隔离形成在该槽中,其在实施例中具有突悬。
附图说明
图1示出根据本发明一示例性实施用于使用的具有氧化物和氮化物层的硅衬底;
图2示出根据本发明一示例性实施用于使用的在氮化物表面上具有构图的光致抗蚀剂图像的硅衬底;
图3示出根据本发明一示例性实施用于使用的具有槽的蚀刻的半导体结构;
图4示出根据本发明一示例性实施用于使用的具有浅槽隔离的半导体结构;
图5示出根据本发明一示例性实施用于使用的具有带突悬的浅槽隔离的半导体结构;
图6示出去除氮化物层和减小氧化物厚度之后的具有带突悬的浅槽隔离的半导体结构;
图7示出浅槽隔离围绕的有源器件(例如nFET或pFET)的顶平面图;
图8示出根据本发明一示例性实施例的pFET器件;
图9示出根据本发明一示例性实施例的nFET器件;
图10示出沿与电流横贯的方向具有STI突悬的示例性pFET器件的平行于栅极的视图;以及
图11示出沿与电流横贯的方向具有STI突悬的nFET器件的平行于栅极的视图。
具体实施方式
本发明采用与掩模结合的硅氮化物(Si3N4)拉回(pull-back)工艺,所述掩模用于防止Si3N4拉回沿一些或全部pFET器件的纵向分量执行。所得半导体结构具有对于nFET器件存在于沿电流方向及横贯电流方向的突悬结构(这里称为突悬、SiO2突悬、以及STI突悬)。由于压缩应力,为了增强性能,对于pFET器件没有二氧化硅(SiO2)突悬出现在与电流方向平行的方向上。
然而,对于pFET器件该结构在与电流横贯的方向上具有SiO2突悬从而避免源自压缩应力的性能下降。突悬结构通过阻挡对下面的Si的接近而防止氧化。没有突悬,则在与浅槽隔离相邻的垂直Si-SiO2界面处的Si将易受氧化和伴随的体积膨胀的影响,尤其在栅极氧化和再氧化工艺步骤期间。相信所得半导体结构能够使pFET性能改善而有很小或没有nFET性能下降。另外,利用标准失效分析技术例如扫描电子显微镜(SEM),突悬结构是可检测的。
参照图1,硅衬底110、衬垫(pad)二氧化硅(SiO2)层120和衬垫硅氮化物(Si3N4)层130被提供。举例来说,硅衬底可以是硅晶片(wafer)、形成在硅晶片上的外延层或者绝缘体上硅(SOI)衬底。二氧化硅层120可为约2nm至70nm厚,且可以沉积或生长在晶片上。例如,二氧化硅层120可以通过热氧化或者通过低压化学气相沉积(LPCVD)形成。接着,在约10至400nm范围内的硅氮化物层130可通过LPCVD沉积。
现在参照图2,然后可进行光刻工艺从而在硅氮化物层130之上构图光致抗蚀剂图像210。然后可利用干或湿蚀刻工艺(例如利用构图的光致抗蚀剂作为掩模通过反应离子蚀刻)蚀刻相反图案(inverse pattern)从而形成槽(trench)结构,如本领域所公知的。
现在参照图3,可实施干或湿蚀刻工艺从而蚀刻未被光致抗蚀剂图像210覆盖的硅氮化物130、二氧化硅120和一些量的硅衬底110。以此方式,穿过层110、120和130形成槽310和320。
接着,参照图4,槽310和320可被填充以SiO2,例如通过利用例如化学气相沉积(CVD)或等离子体CVD工艺在衬底的表面之上沉积SiO2。然后所沉积的SiO2可利用例如反应离子蚀刻(RIE)、化学机械抛光、或者其结合被平坦化。以此方式,浅槽隔离410和420被形成。这些浅槽隔离410和420没有呈现出突悬。
为了形成具有突悬的浅槽隔离,沉积SiO2以填充槽之前,部分硅氮化物层130被蚀刻,使得它们相对于槽的侧壁后退或拉回(pull-back),如图5所示。硅氮化物层130可例如通过在氧化物沉积和化学机械抛光之前在槽310和320中引入蚀刻剂诸如甘油酸酯缓冲的氢氟酸来被蚀刻(即“被拉回”)。在此步骤中将被蚀刻的硅氮化物的量将取决于全部制造工艺,本发明的教导结合到该全部制造工艺中。通常,该量应足以能够形成突悬,该突悬足以防止器件的确定部分中的氧化。然而,突悬不应干扰器件上的其它结构。以示例而不是限制的方式,延伸超过STI-衬底界面0.01μm至0.5μm(微米)的突悬应足以防止氧化而不导致干扰。
为了控制形成突悬的位置,光致抗蚀剂或硬掩模可被选择性应用从而防止蚀刻剂(例如甘油酸酯缓冲的氢氟酸)底切确定区域。被光致抗蚀剂或硬掩模保护的区域将不表现出突悬的形成所需的拉回。用于沉积和构图硬掩模或光致抗蚀剂的工艺在半导体制造领域是公知的。然后硬掩模或光致抗蚀剂在随后的处理步骤中可被去除。
然后,通过SiO2的沉积和平坦化,例如RIE或化学机械抛光,结构510和520被形成,如图5所示。槽形成和拉回之后来自氧化物层120的部分SiO2 122-128(图6)可保留。由于这些部分122-128由与T形结构510和520相同的材料构成,所以在后面的图中该部分与T形结构未区别开。
由于硅氮化物层130从槽的侧壁拉回,所以图5的浅槽隔离结构分别形成T形或阶梯部分510a和520a(例如嵌入在层110和120中的狭窄部分),从而形成层120之上的突悬。因此,这些浅槽隔离结构510和520的顶水平部分或阶梯部分510a和520a现在分别突悬于垂直Si-SiO2界面620-626之上且因此抑制界面的Si部分的氧化。结果,沟道区域中氧化引起的压缩可被防止。
这样,拉回使得能够形成所沉积的SiO2的突悬,从而保护Si-SiO2界面620-626处的被覆盖的垂直部分Si免于被氧化。氧化引起的应变可被该突悬抑制,否则其会降低性能。包括nFET的CMOS电路可具有带突悬的STI结构,该突悬在与电流方向平行的方向上或者在与电流横贯的方向上,从而防止沿Si-SiO2界面的Si氧化。相反,pFET器件可具有沿横向的突悬,但是根据图4在与电流方向平行的方向上没有突悬。
接着,在图6中,硅氮化物层130(图5)通过例如热磷酸被去除。此时,实施传统工艺流程中使用的形成源极和漏极区的阱注入。然后定时氢氟酸蚀刻可用来准备用于栅极氧化的硅表面。氧化物层120、510和520通过这样的蚀刻减小厚度。
虽然已经描述了示例性材料和STI突悬形成以及半导体制造工艺,但是本发明不限于这些方面。另外的和不同的材料和制造步骤,包括不同的蚀刻剂和拉回以及突悬形成技术可被应用而不偏离本发明的范围。
半导体中的每个有源器件可具有包围该器件的浅槽隔离。通常浅槽隔离包括四个侧部。以示例而不是限制的方式,图7提供包围有源器件的浅槽隔离710的顶平面图,该有源器件包括栅极电极720和有源硅区域730。浅槽隔离710包括平行于电流方向的两个侧部740和750以及与电流方向横贯的两个侧部760和770。此外,侧部可具有STI突悬或可以没有突悬。平行于电流方向的侧部740和/或750上的突悬是平行于电流方向的突悬。与电流方向横贯的侧部760和/或770上的突悬是与电流方向横贯的突悬。
现在参照图8,示例性pFET器件被示出。该示例性器件包括浅槽隔离带侧部410和420的,侧部410和420没有在与电流方向平行的的方向上的突悬。对于pFET,STI突悬可设置在横向。该有源器件可包括全部以传统方式形成的传统栅极电介质845、栅极电极830以及侧壁间隔物820和835。也可设置用于源极和漏极接触的硅化物(例如TiSi2、TaSi2或MoSi2)810和840。
现在参照图9,示例性nFET器件被示出。该器件包括带侧部510和520的浅槽隔离,侧部510和520具有在与电流方向平行的方向上的突悬。该有源器件可包括传统栅极电介质945、栅极电极930以及侧壁间隔物920和940。也可设置用于源极和漏极接触的硅化物(例如TiSi2、TaSi2或MoSi2)910和950。突悬防止垂直Si-SiO2界面附近氧化引起的鸟喙部的形成。因此,将降低nFET的电子迁移率的氧化引起的压缩应力被避免。
现在参照图10,根据本发明一示例性实施例的pFET器件的平行于栅极930的剖视图示出沿与电流横贯的方向的STI突悬1010和1020。STI突悬1010和1020防止沿横向形成氧化引起的压缩应力(例如形成鸟喙部),从而防止空穴迁移率的下降。沿横向的STI突悬可利用如用来形成在平行于电流方向的方向上的STI突悬的技术来形成(例如硅氮化物拉回工艺)。
类似地,图11提供示例性nFET器件的平行于栅极830的剖视图,其示出沿与电流横贯的方向的STI突悬1110和1120。STI突悬1110和1120防止沿横向的氧化引起的压缩应力形成,从而防止电子迁移率的下降。此外,沿横向的STI突悬可利用与用来形成在平行于电流方向的方向上的STI突悬的技术相同的技术形成(例如硅氮化物拉回工艺)。
应力效应反相关于与有源器件的距离。氧化引起的压缩应力源越接近于有源器件,则对性能的影响越大。相反,场效应晶体管栅极与氧化引起的压缩应力源(例如鸟喙部形成)之间的距离越大,则对性能的影响越不明显。此外,与确定有源结构(例如晶体管栅极的最近的边缘)超过确定距离的氧化引起的压缩应力源(例如鸟喙部形成)不会明显影响该结构的性能(例如电子或空穴迁移率)。因此,在本发明的一个实施例中,如果STI与确定结构之间的距离小于或等于所述确定距离,则STI突悬可被实施。所述确定距离可根据会影响所引起的应力的大小的因素而变化。举例来说,这样的因素可包括:隔离、电介质和硅衬底之间的热失配(thermal mismatch);氮化物掩模的本征应力;以及制造步骤和条件。因为超过该距离的应力源不会对性能有明显影响,所以在这样的情况下STI突悬的形成不能保证用于应力减小目的。以示例而不是限制的方式,距nFET或pFET的最近的边缘5.0μm(微米)或更大的距离可以足以避免性能降低。
因此依照示例性实施的STI突悬结构可被选择性配置来防止鸟喙部形成,其中这样的形成导致的压缩应力将降低性能。诸如器件类型(例如nFET或pFET)、距有源器件的距离、以及电流方向的考虑会影响突悬是否将形成以及突悬的布置。虽然对于nFET来说STI突悬可设置在与电流方向平行和横贯的两个方向,但是pFET可具有沿横向的STI突悬,而在实施例中不应具有沿平行方向的突悬。选择性配置还可考虑STI与有源器件之间的距离(例如STI与附近的栅极之间的距离)。如果该距离防止与STI相邻的鸟喙部形成对性能有任何明显影响,那么突悬可被省略。
尽管根据示例性实施例描述了本发明,但是本领域技术人员将意识到,可在所附权利要求的思想和范围内通过修改实践本发明。

Claims (22)

1.一种形成在衬底上的半导体结构,包括具有至少一个突悬的浅槽隔离,所述突悬被选择性配置来防止所述衬底的确定部分中氧化引起的应力。
2.如权利要求1所述的半导体结构,还包括:
器件,其具有带电流方向的源极和漏极,
其中所述至少一个突悬中的一个被选择性配置来防止氧化引起的在与所述电流方向平行的方向上的应力。
3.如权利要求1所述的半导体结构,还包括:
器件,其具有带电流方向的源极和漏极,
其中所述至少一个突悬中的一个被选择性配置来防止氧化引起的在横贯所述电流方向的方向上的应力。
4.如权利要求1所述的半导体结构,其中:
所述衬底的所述确定部分是与所述浅槽隔离相邻的Si-SiO2界面;且
所述至少一个突悬延伸超过所述Si-SiO2界面,防止在所述Si-SiO2界面或其附近的氧化。
5.如权利要求1所述的半导体结构,还包括:
第一器件,其具有源极和漏极,该源极和漏极具有用于该第一器件的电流方向;以及
第二器件,其具有源极和漏极,该源极和漏极具有用于该第二器件的电流方向;
其中该浅槽隔离包括
用于所述第一器件的第一浅槽隔离侧部,其具有至少一个突悬,所述至少一个突悬被配置来防止氧化引起的在与所述第一器件的所述电流方向平行的方向上的应力;以及
用于所述第一器件的第二浅槽隔离侧部,其具有至少一个突悬,所述至少一个突悬被配置来防止氧化引起的在与所述第一器件的所述电流方向横贯的方向上的应力;以及
用于所述第二器件的第三浅槽隔离侧部,其具有至少一个突悬,所述至少一个突悬被配置来防止氧化引起的在与所述第一器件的所述电流方向横贯的方向上的应力。
6.如权利要求5所述的半导体结构,其中所述浅槽隔离还包括用于所述第二器件的第四浅槽隔离侧部,该第四浅槽隔离没有突悬。
7.一种形成在衬底上的半导体结构,包括:
n沟道场效应晶体管,其具有源极、漏极、栅极、以及从该源极到该漏极的电流方向;以及
用于该n沟道场效应晶体管的第一浅槽隔离,该第一浅槽隔离具有第一浅槽隔离侧部,该第一浅槽隔离侧部具有至少一个突悬,所述至少一个突悬被配置来防止氧化引起的在与所述n沟道场效应晶体管的所述电流方向平行的方向上的应力。
8.如权利要求7所述的半导体结构,其中用于所述n沟道场效应晶体管的所述第一浅槽隔离还包括:
第二浅槽隔离侧部,其横贯所述第一浅槽隔离侧部且具有至少一个突悬,所述至少一个突悬被配置来防止氧化引起的在与所述n沟道场效应晶体管的所述电流方向横贯的方向上的应力。
9.如权利要求8所述的半导体结构,还包括:
p沟道场效应晶体管,该p沟道场效应晶体管具有源极、漏极、栅极、以及从该源极到该漏极的电流方向;
用于该p沟道场效应晶体管的第二浅槽隔离,其具有第三浅槽隔离侧部,所述第三浅槽隔离侧部没有突悬;以及
用于该p沟道场效应晶体管的该第二浅槽隔离还具有第四浅槽隔离侧部,该第四浅槽隔离侧部横贯该第三浅槽隔离侧部且具有至少一个突悬,该至少一个突悬被配置来防止氧化引起的在与该p沟道场效应晶体管的所述电流方向横贯的方向上的应力。
10.如权利要求9所述的半导体结构,其中被配置来防止氧化引起的在横贯该电流方向的方向上的应力的所述突悬防止空穴迁移率的降低。
11.如权利要求9所述的半导体结构,其中:
从所述n沟道场效应晶体管的所述栅极到用于所述n沟道场效应晶体管的所述第一浅槽隔离的所述第一浅槽隔离侧部的距离小于或等于一距离,在该距离内与所述第一浅槽隔离相邻的氧化引起的应力将会影响n沟道场效应晶体管的性能,且
从所述n沟道场效应晶体管的所述栅极到用于所述n沟道场效应晶体管的所述第一浅槽隔离的所述第二浅槽隔离侧部的距离小于或等于一距离,该距离内与所述第二浅槽隔离相邻的氧化引起的应力将会影响所述n沟道场效应晶体管的性能。
12.如权利要求1所述的半导体结构,其中所述突悬包括T形结构。
13.如权利要求12所述的半导体,其中所述衬底的所述确定部分是与所述浅槽隔离相邻的Si-SiO2界面。
14.如权利要求13所述的半导体,其中所述突悬包括延伸超过所述Si-SiO2界面约0.01微米至0.5微米的水平部分。
15.如权利要求9所述的半导体结构,其中从所述p沟道场效应晶体管的所述栅极到用于所述p沟道场效应晶体管的所述第二浅槽隔离的所述第四浅槽隔离侧部的距离小于或等于一距离,该距离内与所述第四浅槽隔离侧部相邻的氧化引起的应力将会影响所述p沟道场效应晶体管的性能。
16.如权利要求15所述的半导体结构,其中从所述p沟道场效应晶体管的所述栅极到所述第四浅槽隔离侧部的距离小于或等于约5.0微米。
17.如权利要求11所述的半导体结构,其中从所述n沟道场效应晶体管的所述栅极到所述第一浅槽隔离侧部的距离小于或等于约5.0微米。
18.如权利要求12所述的半导体结构,其中从所述n沟道场效应晶体管的所述栅极到所述第二浅槽隔离侧部的距离小于或等于约5.0微米。
19.一种形成半导体结构的方法,包括:
形成包括硅层、在该硅层上的二氧化硅层、以及在该二氧化硅层上的硅氮化物层的结构;
在该结构上形成浅槽隔离,该浅槽隔离具有:具有至少一个突悬的第一浅槽隔离侧部,该至少一个突悬被配置来防止氧化引起的沿第一确定方向的应力;以及第二浅槽隔离侧部,该第二浅槽隔离侧部横贯所述第一浅槽隔离侧部且没有突悬。
20.如权利要求19所述的方法,其中所述形成所述浅槽隔离的步骤包括:
蚀刻所述硅氮化物层、所述二氧化硅层以及所述硅层的一部分从而形成槽;
蚀刻所述槽中所述硅氮化物层的侧壁部分来产生所述硅氮化物层相对于所述槽的凹进以用于所述第一浅槽隔离侧部;以及
将二氧化硅沉积到所述槽和凹进中从而形成所述浅槽隔离,所述浅槽隔离具有带突悬的第一浅槽隔离侧部。
21.如权利要求20所述的方法,还包括形成具有源极、漏极、栅极、以及从该源极到该漏极的电流方向的场效应晶体管的步骤。
22.如权利要求21所述的方法,其中从所述场效应晶体管的所述栅极到所述第一浅槽隔离侧部的距离小于或等于一距离,该距离内与所述第一浅槽隔离侧部相邻的氧化引起的应力将会影响所述场效应晶体管的性能。
CNB2004800284756A 2003-10-16 2004-10-15 应变互补金属氧化物半导体器件 Expired - Fee Related CN100530589C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,672 US7119403B2 (en) 2003-10-16 2003-10-16 High performance strained CMOS devices
US10/605,672 2003-10-16

Publications (2)

Publication Number Publication Date
CN1860602A true CN1860602A (zh) 2006-11-08
CN100530589C CN100530589C (zh) 2009-08-19

Family

ID=34465414

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800284756A Expired - Fee Related CN100530589C (zh) 2003-10-16 2004-10-15 应变互补金属氧化物半导体器件

Country Status (7)

Country Link
US (3) US7119403B2 (zh)
EP (1) EP1678753B1 (zh)
JP (1) JP4953818B2 (zh)
KR (1) KR100843653B1 (zh)
CN (1) CN100530589C (zh)
TW (1) TWI331790B (zh)
WO (1) WO2005038875A2 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8035168B2 (en) 2006-02-27 2011-10-11 Synopsys, Inc. Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7858482B2 (en) * 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
CA2986394C (en) 2009-10-14 2020-04-28 Xyleco, Inc. Marking paper products
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8623713B2 (en) 2011-09-15 2014-01-07 International Business Machines Corporation Trench isolation structure
US20140264444A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
WO2016007484A2 (en) 2014-07-08 2016-01-14 Xyleco, Inc. Marking plastic-based products
US11022886B2 (en) * 2017-05-17 2021-06-01 Taiwan Semiconductor Manufacturing Co,, Ltd. Bottom-up material formation for planarization

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
JPS5780733A (en) 1980-11-07 1982-05-20 Toshiba Corp Manufacture of semiconductor device
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPH07120703B2 (ja) * 1987-01-27 1995-12-20 松下電器産業株式会社 半導体装置の製造方法
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
JPH0387045A (ja) * 1989-06-14 1991-04-11 Fujitsu Ltd 半導体装置及びその製造方法
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH0637178A (ja) * 1992-07-17 1994-02-10 Toshiba Corp 半導体装置の製造方法
JPH07193121A (ja) * 1993-12-27 1995-07-28 Toshiba Corp 半導体装置の製造方法
US5395790A (en) * 1994-05-11 1995-03-07 United Microelectronics Corp. Stress-free isolation layer
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
JPH08172124A (ja) * 1994-12-20 1996-07-02 Mitsubishi Electric Corp 半導体装置とその製造方法
US5539229A (en) * 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6501048B1 (en) * 1996-06-12 2002-12-31 Seagate Technology Llc Slider having thermally applied tensile stress for curvature control and method of applying tensile stress
US5834358A (en) * 1996-11-12 1998-11-10 Micron Technology, Inc. Isolation regions and methods of forming isolation regions
US6114741A (en) 1996-12-13 2000-09-05 Texas Instruments Incorporated Trench isolation of a CMOS structure
US6322634B1 (en) * 1997-01-27 2001-11-27 Micron Technology, Inc. Shallow trench isolation structure without corner exposure
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6097076A (en) * 1997-03-25 2000-08-01 Micron Technology, Inc. Self-aligned isolation trench
JPH10303291A (ja) * 1997-04-25 1998-11-13 Nippon Steel Corp 半導体装置及びその製造方法
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) * 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
TW389982B (en) * 1998-01-26 2000-05-11 United Microelectronics Corp Method of manufacturing shallow trench isolation
US6153478A (en) * 1998-01-28 2000-11-28 United Microelectronics Corp. STI process for eliminating kink effect
US5976948A (en) * 1998-02-19 1999-11-02 Advanced Micro Devices Process for forming an isolation region with trench cap
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
TW406350B (en) * 1998-12-07 2000-09-21 United Microelectronics Corp Method for manufacturing the shallow trench isolation area
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4270633B2 (ja) 1999-03-15 2009-06-03 株式会社東芝 半導体装置及び不揮発性半導体記憶装置の製造方法
US6316815B1 (en) * 1999-03-26 2001-11-13 Vanguard International Semiconductor Corporation Structure for isolating integrated circuits in semiconductor substrate and method for making it
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6271143B1 (en) * 1999-05-06 2001-08-07 Motorola, Inc. Method for preventing trench fill erosion
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
JP2001189379A (ja) * 1999-12-27 2001-07-10 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6417072B2 (en) * 2000-02-10 2002-07-09 International Business Machines Corporation Method of forming STI oxide regions and alignment marks in a semiconductor structure with one masking step
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6368973B1 (en) * 2000-09-25 2002-04-09 Vanguard International Semiconductor Corp. Method of manufacturing a shallow trench isolation structure
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
CN1367526A (zh) 2001-01-23 2002-09-04 联华电子股份有限公司 一种于一硅覆绝缘层上形成掺杂区的方法
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
KR100387531B1 (ko) * 2001-07-30 2003-06-18 삼성전자주식회사 반도체소자 제조방법
US6566225B2 (en) * 2001-08-06 2003-05-20 Macronix International Co., Ltd. Formation method of shallow trench isolation
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
JP4173658B2 (ja) * 2001-11-26 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP3997089B2 (ja) * 2002-01-10 2007-10-24 株式会社ルネサステクノロジ 半導体装置
JP2003229496A (ja) * 2002-02-05 2003-08-15 Denso Corp 半導体装置の製造方法
US6885080B2 (en) * 2002-02-22 2005-04-26 International Business Machines Corporation Deep trench isolation of embedded DRAM for improved latch-up immunity
JP2003273207A (ja) * 2002-03-19 2003-09-26 Fujitsu Ltd 半導体装置の製造方法
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
JP2004281964A (ja) * 2003-03-19 2004-10-07 Toshiba Corp 半導体集積回路装置とその製造方法
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US6955955B2 (en) * 2003-12-29 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. STI liner for SOI structure
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
KR100559553B1 (ko) * 2004-07-07 2006-03-10 동부아남반도체 주식회사 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture

Also Published As

Publication number Publication date
EP1678753A4 (en) 2008-08-20
US20050148146A1 (en) 2005-07-07
US7205207B2 (en) 2007-04-17
JP4953818B2 (ja) 2012-06-13
EP1678753B1 (en) 2013-02-27
KR20060093712A (ko) 2006-08-25
CN100530589C (zh) 2009-08-19
US7847358B2 (en) 2010-12-07
WO2005038875A3 (en) 2005-08-25
EP1678753A2 (en) 2006-07-12
US20050082634A1 (en) 2005-04-21
US7119403B2 (en) 2006-10-10
KR100843653B1 (ko) 2008-07-04
US20060270136A1 (en) 2006-11-30
TWI331790B (en) 2010-10-11
WO2005038875A2 (en) 2005-04-28
TW200520160A (en) 2005-06-16
JP2007509492A (ja) 2007-04-12

Similar Documents

Publication Publication Date Title
CN100530589C (zh) 应变互补金属氧化物半导体器件
KR100338767B1 (ko) 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
US6630388B2 (en) Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
KR100824205B1 (ko) Dmos 트랜지스터 및 그 제조방법
US8338909B2 (en) Two-Step STI formation process
US20070059897A1 (en) Isolation for semiconductor devices
US20150200261A1 (en) Semiconductor device with a step gate dielectric structure
JP2007110096A5 (zh)
KR100752194B1 (ko) 반도체 소자의 제조 방법
US6432784B1 (en) Method of forming L-shaped nitride spacers
US8330215B2 (en) Transistor including bulb-type recess channel and method for fabricating the same
US7288447B2 (en) Semiconductor device having trench isolation for differential stress and method therefor
TW201442120A (zh) 半導體裝置及其製造方法
KR100519518B1 (ko) 게이트 스페이서 형성 방법
KR100269623B1 (ko) 반도체장치의 소자격리방법
US7687362B2 (en) Semiconductor device with increased channel length and width and method for manufacturing the same
KR20010048282A (ko) 반도체 장치의 얕은 트렌치 소자분리 방법
KR20030060144A (ko) 트렌치 소자 분리 방법
KR20050063049A (ko) 반도체소자의 제조방법
KR20060090093A (ko) 게이트 패턴 형성 방법
KR20070054921A (ko) 다마신 구조의 게이트 전극이 구비된 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171207

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171207

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

Termination date: 20181015

CF01 Termination of patent right due to non-payment of annual fee