CN1859959A - 单相流体刻印平版印刷方法 - Google Patents

单相流体刻印平版印刷方法 Download PDF

Info

Publication number
CN1859959A
CN1859959A CNA2004800285602A CN200480028560A CN1859959A CN 1859959 A CN1859959 A CN 1859959A CN A2004800285602 A CNA2004800285602 A CN A2004800285602A CN 200480028560 A CN200480028560 A CN 200480028560A CN 1859959 A CN1859959 A CN 1859959A
Authority
CN
China
Prior art keywords
fluid
pad
template
environment
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800285602A
Other languages
English (en)
Other versions
CN100482307C (zh
Inventor
I·M·麦克麦基
N·A·斯塔西
D·A·巴布斯
D·J·沃斯
M·P·C·瓦茨
V·N·柴斯盖特
F·Y·徐
R·D·弗伊欣
P·B·拉德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
University of Texas System
Original Assignee
University of Texas System
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System, Molecular Imprints Inc filed Critical University of Texas System
Publication of CN1859959A publication Critical patent/CN1859959A/zh
Application granted granted Critical
Publication of CN100482307C publication Critical patent/CN100482307C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/06Vacuum
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/815Chemically inert or reactive atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Abstract

本发明涉及一种通过减少在一垫片上粘性流体层中的气泡来减少刻印层上图案变形的方法。为此,该方法包括改变靠近粘性流体的气体的传送状况。具体来说,在复制图案的垫片附近的环境中充满相对于所放置的粘性流体或者具有高度溶解性、或者具有高度分散性、或者两者兼有的气体。另外,或者作为上述使环境充满气体的替代方案,可减小环境的压力。

Description

单相流体刻印平版印刷方法
技术领域
本发明的领域主要涉及刻印平版印刷。更具体地说,本发明旨在通过减少(如果不能消除的话)在刻印层中的气体来减少在刻印平板印刷工艺过程中的图案变形。
背景技术
显微制造包括对十分小的结构,例如具有微米级或更小的图案特征的结构的制造。显微制造具有相当大影响力的一个领域是在集成电路加工中。随着半导体加工行业持续追求更大的产量并增加形成在基片上的单位面积电路数量,显微制造变得越来越重要。显微制造可提供更强的工艺控制,同时使形成结构的最小特征尺寸越来越小。其它采用显微制造的领域包括生物技术、光学技术、机械系统等。
一个示例性的显微制造技术在授予Willson等人的美国专利6,334,960中示出。Wliison等人揭示了一种在一结构上形成浮雕影像的方法。该方法包括提供具有一转印层(transfer layer)的一基板。该转印层上覆盖有一可聚合的流体组合物。一模具与可聚合的流体机械接触。该模具包括一浮雕结构(relief structure),而可聚合的流体充入该浮雕结构中。此后,使可聚合的流体组合物固化并聚合,在包含与模具的浮雕结构互补的浮雕结构的转印层上形成固化的聚合材料。然后将模具从固体聚合物上拿开,从而在固化的聚合材料中形成模具中的浮雕结构的复制品。将转印层和固化的聚合材料置于一环境中,以相对于固化的聚合材料蚀刻转印层,从而在转印层中形成一浮雕影像。该技术所需的时间和所能提供的最小图案特征尺寸尤其取决于可聚合材料的成分。
授予Chou的美国专利5772905揭示了一种平版印刷方法和设备,该方法和设备用于在覆盖在一基板上的薄片上制造极端精细(低于25纳米)的图案,其中将一具有至少一个突起结构特征的模具压进携带在基板上的一薄片上。该模具上的突起结构特征在薄片上产生一凹部。将该模具从薄片上移开。然后,对该薄片进行处理以将该凹部中的薄片去除,使下面的基板暴露出来。这样,在模具中的图案在薄片中复制出来,完成了平板印刷。在接下来的工艺中,将在基板中或加到基板上的另一种材料中复制薄片中的图案。
再一个刻印平板印刷技术由Chou等人在刊物《自然》2002年6月的第417期835-837页中的、题目为“在硅中超快速直接刻印纳米结构(Ultrafast and Direct Imprint of Nanostructure in Silicon)”的一文中有所揭示,该技术被称作激光辅助直接刻印(LADI)工艺。在这个工艺中,通过用激光加热一基板的一个区域使该区域可流动,例如使其液化。在该区域达到一预期的粘度时,将其上具有一图案的一模具与该区域接触。该可流动的区域与图案的轮廓一致,然后冷却,将该图案固化在基板中。对以上这些工艺的关注的问题在于由于在可流动区域附近所存在的气体而造成的图案变形。
因此,希望提供一种系统来减少使用印刻平板印刷技术时在图案中的变形。
发明内容
本发明涉及一种通过明显减少在一垫片上粘性流体层中的气泡来减少图案变形的方法。为此,该方法包括在靠近模具处向粘性流体分配具有各种转送特性的气体。具体来说,在垫片附近的环境中充满相对于所放置的粘性流体或者具有高度溶解性、或者具有高度分散性、或者两者兼有的气体。另外,或者替代以上所述的环境,可减小环境的压力。使用这些方法可便于快速生产没有变形的刻印制品。下面将更加详细地描述这些和其它的实施例。
附图说明
图1是根据本发明的一平板印刷系统的立体图;
图2是图1所示平板印刷系统的简化的正视图;
图3是组成图2所示的一刻印层的材料在聚合和交联之前的简示图;
图4是图3所示材料在经受辐射后所转变成的交联聚合物材料的简示图;
图5是在刻印层上印有图案后从图1所示的刻印层上分离下来的一模具的简化正视图;
图6是在将第一刻印层上的图案转印到另一刻印层上时被置于图5所示基板顶部的该另一刻印层的简化的正视图;
图7是图1所示的一印刷头的立体详图;
图8是根据本发明的一卡盘系统的横截面图;
图9是图7所示刻印头的横截面详图;以及
图10是图9所示刻印头的仰视立体图。
具体实施方式
图1示出了根据本发明的一个实施例的平板印刷系统10,该系统包括一对互相间隔开的桥式支架12,在它们之间延伸有一桥接件14和一平台支座16。桥接件14和平台支座16互相间隔开。与桥接件14联结的是一刻印头18,该刻印头从桥接件14向平台支座16延伸,并提供沿Z轴线方向的运动。在平台支座16上面对刻印头18放置着一运动平台20。该运动平台20被构造成可相对于平台支座16沿X和Y轴线运动。应该理解的是,刻印头18可提供沿X和Y轴线的运动以及Z轴线的运动,而运动平台20可提供沿Z轴线的运动以及沿X和Y轴线的运动。运动平台装置的一个例子在2002年7月11日提交的名称为“分步重复刻印平板印刷系统”的美国专利申请10/94414中有所揭示,该申请是转让给本发明的受让人的,且该申请全文被结合于此作为参考。一辐射源22与平板印刷系统10联结,从而将光化辐射照射在运动平台20上。如图所示,辐射源22与桥接件14联结并包括与辐射源22连接的一发电机23。平板印刷系统10的操作通常由一处理器25控制,该处理器与平板印刷系统10有数据通讯。
参见图1和2,其上具有一模具28的一模板26连接在刻印头18上。该模具28包括由多个间隔开的凹部28a和突起28b限定的多个图案特征。这些多个图案特征形成要转印到置于运动平台20上的一垫片30中的一原始图案。为此,刻印头18和/或运动平台20可改变模具28和垫片30之间的距离“d”。这样,就可将模具28上的图案特征刻印到垫片30的可流动区域中,这将在下面更详细地讨论。将辐射源22定位成使模具28置于辐射源22和垫片30之间。因此,模具28由可使它对于辐射源22所产生的辐射基本可被穿透的材料制成。
参见图2和3,在表面32的外形基本平坦的部分上布置有诸如一刻印层34之类的一可流动区域。可使用任何已知的技术来形成该可流动的区域,比如美国专利5772905中所揭示的热压花工艺(该专利被结合于此作为参考),或者由Chou等人在《自然》杂志2002年6月的第417期835-837页中的“在硅中超快速直接刻印纳米结构(Ultrafast and Direct Imprint ofNanostructure in Silicon)”一文所描述的激光辅助直接刻印(LADI)工艺。另外,可流动的区域还可包括一旋转涂覆的粘性流体薄层,该薄层可模制并固化形成刚性复制品。然而,在本实施例中,可流动的区域由刻印层34组成,该刻印层被布置为多个在垫片30上分隔开的材料36a的分散的珠状点36,将在下面对此进行详细讨论。用于布置诸珠状点36的一示例性系统在2002年7月9日提交、题目为“分配液体的系统和方法”的美国专利申请10/191749中有所揭示,该申请转让给本发明的受让人,且其全文结合于此作为参考。刻印层34由材料36a形成,该材料36a可选择性地聚合和交联以在其中记录下原始图案,形成一被记录的图案。材料36a的一个示例性组成在2003年6月16日提交、题目为“减少在一致的区域和模具图案之间粘合的方法”的美国专利申请10/463396中有所揭示,该申请全文结合于此作为参考。材料36a在图4中显示为在点36b处已经交联,从而形成交联聚合物材料36c。
参见图2、3和5,记录在刻印层34中的图案部分通过与模具28的机械接触生成。由此,距离“d”减小,以使珠状点36与模具28机械接触,将诸珠状点36散布,从而在整个表面32上由邻接的材料36a结构层形成刻印层34。在一个实施例中,减小距离“d”,以使刻印层34的子部分34a进入并填充诸凹部28a。
为了便于填充凹部28a,使材料36a具有必须的特性,从而在以一邻接的材料36a结构层覆盖表面32的同时将凹部28a充满。在本实施例中,在达到希望的、通常为最小的距离“d”以后,与诸突起28b叠合的刻印层34的诸子部分34b仍被保留,子部分34a的厚度为t1,子部分34b的厚度为t2。厚度t1和t2可以是任何需要的厚度,这要根据实际应用来决定。通常,被选取的t1以不超过子部分34a的宽度u的2倍为限,即t1≤2u,这在图5中显示得更清楚。
参见图2、3和4,在达到希望的距离“d”后,辐射源22产生使材料36a聚合并交联的光化辐射,形成交联聚合材料36c。其结果,刻印层34的组合物从材料36a转换成交联聚合材料36c,该交联聚合材料36c为固态。具体来说,使交联聚合材料36c固化,从而为刻印层34的侧部34c提供与模具28的表面28c相一致的形状,这在图5中更清楚地示出。在将刻印层34转化成由图4所示的交联聚合材料36c组成之后,移动图2所示的刻印头18来加大距离“d”,从而将模具28和刻印层34分开。
参见图5,可采用附加的工艺来完成在垫片30上印制图案。例如,可蚀刻刻印层34和垫片30,从而将刻印层34上的图案转印到垫片30中,提供一有图案的表面32a,如图6所示。为便于蚀刻,可改变形成刻印层34的材料,从而如所希望的那样对于垫片30形成一相对的蚀刻率。刻印层34对垫片30的该相对的蚀刻率可在约1.5∶1到约100∶1的范围内。
作为替换的方案,或另外附加的方案是:刻印层34可相对于可选择性地置于其上的一光致抗蚀(photo-resist)材料(未示出)设置一蚀刻差(etchdifferential)。可使用已知技术,设置该光致抗蚀材料(未示出)来进一步在刻印层34上印制图案。可根据所需要的蚀刻率以及形成垫片30和刻印层34的底层组成来采用任何蚀刻工艺。示例性的蚀刻工艺可包括等离子蚀刻、活性离子蚀刻、化学湿式蚀刻等。
参见图7和8,其上放置有模具28的模板26通过一包括卡盘体42的卡盘系统40与刻印头外壳18a相连。卡盘体42适用于将其上通过真空技术吸附有模具28的模板固定。为此,卡盘体42包括与诸如一流体供应系统70的一压力控制系统流体连通的一个或多个凹部42a。流体供应系统70可包括:一个或多个泵,用来提供正压和负压;以及一流体源,以帮助减少(如果无法避免的话)被吸入刻印层34中的诸如空气之类的气体,如图5所示。卡盘系统的一个示例性实施例在名称为“用于调制垫片形状的卡盘系统”的美国专利申请10/293224中有所揭示,该申请转让给本发明的受让人,且其全文结合于此作为参考。
如上所讨论的,在刻印过程中,模板26和模具28在向位于区域77处的刻印材料36a上印制图案之前靠近垫片30。具体来说,模板26靠近垫片30数十毫米之内,例如15毫米或更小。发现需要对靠近模板26和区域77的环境78进行局部控制。例如,为了避免在刻印材料34a中和/或随后陷入印有图案的刻印层34中的多个和/或一个气泡的不利影响,发现控制在环境78中流体的成分和/或环境78的压力是有好处的。
参见图9,为了便于对环境78的控制,将卡盘体42设计成:便于靠近模具28的流体通过,以及刻印头18包括围绕模板26的挡板100。具体来说,挡板100从刻印头18开始延伸,终止在位于表面26a所在的平面的最低点102。在这一形式中,模具28越过最低点102延伸,以便于接触区域77。卡盘体42包括一个或多个直通通道,其中两个示为104和106。直通通道104和106的孔104a和106a分别位于夹盘体42在模板26和挡板100之间的一表面上,将该表面称为周边表面100a。直通通道104和106使孔104a和106a与流体供应系统70流体连通。挡板100的功能是减缓流出孔104a和106a离开模具28的流体运动。为此,挡板100包括第一和第二相对的表面102a和102b。第一相对的表面102a从最低点102离开垫片30而延伸,并面对模板26。第二相对的表面102b从最低点102离开垫片30而延伸,并背对模具28。虽然并不是必须的,但显示第一相对的表面102a相对于第二相对的表面102b倾斜地延伸。采用这一结构,可通过由孔104a和106a引入和抽出流体来控制环境78。然而第一和第二相对的表面可从最低点102互相平行地延伸。
参见图3和9,在一个实施例中,形成环境78,从而使通过区域77中刻印材料36a对所存在的气体的传送较之于与空气相关联的传送有所增加。术语“传送”定义为表示任何可使气体通过刻印材料36a的传播得到增加的机理,即增加的溶解性、增加的扩散性、增加的渗透性等。为此,流体供应系统70可包括刻印材料36a供应源或其气态成分。在处理器25的控制之下,可引导刻印材料36a通过孔104a和106a以使环境78充满刻印材料36a,处理器25与流体供应系统70存在数据通讯。发现这可以减少(如果不能完全消除的话)刻印过程中被捕集在刻印层34中诸如空气之类的气体的量。因为发现在刻印层34中的空气会造成为人们所不希望的空隙,因此这是有利的。或者,发现通过使环境78充满二氧化碳和/或氦,被捕集在图5所示的刻印层34中的空气量如果不是完全避免的话也是大大减少,从而减少(如果不能避免的话)人们所不希望的空隙的形成。另外,发现通过采用上述的环境78,不仅人们所不希望的空隙的数量减少或消除了,而且还发现要实现可接受的最低程度图案缺陷所需要的的时间可大大缩短。应该理解的是,可将如图3所示的刻印材料36a、二氧化碳和/或氦的混合物引入图9所示的环境78中,以减少被捕集在图5所示刻印层34中的空气数量。
参见图9和10,在引入空气方面所遇到的一个困难在于要确保分别离开孔104a和106a的流体流104b和106b中的分子能进入位于模具28和珠状点36之间的环境区域,且要在珠状点36接触模具28之前。这一环境78的区域被称作处理区域78a。如图所示,孔104a和106a绕周边表面100a设置,该表面与处理区域78a分开。如果模具28与区域77的分离是在微米级别上,就难以使上述分子进入处理区域78a。
克服上述困难的一个方法是使流体供应系统70处在编有适当的控制软件(未示出)的处理器25的控制之下,以使流体流104b和106b脉动而进入具有上述所希望的分子混合体的环境78中。这样,可避免流体流104b和106b的层流。相信使流体流104b和106b紊流可使进入处理区域78a的分子数量足够的可能性将会增加,从而减少(如果不能避免的话)被捕集到刻印层34(未示出)中的气体。为此,可将流体同时通过孔104a和106a脉动进入,或按顺序通过这些孔,即首先引导流体通过孔104a,然后通过孔106a,然后再通过孔104a,在所需要的时间内或贯穿整个刻印过程中重复该处理过程。另外,气体流入处理区域78a中的定时很重要,因为希望有足够量的包含于其中的分子在模具28和珠状点36接触之前进入区域78a。
或者,流体可脉动通过两个孔中的一个,例如孔104a,然后通过另一个孔排出,例如通过孔106a。这样,可将流体抽吸穿过处理区域78a。使流体同时脉动通过孔104a和106a然后同时通过孔104a和106a排出也是有利的。然而,希望流体的流量可使珠状点36的运动最小(如果不能避免的话)。
为了确保流出孔104a和106a的流体穿过处理区域78a,使流体同时脉动通过孔104a和106a,然后交替地通过孔104a或106a中的一个排出是有利的。同时通过孔104a和106a引入流体可使充满环境78的时间最少。交替地从孔104a和106a中的一个排出流体可确保流体穿过处理区域78a。例如,第一步可包括同时通过孔104a和106a将流体引入环境78中。第二步可包括通过孔104a和106a中的一个将流体排出,例如通过孔104a。此后,在第三步中,可同时通过孔104a和106a将流体引入环境78中。在第四步中,可通过孔104a和106a中先前没有采用的那个孔将流体排出,例如通过孔106a。应该理解的是,在通过孔104a和106a中的一个引入流体时,可通过孔104a和106a中剩下的一个进行排放。或者,可在没有流体引入环境78中的情况下进行排放。所希望的结果是流体进入和排出环境78的进行可使流体有所希望的浓度。
在另一个实施例中,可绕周边表面100a设置多个孔,从而使每对孔在模板26的相反两侧上相对布置。这显示为在模板26的相反两侧上的相对的孔对104a和106a。第二对孔显示为108a和110a。孔108a和110a在模板26的相反两侧上相对放置。
如图所示,孔104a、106a、108a和110a的每一个都布置在一个共同的圆上,相邻的孔互相间隔开90°。这样,每个孔104a、106a、108a和110a的布置可便于流体流进和/或流出卡盘体42的不同的象限。具体来说,孔104a便于流体流进和/或流出象限I;孔106a便于流体流进和/或流出象限II;孔108a便于流体流进和/或流出象限III;而孔110a便于流体流进和/或流出象限IV。然而,可以采用任何数量的孔,例如每个象限具有一个以上的孔,不同的象限有不同数量的孔,且根据需要进行任意的间隔排列。这些排列每一种都应该便于将多股流体导入和/或排出环境78,且将多股流体流104b和106b的子组引入绕模板26的不同区域中。相信将多重流体引入可在环境78中设置紊流。相信这可增加在流体流中的分子达到图9所示的处理区域78中的可能性。然而,流体流通过各个孔104a、106a、108a和110a进入环境78中以及从环境78排出可以上述所讨论的任意方式来进行。
参见图9、10和11,在另一个实施例中,可将流体流逐次通过每个孔104a、106a、108a和110a引入,从而在模板26和区域77之间形成流动单元112。流动单元112将便于在流体流中的分子进入处理区域78a,以提供上述的优点。例如,首先通过孔104a引入流体流,然后终止。在通过孔104a的流体流终止之后,通过孔106a的流体流开始,以将流体引入环境78中。接下来,通过孔106a的流体流终止。在通过孔106a的流体流终止之后,流体流开始通过孔108a,以将流体引入环境78中。随后,流经孔108a的流体流终止。在通过孔108a的流体流终止之后,流体流开始通过孔110a,以将流体引入环境78中。这样,在任意给定的时间中,流体通过一个象限进入环境78。然而,会希望将流体引入一个以上的象限中。虽然这会妨碍形成流动单元112,但这仍在本发明的范围中。
或者,可顺次通过孔104a、106a、108a和110a引入和排出流体来形成流动单元112。这会包括同时通过一个或多个孔104a、106a、108a和110a来引入流体。然后顺次通过每个孔104a、106a、108a和110a来形成流动单元112。例如,可通过卡盘体42中的所有孔将流体引入。此后,流体可从各个孔104a、106a、108a和110a中排出,一次一个。此前,由于流体排出,通过孔104a、106a、108a和110a引入的环境78中的流体浓度会下降到所希望的水平以下。然后可再次通过一个或所有的孔104a、106a、108a和110a将流体引入,可重复这一过程以形成和/或保持流动单元112。
以上所描述的实施例只是示例性的。可以对上述发明进行许多的改变和修改,同时仍在本发明的范围内。因此,本发明的范围不应受以上说明的限制,而应参考所附权利要求及其等效内容的整体范围来确定。

Claims (15)

1.一种减少在一垫片上的粘性流体层中的气体的方法,所述方法包括:
改变毗邻所述粘性流体的气体的成分,以增加所述气体在所述粘性流体中的传送。
2.如权利要求1所述的方法,其特征在于,改变气体成分还包括增加所述气体在所述粘性流体中的溶解性。
3.如权利要求1所述的方法,其特征在于,改变气体成分还包括增加所述气体在所述粘性流体中的分散性。
4.如权利要求1所述的方法,其特征在于,改变气体成分还包括增加所述气体在所述粘性流体中的渗透性。
5.如权利要求1所述的方法,其特征在于,改变气体成分还包括通过在毗邻所述垫片的一环境中引入一流体以使该环境中充满所述粘性流体,从而控制该环境。
6.如权利要求1所述的方法,其特征在于,还包括减小毗邻所述垫片的一环境的压力。
7.如权利要求1所述的方法,其特征在于,改变气体成分还包括通过在毗邻所述垫片的一环境中引入一流体以增加所述气体在所述粘性流体中的传送,从而控制该环境。
8.如权利要求6所述的方法,其特征在于,引入流体还包括引入从一组包括二氧化碳和氦的流体中所选的所述流体。
9.一种将一流体引入置于一模板上的一模具和一垫片之间的方法,所述方法包括:
将一股所述流体引入所述模板附近,以在所述垫片和所述模板之间产生所述流体的一股紊流,从而将所述流体的一部分移入所述模具和所述垫片之间的流体流中。
10.如权利要求9所述的方法,其特征在于,引入流体还包括将所述流体流脉动输入毗邻所述模板并与所述垫片重叠的一区域。
11.如权利要求9所述的方法,其特征在于,引入流体还包括将所述流体流脉动输入,该脉动输入还包括将所述流体顺次注射通过绕所述模板周边的不同位置上的多重区域以在位于所述模具和所述垫片之间的一环境中形成一流动单元。
12.一种将一流体流引入位于一模板上的一模具和一垫片之间的系统,所述系统包括:
一流体供应系统;以及
一卡盘体,该卡盘体具有一挡板和第一和第二孔,所述第一和第二孔位于所述挡板和所述模板之间的所述卡盘体的一表面上,所述第一和第二孔与所述流体供应系统流体连通以在所述模板和所述垫片之间产生所述流体的一股紊流。
13.如权利要求12所述的系统,其特征在于,所述卡盘体还包括位于所述挡板和所述模板之间的所述卡盘体表面上的多个孔。
14.如权利要求12所述的系统,其特征在于,所述卡盘体还包括位于所述挡板和所述模板之间的所述卡盘体表面上的多个孔,所述多个孔包括一对互相相对的孔。
15.如权利要求12所述的系统,其特征在于,所述卡盘体还包括位于所述挡板和所述模板之间的所述卡盘体表面上的多个孔,所述多个孔位于具有多个象限的同一个圆上,所述多个象限的一个象限包括所述多个孔的一组孔,所述多个象限的不同象限包括所述多个孔的不同的孔组。
CNB2004800285602A 2003-10-02 2004-09-24 减少在垫片上的粘性流体层中的气体的方法 Active CN100482307C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/677,639 US7090716B2 (en) 2003-10-02 2003-10-02 Single phase fluid imprint lithography method
US10/677,639 2003-10-02

Publications (2)

Publication Number Publication Date
CN1859959A true CN1859959A (zh) 2006-11-08
CN100482307C CN100482307C (zh) 2009-04-29

Family

ID=34393769

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800285602A Active CN100482307C (zh) 2003-10-02 2004-09-24 减少在垫片上的粘性流体层中的气体的方法

Country Status (9)

Country Link
US (3) US7090716B2 (zh)
EP (1) EP1667778B1 (zh)
JP (4) JP2007509769A (zh)
KR (3) KR101241076B1 (zh)
CN (1) CN100482307C (zh)
MY (1) MY135469A (zh)
SG (1) SG128681A1 (zh)
TW (1) TWI250560B (zh)
WO (1) WO2005033797A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106104751A (zh) * 2014-03-17 2016-11-09 佳能株式会社 压印设备和制造产品的方法
CN111448485A (zh) * 2017-10-30 2020-07-24 脸谱科技有限责任公司 高折射率材料的h2辅助的倾斜蚀刻
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010030511A1 (en) 2000-04-18 2001-10-18 Shunpei Yamazaki Display device
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7377764B2 (en) 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7670534B2 (en) * 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE510241T1 (de) * 2005-12-08 2011-06-15 Molecular Imprints Inc Verfahren zum ausstossen von zwischen einem substrat und einer form befindlichen gas
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
ATE513625T1 (de) * 2006-04-03 2011-07-15 Molecular Imprints Inc Lithographiedrucksystem
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
TW200932502A (en) * 2008-01-18 2009-08-01 Univ Nat Taiwan An improved embossing apparatus
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
WO2010063504A2 (en) * 2008-12-04 2010-06-10 Asml Netherlands B.V. Imprint lithography apparatus and method
JP5175771B2 (ja) * 2009-02-27 2013-04-03 株式会社日立ハイテクノロジーズ 微細構造転写装置及び微細構造転写方法
CN102438841A (zh) * 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
JP2011023660A (ja) * 2009-07-17 2011-02-03 Toshiba Corp パターン転写方法
EP2496989B1 (en) * 2009-11-02 2013-10-02 Danmarks Tekniske Universitet Method and device for nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
EP2534536A2 (en) * 2010-02-09 2012-12-19 Molecular Imprints, Inc. Process gas confinement for nanoimprint lithography
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5597031B2 (ja) 2010-05-31 2014-10-01 キヤノン株式会社 リソグラフィ装置及び物品の製造方法
JP5828626B2 (ja) * 2010-10-04 2015-12-09 キヤノン株式会社 インプリント方法
JP5679850B2 (ja) 2011-02-07 2015-03-04 キヤノン株式会社 インプリント装置、および、物品の製造方法
JP5787691B2 (ja) * 2011-09-21 2015-09-30 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
US9278857B2 (en) 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP6304921B2 (ja) * 2012-06-05 2018-04-04 キヤノン株式会社 インプリント方法およびインプリント装置、それを用いた物品の製造方法
KR101911588B1 (ko) 2012-10-04 2018-10-24 다이니폰 인사츠 가부시키가이샤 임프린트 방법 및 임프린트 장치
JP6748399B2 (ja) * 2012-11-30 2020-09-02 キヤノン株式会社 インプリント方法およびインプリント用硬化性組成物
JP6230041B2 (ja) * 2013-04-18 2017-11-15 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5865332B2 (ja) 2013-11-01 2016-02-17 キヤノン株式会社 インプリント装置、物品の製造方法、及びインプリント方法
CN105706214B (zh) * 2013-11-06 2018-10-02 佳能株式会社 用于确定压印模具的图案的方法、压印方法和装置
JP6294679B2 (ja) 2014-01-21 2018-03-14 キヤノン株式会社 インプリント装置及び物品の製造方法
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
JP6525567B2 (ja) 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6628491B2 (ja) 2015-04-13 2020-01-08 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
KR101968471B1 (ko) 2017-01-30 2019-04-11 배용주 전기를 발생시키고 저장하는 장치
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
JP7210155B2 (ja) * 2018-04-16 2023-01-23 キヤノン株式会社 装置、方法、および物品製造方法
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4279628A (en) 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (de) * 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden Vorrichtung zum formen von behaeltnissen aus einer folie
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) * 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (de) * 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Vorrichtung zum gegenseitigen Verkleben thermisch erweichbarer Partikel zu einem Kunststoffkoerper
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
GB8610671D0 (en) * 1986-05-01 1986-06-04 Atomic Energy Authority Uk Flow monitoring
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02192045A (ja) 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
JP2977091B2 (ja) * 1990-09-28 1999-11-10 安藤電気株式会社 ヘテロダイン受光を用いた光パルス試験器
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JPH05206095A (ja) * 1992-01-28 1993-08-13 Fujitsu Ltd 超音波処理槽と枚葉式基板処理装置
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (fr) * 1992-04-22 1994-07-08 Aerospatiale Dispositif de compactage a chaud pour la fabrication de pieces necessitant des montees en pression et en temperature simultanees.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
JPH07178762A (ja) * 1993-12-24 1995-07-18 Sanyo Silicon Denshi Kk 樹脂成形方法および樹脂成形用金型
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512335A (en) * 1994-06-27 1996-04-30 International Business Machines Corporation Fluid treatment device with vibrational energy means
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5997273A (en) 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6257866B1 (en) * 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP3783170B2 (ja) * 1996-11-19 2006-06-07 同和鉱業株式会社 樹脂成形装置
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5912049A (en) * 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
DE19819761C2 (de) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
WO2000001495A1 (en) * 1998-07-02 2000-01-13 Millipore Corporation Process for coating a solid surface with a liquid composition
US6099771A (en) * 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3291488B2 (ja) * 1999-05-27 2002-06-10 三洋電機株式会社 流体の被除去物除去方法
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP3978706B2 (ja) * 2001-09-20 2007-09-19 セイコーエプソン株式会社 微細構造体の製造方法
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP3850718B2 (ja) * 2001-11-22 2006-11-29 株式会社東芝 加工方法
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
AU2003230676A1 (en) 2002-03-15 2003-09-29 Princeton University Laser assisted direct imprint lithography
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003238947A1 (en) 2002-05-24 2003-12-12 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
MY144124A (en) 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2004071934A (ja) * 2002-08-08 2004-03-04 Kanegafuchi Chem Ind Co Ltd 微細パターンの製造方法および転写材料
JP3700001B2 (ja) * 2002-09-10 2005-09-28 独立行政法人産業技術総合研究所 インプリント方法及び装置
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7441008B2 (en) 2002-12-18 2008-10-21 International Business Machines Corporation Method for correlating transactions and messages
US6986815B2 (en) * 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7104268B2 (en) * 2003-01-10 2006-09-12 Akrion Technologies, Inc. Megasonic cleaning system with buffered cavitation method
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
CN100526052C (zh) 2003-06-09 2009-08-12 普林斯顿大学知识产权和技术许可办公室 具有改进的监测和控制的压印光刻术及其设备
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106104751A (zh) * 2014-03-17 2016-11-09 佳能株式会社 压印设备和制造产品的方法
US10315354B2 (en) 2014-03-17 2019-06-11 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
CN106104751B (zh) * 2014-03-17 2019-08-16 佳能株式会社 压印设备和制造产品的方法
CN111448485A (zh) * 2017-10-30 2020-07-24 脸谱科技有限责任公司 高折射率材料的h2辅助的倾斜蚀刻
CN111448485B (zh) * 2017-10-30 2022-04-19 脸谱科技有限责任公司 高折射率材料的h2辅助的倾斜蚀刻
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings

Also Published As

Publication number Publication date
KR20110120972A (ko) 2011-11-04
JP2011193005A (ja) 2011-09-29
EP1667778B1 (en) 2012-12-26
US20050072757A1 (en) 2005-04-07
SG128681A1 (en) 2007-01-30
KR101241076B1 (ko) 2013-03-11
US20050074512A1 (en) 2005-04-07
US7090716B2 (en) 2006-08-15
US20050072755A1 (en) 2005-04-07
JP4536157B1 (ja) 2010-09-01
US7531025B2 (en) 2009-05-12
CN100482307C (zh) 2009-04-29
JP2007509769A (ja) 2007-04-19
KR20060096424A (ko) 2006-09-11
TWI250560B (en) 2006-03-01
MY135469A (en) 2008-04-30
WO2005033797A3 (en) 2005-10-06
WO2005033797A2 (en) 2005-04-14
KR101178432B1 (ko) 2012-08-31
JP5275399B2 (ja) 2013-08-28
KR20120052426A (ko) 2012-05-23
JP4658227B2 (ja) 2011-03-23
US7270533B2 (en) 2007-09-18
JP2010192911A (ja) 2010-09-02
EP1667778A4 (en) 2009-04-22
TW200518188A (en) 2005-06-01
JP2010192912A (ja) 2010-09-02
EP1667778A2 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
CN100482307C (zh) 减少在垫片上的粘性流体层中的气体的方法
US8211214B2 (en) Single phase fluid imprint lithography method
US7281919B2 (en) System for controlling a volume of material on a mold
US6982783B2 (en) Chucking system for modulating shapes of substrates
US20070228589A1 (en) Method for expelling gas positioned between a substrate and a mold
EP1958025A2 (en) Method for expelling gas positioned between a substrate and a mold
Stacey et al. System for creating a turbulent flow of fluid between a mold and a substrate
KR20230043836A (ko) 텍스처를 복제하기 위한 장치 및 방법
Watts et al. Single phase fluid imprint lithography method
JP2019192821A (ja) 型を用いて基板上の組成物を成形する成形装置、成形方法、および物品の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant