CN1852772A - 制备高密度低介电常数材料的紫外线固化方法 - Google Patents

制备高密度低介电常数材料的紫外线固化方法 Download PDF

Info

Publication number
CN1852772A
CN1852772A CNA2004800265492A CN200480026549A CN1852772A CN 1852772 A CN1852772 A CN 1852772A CN A2004800265492 A CNA2004800265492 A CN A2004800265492A CN 200480026549 A CN200480026549 A CN 200480026549A CN 1852772 A CN1852772 A CN 1852772A
Authority
CN
China
Prior art keywords
dielectric material
ultraviolet curing
dielectric
treatment
modelling quantity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800265492A
Other languages
English (en)
Inventor
C·沃尔德弗里德
Q·韩
O·埃斯科尔西亚
I·L·伯里三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1852772A publication Critical patent/CN1852772A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Abstract

具有提高的弹性模量和材料硬度的低介电常数材料。制备所述材料的方法,包括:提供介电材料,用紫外线固化所述介电材料,由此得到紫外线固化的介电材料。紫外线固化得到了具有提高的弹性模量和材料硬度的材料,各自的提高率分别大于或等于约50%。对此紫外线固化的介电材料可进行任选的后紫外线处理。与LIV固化介电材料相比,后紫外线处理减小了材料的介电常数同时保持弹性模量和材料硬度的提高。与加热炉固化相比,紫外线固化的介电材料在固化过程中的总热预算少。

Description

制备高密度低介电常数材料的紫外线固化方法
本发明涉及半导体芯片的制备方法。更具体地讲,本发明涉及用于改善用作集成电路(IC)电介质的某些材料的结构性质和可靠性的方法。
人们正在研究一些具有低介电常数的新材料(称为“低k电介质”),使得它们可在半导体芯片的设计中作为绝缘体使用。低介电常数材料有助于进一步减小集成电路尺寸。在常规的集成电路制备方法中,二氧化硅作为介电材料的基础材料,产生的介电常数大约为3.9。此外,高密度低介电常数材料的介电常数小于大约2.8。空气的介电常数最小(k=1.0)。由于多孔的电介质可提供极低的介电常数,因此它们是非常好的候选物质。然而,遗憾的是,这种高密度(advanced)低介电常数材料通常存在机械强度不够以及沉积温度超过了允许的热预算的问题。
电器设备用的薄膜电介质涂层在本领域为众所周知。例如,在美国专利4,749,631和4,756,977中,Haluska等人公开了以二氧化硅为基体的涂层制备,该涂层通过分别将烷氧基硅或氢倍半硅氧烷(hydrogen silsesquioxane)的溶液涂布于基体上,然后在200-1000℃的温度下加热所述经涂布的基体得到。但这些涂层的介电常数对某些电子设备和电路来说太高了。
美国专利4,847,162和4,842,888中,Haluska等人提到了在氨的存在下,分别在200-1000℃的温度下加热氢倍半硅氧烷树脂和硅酸酯,由此形成氮化的二氧化硅涂层。
Glasser等人在Jounal of Non-Crystalline Solids,63(1984),209-221页中等提到了在氨存在下,加热四乙氧基硅烷可得到陶瓷涂层。该文献提到了无水氨的使用以及所得的二氧化硅涂层被氮化。
美国专利4,636,440中,Jada公开了缩短带溶胶-凝胶涂层的基体的干燥时间的方法,所述方法包括把该基体暴露在氢氧化季铵盐和/或者链烷醇胺化合物的水溶液中。Jada要求在加热前将涂层干燥,此方法特别限定于使用水解或部分水解的烷氧基硅。
Chandra等人的美国专利5,262,201及Baney等人的美国专利第5,116,637提到使用碱性催化剂来降低各种可形成陶瓷的材料(preceramic materials)(均包含氢倍半硅氧烷)向陶瓷涂层转化时所需的温度。这些专利还提到在将涂层暴露在碱性催化剂之前将溶剂移除。
Camilletti等人的美国专利5,547,703提到了一种在基体上形成低介电常数的含Si-O键的涂层的方法,该方法包括依次在湿氨、干氨、氧气中加热氢倍半硅氧烷树脂的步骤。所得涂层的介电常数低至在1MHz下为2.42。该文献提到在将涂层转化成陶瓷之前把溶剂移除。
Balance等人的美国专利5,523,163提到了一种在基体上形成含Si-O键涂层的方法,该方法包括加热一种氢倍半硅氧烷树脂使其转化成含Si-O键的陶瓷涂层,然后把该涂层暴露于含氢气的退火气氛中。所得涂层的介电常数低至2.773。该文献提到在将涂层转化成陶瓷之前把溶剂移除。
Syktich等人的美国专利5,618,878提到含溶解于饱和烷烃中的氢倍半硅氧烷树脂的涂料组合物,这种组合物可用于形成厚的陶瓷涂层。上述烷烃为最高为十二烷的烷烃。文献中没有提到在移除溶剂之前将经涂布的基体暴露于碱性催化剂中。
Chung等人的美国专利6,231,989公开了一种制造低介电常数的多孔网络状涂层的方法。此方法包括用包含含至少2个Si-H基团的树脂和溶剂的溶液在基体上沉积涂层,其中沉积后至少有5体积%的溶剂残留在涂层中。然后把涂层置于含碱性催化剂和水的环境中。最后,从涂层中蒸发出溶剂形成多孔网络。如果需要可以把涂层加热固化形成陶瓷。这个方法所制备的薄膜的介电常数在1.5-2.4之间,弹性模量为约2-约3GPa。
用旋涂和化学蒸气沉积法或通过自组装(self-assembly)方法产生的低介电常数材料,在沉积后需要进行固化。通常固化低介电常数薄膜的工艺条件包括:将用氮气吹扫的加热炉在约350-约450℃的温度下退火30-180分钟。如美国专利申请系列号09/681,332(美国专利6,558,755)、09/952,649、09/906,276和10/627,894提到代替热固化或等离子体处理,采用低介电常数薄膜可在明显更短的时间或较低温度下经紫外线固化,这样消除了以往加热炉固化的需要,由此减少了总的热预算。上述专利文献通过引用结合到本文中。
此外,仍然存在对制备具有改进的结构特性,如提高的弹性模量和材料硬度,同时不危害或恶化材料电性能的其它低介电常数材料的方法的需要。
本发明通过提供高密度低介电常数材料的紫外线固化方法满足了上述需求。
尽管本发明不受特殊的优点或功能的限定,但值得注意的是,本发明方法得到了具有低介电常数、提高的弹性模量和材料硬度的材料,或得到了具有相当模量和硬度的材料,同时与加热炉固化相比减少总热预算。
本发明的一个实施方案提供了制备紫外线固化材料的方法,所述方法包括提供具有第一介电常数、第一弹性模量和第一材料硬度的介电材料。用紫外线固化此介电材料得到紫外线固化材料紫外线固化的介电材料,所得介电材料具有与第一介电常数相当的第二介电常数,还具有分别大于第一弹性模量和第一材料硬度的第二弹性模量和第二材料硬度。这里所说的″相当”是指在第一介电常数约±20%范围之内。弹性模量和材料硬度的增量通常大于或等于大约50%。
可任选经后紫外线处理所述紫外线固化材料,得到具有第三介电常数、第三弹性模量和第三材料硬度的后紫外线处理的紫外线固化的介电材料。与进行后紫外线处理前相比,对紫外线固化的介电材料的后紫外线处理可在保持弹性模量和材料硬度升高的同时减小介电常数。更具体地讲,第三弹性模量和第三材料硬度分别大于第二弹性模量和第二材料硬度或分别在第二弹性模量和第二材料硬度约±20%范围内。
制备紫外线固化材料的方法还包括把介电材料置于加工室中,抽真空或吹扫加工室以排出室内空气,向加工室内通入加工气体,加热介电材料以及将介电材料暴露在紫外线中。
本发明的另一个实施方案为制备紫外线固化材料的方法,所述方法包括提供未固化的低介电常数材料,在不超过约5分钟或温度不超过约450℃的条件下,对这种介电材料进行紫外线固化,得到紫外固化的介电材料,所述紫外线固化的总热预算与加热炉固化相比减少了约50%以上。
因此,本发明的一个目的在于制造具有低介电常数、提高的弹性模量和材料硬度的介电材料。
本发明的另一个目的在于制造介电材料,其中形成工艺和固化工艺在缩短时间或降低温度或者两者下完成,从而减少方法的热预算。
参照附图和本发明的详细说明,以便完全了解本发明的上述以及其它特点和优点。值得注意的是,权利要求的范围由每项权利要求的叙述所限定,而不是由说明书中所阐述的特点和优点的具体讨论所限定。
参照附图来阅读以下对本发明实施方案的详细描述,将有助于最佳理解这些本发明的实施方案,附图中的相同的结构由相同的编号标记。
图1图示了基于MSQ的旋涂低介电常数薄膜的FTIR光谱(傅立叶变换红外光谱图),其中所述薄膜分别经过热固化、在O2中经热固化和紫外线固化、以及在N2中经热固化和紫外线固化。
图2图示了基于HSQ的旋涂低介电常数薄膜的FTIR光谱,其中所述薄膜未经固化、在O2中经紫外线固化和在N2中经紫外线固化。
图3图示了基于5%MSQ/95%HSQ的旋涂低介电常数薄膜的FTIR光谱,其中所述薄膜未经固化、在O2中经紫外线固化和在N2中经紫外线固化。
图4图示了CVD低介电常数薄膜的FTIR光谱,其中所述薄膜未经固化和经紫外线固化。
本领域技术人员应理解在图中示例的各要素是为了简化和明了化,并没有按比例进行绘制。比如,为了有助于理解本发明的实施方案,图中一些要素的尺寸相对于其他要素来说被放大了。
本发明建立在以下发现的基础上,即事实上紫外线固化任何介电材料都要远快于加热炉固化,并且一般来说还能在保持低介电常数性质的同时提高弹性模量(杨氏模量)和材料硬度。介电材料可包括有机材料、无机材料或它们的组合物,但并不限定于这些。更具体地讲,介电材料可包括氢倍半硅氧烷(HSQ)介电材料、甲基倍半硅氧烷(MSQ)介电材料、碳掺杂的氧化物(CDO)介电材料、氢化的氧碳化硅(SiCOH)介电材料、苯并环丁烯(BCB)介电材料、基于芳基环丁烯的介电材料、基于聚亚苯基的介电材料和它们的组合等,但并不限定于这些材料。这些材料可以通过使用旋涂、化学蒸气沉积(CVD)、等离子体辅助CVD、蒸发、反应性蒸发、或自组装方法在基体上沉积介电涂层而得到。该介电材料可以是多孔的也可以是紧密的材料。多孔的介电材料可具有由成孔剂产生的、溶剂形成的或由分子工程(molecular engineered)形成的孔,这些细孔可以是相连或密闭的孔,可随机或有序地分散,如垂直孔(vertical pore)。
对于一些低介电常数的材料,采用后紫外线法,以不同的波长和温度处理紫外固化的介电材料,得到具有更低介电常数、相等或是提高的弹性模量和材料硬度的材料。
本发明的方法特别适用于在电子设备或电子电路上沉积涂层,所述涂层可用作生产晶体管类设备的层间介电层、掺杂介电层,用作生产电容和类电容设备、多层设备、3-D设备、绝缘体设备上的硅层、多层芯片设备等的装载有颜料的含硅粘合剂等。然而,对采用本发明方法涂布的基体和设备的选择的限制仅是要求基体在本发明方法所使用的温度和压力下对热和化学品稳定,基体。同样地,本发明的介电材料可用在诸如塑料的基体上,例如聚亚酰胺、环氧树脂、聚四氟乙烯树脂和它们的共聚物、聚碳酸酯、丙烯酸类、聚酯、陶瓷、皮革、纺织品、金属、半导体等。
本发明中的“陶瓷”包括无定形二氧化硅等陶瓷材料以及无定形二氧化硅类材料等类陶瓷材料,它们不是完全不含碳和/或氢,而是具有陶瓷的特性。“电子设备”或“电子电路”包括基于硅的设备、基于砷化镓的设备、基于碳化硅的设备、焦平面阵列、光电子设备、光伏特电池或光学设备等,但不限于这些。
在本发明中,以介电材料作为原材料使用。一种这样的介电材料是高密度CVD低介电常数薄膜,如可得自ASM InternationalN.V.(Bilthoven,the Netherlands)的AURORA,它是一种氢化的氧碳化硅(SiCOH)介电材料。这种高密度低介电材料通过使用等离子体增强的化学蒸气沉积法得到,其介电常数值通常为小于或等于约2.5。其它的CVD低介电常数材料的例子包括可得自Applied MaterialsInc.(Santa Clara,CA)的Black Diamond薄膜、可得自Novellus SystemInc.(San Jose,CA)的Coral低介电常数材料、可得自TrikonTechnologies Inc.(Newport,South Wales,UK)的Orion材料。可用于本发明的典型的基于旋涂的介电材料包括:1)Dow CorningCorporation(Midland,MI)生产的基于FOx HSQ的介电材料和基于HSQ的XLK多孔介电材料,和2)可得自Chemat Technology,Inc.(Northridge,CA)和JSR Corporation(Tokyo,Japan)的基于MSQ的低介电常数多孔介电材料。
本发明所用的典型的高密度低介电常数材料的生产方法为本领域所熟知。一种生产这样的介电材料的方法是美国专利6,231,989中公开的多孔网络涂布法制备,其教导了如何生产具有超低介电常数的多孔介电材料,在此通过引用结合到本文中。该专利描述了制备介电常数介于约1.5-约2.4的超低介电常数涂层的方法,其中在HSQ基薄膜中引入孔。HSQ基薄膜按照美国专利6,231,989的教导生产,在热条件下固化,含有约20%-约60%的Si-H键密度。当此涂层的介电常数在约2.0时,其弹性模量为约2-约3GPa。
美国专利6,558,747公开了制备高密度低介电材料的第二种方法,该方法形成了介电常数低至2.5-3.0的有机硅氧化物介电薄膜。美国专利6,475,564描述了另一种方法,其中在化学蒸气沉积体系中通过使含硅气体与过氧化物反应形成高密度低介电材料。美国专利6,383,955描述了通过等离子体CVD方法形成SiCOH基高密度低介电常数材料的方法。
另一种制备高密度低介电常数材料的方法为热固化含有较大烷基的硅氧烷树脂,然后热分解这些烷基以在涂层上形成孔隙。如Zhong的美国专利6,143,360和6,184,260所公开,在含有铂族金属的硅氢化催化剂的存在下,使含氢化硅的树脂与含约8-约28个碳原子的1-烯烃接触,形成烷基氢化硅氧烷树脂,其中至少大约5%的硅原子被至少一个氢原子取代;在足以固化所得树脂和将烷基从硅原子上热解的温度下加热所得树脂,由此形成具有纳米微孔的硅树脂。这些专利通过引用结合到文本中。
在Zhong等人的美国专利6,232,424、6,359,096、6,313,045、6,541,107中公开了硅树脂和由这些硅树脂制得的多孔涂层。硅树脂由包含以下组分的混合物构成:15-70摩尔%的四烷氧基甲硅烷、12-60摩尔%的式R′SiX3有机硅烷,其中R′是氢原子或是含有1-6个碳原子的烷基、15-70摩尔%的式R″Si(OR″)3的有机三烷氧基甲硅烷,其中R″是含有约8-24个碳原子的烃基或是含有约8-24个碳原子的烃基链的取代烃基;所述专利通过引用结合到文本中。
在Zhong的美国专利6,596,834 B2中公开了由通式为(R1SiO3/2)x(HSiO3/2)y的硅树脂制备的多孔涂层,其中R1是含8-24个碳原子的烷基。此涂层的介电常数为1.5-2.3,该专利通过引用结合到本文中。上述专利中进一步描述了通过以下将描述的两步骤从式(R1SiO3/2)x(HSiO3/2)y的树脂制备多孔低介电常数涂层,其中R1是3,7,11,15-四甲基-3-羟基-十六烷基,该专利通过引用结合到本文中。
在美国专利申请09/951,899中(Zhong,发明名称“硅树脂及由其制得的多孔材料”,2001年9月12号提交,通过引用结合到本文中)公开了由通式(R1SiO3/2)u(HSiO3/2)v(SiO4/2)w(HOSiO3/2)z的硅树脂制得的多孔涂层,其中R1是含8-24个碳原子的支链烷基,在烷基链上含至少一个拉电子侧基;u值为0.1-0.7;v值为0.12-0.6;z≥0.5;w+z的值为0.15-0.7;且u+v+w+z=1。
第一步通过混合以下描述的组分(A)、(B)、(C)、(D)、(E)和(F)(其量在如上引用的美国专利申请的表1所述)制备树脂样品。
(A)0.45摩尔份(mole parts)的三乙氧基甲硅烷,
(B)0.25摩尔份的有机三乙氧基甲硅烷,RSi(OR’)3,R是3,7,11,15-四甲基-3-羟基-十六烷基,
(C)0.30摩尔份的四乙氧基甲硅烷,和
(D)甲基异丁基酮(MIBK)和异丁酸异丁酯的混合物(重量比:6∶4),其量足以使所得的树脂浓度为9%。
参照上面引用的申请的表1中的量,在混合物中加入水(E)和氯化氢(F)的混合物。在60℃减压条件下,汽提出所得的反应物的挥发份,直到固含量为14-21%。加入异丁酸异丁酯使得固含量变为14%。加热回流溶液2小时,连续排出所生成的水。通过汽提除去异丁酸异丁酯并加入环己酮,使溶剂转变成环己酮。
第二步在硅晶片上旋涂所得的树脂溶液,使其适合介电常数测量,并在440℃的氮气流中固化1小时。测定的介电常数为1.9。或者,使用等离子体和/或紫外线辅助方法可加速旋涂薄膜的固化。
在Albaugh等人的美国专利申请09/915,899中公开了从含(RSiO3/2)(R’SiO3/2)(R”SiO3/2)树脂的树脂得到的多孔涂层,其中R是含1-5个碳原子或氢原子的烷基,R’是带支链的烷氧基,R”是含6-30个碳原子的取代或未取代的直链、支链、环状的单价有机基团,所述专利通过引用结合到本文中。
Boisvert等人的美国专利申请09/915,903和Albaugh等人的美国专利申请09/915,902公开了由式TRTR’的树脂制备的多孔涂层,其中R可以是甲基也可以是氢,R’是带支链的烷氧基,所述专利通过引用结合到本文中。
尽管具有低介电常数的介电材料符合要求,但更加优选具有更高弹性模量和材料硬度的介电材料。
为了提高介电材料的弹性模量,将其进行紫外线固化加工。紫外线固化方法提高了低介电常数材料的力学性质,在维持介电材料孔隙率、结构、密度和电学性能的同时提高材料的硬度。
在典型的紫外线固化方法中,利用了一个紫外线辐射工具,首先将所述工具经氮气、氦气、氩气吹扫,使得紫外线以最小的光吸收进入加工室。把介电材料放入加工室,所述介电材料已经被单独吹扫,并且根据应用的不同可使用不同的加工气体,如N2、H2、Ar、He、Ne、水蒸气、COz、Oz、CxHy、CxFy、CxHzFy和它们的混合物等,其中x为1-6的整数,y为4-14的整数,z为1-3的整数。在这种情况下,紫外线固化可在真空或者在不存在氧或氧化气体的条件下进行。依照不同的应用可选择有不同光谱分布的紫外线发光灯,如可得自Axcelis Technologies(Beverly,MA)的HL或是HL-bulbs。这样,紫外线光源可以是由微波驱动的光源、电弧放电的光源、介质阻挡放电(dielectric barrier discharge)的光源或是电子碰撞而形成的光源。通过红外光源、光学光源、热表面或紫外线光源本身将晶片的温度控制在大约室温至大约450℃之间。加工压力可大于、大约等于或小于环境压力。
200mm晶片的典型紫外线固化条件示例如下:
紫外线强度:          0.1mW-2000mW/cm2
紫外线波长:          从100-600nm之间的连续光谱分布
晶片温度:            室温-450℃
加工压力:            <、>或者=环境压力
紫外线固化时间:      小于300秒
加工气体:           He/Ne/Ar/H2/N2/H2O/CO/CO2/CxHy/O2/CxHzFy
与加热炉(热)固化或未固化的介电材料相比,紫外线固化的介电材料的弹性模量和材料硬度都有所提高。经加热炉固化或未经固化的高密度低介电常数材料在介电常数为约1.6-约2.7时的代表性弹性模量为约0.5GPa-约0.8GPa。紫外线固化的介电材料的典型弹性模量大于或等于约2.5,更典型地为约4GPa-约12GPa。经加热炉固化或未经固化的薄膜的材料硬度为大约0.1GPa,紫外线固化的介电材料的材料硬度通常大于或等于约0.25GPa,更通常为约0.25GPa-约1.2GPa。
另外,紫外线固化方法优选在约420℃或更低的温度下进行约20秒到约5分钟,而加热炉固化优选在约420℃下操作30分钟到3小时。因此,紫外线固化方法相对于加热炉固化来说降低了总热预算。
本发明的紫外线固化的介电材料具有改进的化学稳定性和尺度稳定性,改进的“化学稳定性”是指这种介电材料更能耐化学品,比如清洁剂和化学抛光剂,以及在光致抗蚀剂灰烬和干蚀刻过程中抵抗等离子体破坏。
但是,由于一些低介电常数材料和加工气体结合,紫外线固化方法会在介电材料中产生一些不合要求的极性物质。在这样的情况下,如果需要,可任选使用热、UV或等离子体暴露方法对这些紫外线固化的介电材料进行后紫外线处理,以此来降低介电常数和/或提高弹性模量和材料硬度。例如,把紫外线固化的介电材料放入常规的烘箱中进行退火,直到极性物质被除去,如在约400℃-约450℃的温度下退火约30-约60分钟。一种作为选择的退火所述材料的方法是在快速退火加工(Rapid Anneal Processing,RAP)室里退火所述紫外线固化的介电材料,以减少介电常数。将所述紫外线固化的介电材料在常规的温度下退火足够的时间,然后冷却到大约100℃。但在大多数应用中并不需要进行RAP。
RAP方法的典型操作条件如下:
升温速率:    15-150℃/sec
晶片温度:    150-450℃
退火时间:    <120秒
加工压力:    环境压力
加工气氛:   N2
后紫外线处理也可通过把紫外线固化的介电材料暴露在另一种紫外线环境下进行。通常,将紫外线固化的介电材料经紫外线处理时间不超过或约等于300秒,优选大约60-大约180秒,或者,可下如下条件下进行紫外线处理:温度,大约室温至约450℃;压力,大于、小于或约等于环境压力;紫外线强度,约0.1-约2000mW/cm2;紫外线波长,约100-约400nm。而且,可以在使用加工气体吹扫的条件下,对紫外线固化的介电材料进行紫外线处理,所述加工气体如N2、Oz、Ar、He、H2、水蒸气、COz、CxHy、CxFy、CxHzFy、空气和它们的混合物,其中x为1-6的整数,y为4-14的整数,z为1-3的整数。
另一种类型的后紫外线处理是在高温条件下把紫外线固化的介电材料暴露在等离子体环境中。在典型的等离子体辅助后紫外线处理方法中,根据应用的不同,可使用如O2、N2、H2、Ar、He、CxHy、含氟气体和它们的混合物等加工气体,其中x为1-6的整数,y为4-14的整数。晶片温度可控制在大约室温至大约450℃。通常,所述紫外线固化的介电材料在大约1托-约10托的加工压力进行等离子体处理。
对200mm和300mm晶片的典型的等离子体辅助后紫外线处理的示例性条件如下所示。
条件                   200mm系统                                    300mm系统
微波等离子体功率:     500W-3000W                                   500W-3000W
晶片温度:             80℃-350℃                                   80℃-350℃
加工压力:             1.0托-3.0托                                  1.0托-4.0托
等离子体处理时间:     <90秒                                       <90秒
加工气体:            H2/N2/CF4/O2/Ar/He/CxHy     H2/N2/CF/O2/Ar/He/CxHy
N2H2流速:          >0-4000sccm                                 >0-10,000sccm
O2流速               >0-4000sccm                                 >0-10,000sccm
CF4流速              >0-400sccm                                  >0-1000sccm
Ar流速               >0-4000sccm                                 >0-10,000sccm
He流速               >0-4000sccm                                 >0-10,000sccm
经后紫外线处理的紫外线固化的介电材料的介电常数要比紫外线固化的介电材料的介电常数小。经后紫外线处理的紫外线固化的介电材料的介电常数通常为约1.1-约3.5,更通常为约1.6-约2.4。
下表1中列举了经过紫外线固化的多孔低介电常数薄膜的典型材料性质。
                表1  紫外线固化的多孔低介电常数材料特性
材料性质 基于MSQ的介电材料 基于HSQ的介电材料
介电常数的改变 <0.1 <0.2
模量增加 ≥50% ≥50%
孔隙率 不变 不变
合适的紫外线固化气体 真空、Ar、He、Ne、H2、NH3、CO2、CO、N2/H2 真空、N2/H2、Ar、He、Ne、NH3、O2、H2、H2O
密度 不变 不变
厚度损失 <10% <10%
折光率改变 <0.01 <0.03
下表2列举了经过紫外线固化的高密度低介电常数薄膜的典型材料性质。
                    表2  紫外线固化的高密度低介电常数材料的特性
          平均         提高率%
样品     灯   时间(秒)   温度(℃)    模量     硬度     模量     硬度   模量  硬度
作为对照的SiCOH低介电常数材料     6.36.16.4     0.810.800.80 6.27 0.80 N/A N/A
N2加工气体 1 HL- 30 360     6.76.66.6     0.850.870.86 6.63 0.86 5.9 7.1
2 Hmod 180 360     7.37.07.2     0.990.950.96 7.17 0.97 14.4 20.3
3 Dmod 180 360     6.66.26.4     0.930.860.91 6.40 0.90 2.1 12.0
He加工气体 A HL- 180 360     9.39.19.0     1.000.971.03 9.13 1.00 45.7 24.5
B HL- 120 360     8.28.58.4     1.071.011.04 8.37 1.04 33.5 29.5
为了更容易理解本发明,给出了以下实施例。这些实施例只是用于解释本发明而不是用于限定本发明。
附图给出了紫外线固化的特征。各实施例分别为i)基于MSQ的低介电常数薄膜,ii)基于HSQ的低介电常数薄膜,iii)MSQ/HSQ混合的低介电常数薄膜,和iv)等离子体增强CVD沉积SiCOH低介电常数薄膜,厚度均为大约5000。
实施例1:基于MSQ的低介电常数薄膜
基于MSQ的低介电常数薄膜的傅立叶变换红外光谱(FTIR)的特征为:在1280cm-1和3000cm-1附近具有Si-CH3特征谱带,在1100cm-1附近具有两个Si-O峰。紫外线固化这些薄膜通常使模量增加100%或以上,在FITR光谱中表现为Si-CH3谱带部分消失以及笼形Si-O键(高波数)和网络状Si-O键(低波数)的比例发生改变。
紫外线处理可成功地固化基于MSQ的多孔低介电常数薄膜。图1显示了三条基于MSQ的多孔低介电常数薄膜的FTIR光谱:热固化(底部)、在O2中进行热固化+紫外线固化1分钟(中间)、在N2中进行热固化+紫外线固化5分钟(顶部)。固化效果极大地依赖于室内吹扫气体的组成。可观察到在O2中的紫外线固化比在N2中的更有效。
实施例2:基于HSQ的低介电常数薄膜
基于HSQ的低介电常数薄膜的FTIR光谱的特征为:在2200cm-1附近具有Si-H谱带,在850cm-1附近具有SiO-H伸缩振动谱带和在1100cm-1附近具有两个Si-O峰。这些薄膜的固化通常使模量增加100%或以上,在FTIR光谱中表现为Si-H谱带完全消失以及笼形Si-O键和网络状Si-O键的比例发生改变。
紫外线处理可成功地固化基于HSQ的低介电常数薄膜。图2显示了三条基于HSQ的低介电常数薄膜的FTIR光谱:未经固化(点线)、在O2中进行紫外线固化60秒(虚线)和在N2中进行紫外线固化60秒(实线)。固化效果取决于室内吹扫气体的组成。可观察到在O2中的紫外线固化比在N2中的更有效。
实施例3:HSQ/MSQ混合的低介电常数薄膜
HSQ/MSQ混合的低介电常数薄膜的FTIR光谱的特征为:在2200cm-1附近具有Si-H谱带,在850cm-1附近具有SiO-H伸缩振动谱带,在1100cm-1附近具有两个Si-O峰。另外在1280cm-1附近也有Si-CH3特征谱带。本实施例研究了5%MSQ/95%HSQ混合薄膜。与单纯的基于HSQ的薄膜相比,这些薄膜的紫外线处理更依赖于紫外线吹扫气体混合物。然而,O2吹扫的紫外线处理也能有效且成功地固化这些低介电常数薄膜。
图3显示了三条基于5%MSQ/95%HSQ的低介电常数薄膜的FTIR光谱:未经固化(点线)、在O2中进行紫外线固化60秒(虚线)、在N2中进行紫外线固化60秒(实线)。在所有的情况下,为了除去通常在紫外线固化过程中产生的Si-OH键,需要一个继起的或可能的(possibly)退火步骤。
例4:SiCOH CVD低介电常数薄膜
SiCOH CVD低介电常数薄膜FTIR光谱的特征为:在1230cm-1附近具有Si-CH3谱带,在2950cm-1附近具有C-H谱带和在1100cm-1附近具有两个Si-O峰。薄膜的固化通常使弹性模量和材料硬度增加约50%或以上,在FTIR光谱表现为两个Si-O谱带的比率发生改变,这种改变表明网络型的增加。虽然通常也可见Si-CH3和C-H谱带减少,但是这些特定谱带的减少最好是最小程度地减少或不发生这样的减少。
紫外线处理可成功地固化SiCOH CVD低介电常数薄膜。图4显示了两条CVD低介电常数薄膜的FTIR光谱:未经固化(实线)和在He中紫外线固化120秒(点线)。紫外线固化处理在加工室压力为环境压力、晶片温度为约380℃的条件下,使用HL-灯、He吹扫气进行。
虽然给出了一些具有代表性的实施方案和详细描述来举例说明本发明,但对于本领域技术人员来说,只要不脱离本发明的范围,可以对本发明公开的组成和方法进行各种改变。因此,本发明不限于所公开的实施方案,而是受下述权利要求的限定。

Claims (66)

1.一种紫外线固化的材料的制备方法,所述方法包括:
提供具有第一介电常数、第一弹性模量和第一材料硬度的介电材料;和
用紫外线固化所述介电材料得到具有第二介电常数、第二弹性模量和第二材料硬度的紫外线固化的介电材料,其中所述第二介电常数在第一介电常数的大约±20%的范围内、所述第二弹性模量和第二材料硬度分别大于第一弹性模量和第一材料硬度。
2.权利要求1的方法,其中所述介电材料选自有机材料、无机材料或它们的组合物。
3.权利要求1的方法,其中所述介电材料选自氢倍半硅氧烷(HSQ)介电材料、甲基倍半硅氧烷(MSQ)介电材料、碳掺杂的氧化物(CDO)介电材料、氢化的氧碳化硅(SiCOH)介电材料、苯并环丁烯(BCB)介电材料、基于芳基环丁烯的介电材料、基于聚亚苯基的介电材料或它们的组合物。
4.权利要求1的方法,其中所述介电材料通过使用如下方法在基体上沉积介电涂层制备:旋涂方法、化学蒸气沉积方法、等离子体辅助化学蒸气沉积方法、蒸发方法、活性蒸发方法或自组装沉积方法。
5.权利要求1的方法,其中所述介电材料选自紧密的介电材料、成孔剂形成的多孔介电材料、溶剂形成的多孔介电材料或分子工程产生的多孔介电材料或它们的组合物。
6.权利要求1的方法,其中所述介电材料的紫外线固化时间短于或等于大约300秒。
7.权利要求1的方法,其中所述介电材料的紫外线固化时间为约60-约180秒。
8.权利要求1的方法,其中在紫外线固化过程中所述介电材料的晶片温度高于或等于大约80℃。
9.权利要求1的方法,其中在紫外线固化过程中所述介电材料的晶片温度为大约室温至大约450℃。
10.权利要求1的方法,其中所述介电材料在低于或大约等于环境压力的加工压力下进行紫外线固化。
11.权利要求1的方法,其中所述介电材料在高于或大约等于环境压力的加工压力下进行紫外线固化。
12.权利要求1的方法,其中所述介电材料在约0.1-约2000mW/cm2的紫外线强度下进行紫外线固化。
13.权利要求1的方法,其中所述介电材料在加工气体吹扫下进行紫外线固化,其中所述吹扫气体选自N2、Oz、Ar、He、H2、水蒸气、COz、CxHy、CxFy、CxHzFy、空气和它们的组合物,其中x为1-6的整数,y为4-14的整数,和z为1-3的整数。
14.权利要求1的方法,其中所述介电材料在约100nm-约400nm的紫外线波长下进行紫外线固化。
15.权利要求1的方法,其中所述介电材料的第一弹性模量和紫外线固化的介电材料的第二弹性模量之间的弹性模量增量大于或等于大约50%。
16.权利要求1的方法,其中所述紫外线固化的介电材料的第二弹性模量大于或等于大约2.5GPa。
17.权利要求1的方法,其中所述紫外线固化的介电材料的第二弹性模量为约4GPa-约12GPa。
18.权利要求1的方法,其中所述介电材料的第一材料硬度和所述紫外线固化的介电材料的第二材料硬度之间的材料硬度增量大于或等于大约50%。
19.权利要求1的方法,其中所述紫外线固化的介电材料的第二材料硬度大于或等于大约0.25GPa。
20.权利要求1的方法,其中所述紫外线固化的介电材料的第二材料硬度为大约0.25-大约1.2GPa。
21.权利要求1的方法,所述方法进一步包括对所述紫外线固化的介电材料进行后紫外线处理,得到具有第三介电常数、第三弹性模量和第三材料硬度的经后紫外线处理的紫外线固化的介电材料,所述第三介电常数小于第二介电常数,第三弹性模量和第三材料硬度分别大于第二弹性模量和第二材料硬度、或者分别在第二弹性模量和第二材料硬度的大约±20%的范围内。
22.权利要求21的方法,其中所述经后紫外线处理的紫外线固化的介电材料的第三介电常数为约1.1-约3.5。
23.权利要求21的方法,其中所述经后紫外线处理的紫外线固化的介电材料的第三介电常数为约1.6-约2.4。
24.权利要求21的方法,其中所述后紫外线处理为热退火处理。
25.权利要求24的方法,其中所述紫外线固化的介电材料的退火温度低于或等于大约450℃。
26.权利要求24的方法,其中所述紫外线固化的介电材料的退火温度为大约150℃-大约450℃。
27.权利要求24的方法,其中所述紫外线固化的介电材料的退火时间不超过或等于大约60秒。
28.权利要求21的方法,其中所述后紫外线处理是等离子体处理,通过在高温下把紫外线固化的介电材料暴露在等离子体环境中进行。
29.权利要求28的方法,其中所述紫外线固化的介电材料在约500-约3000W的等离子功率下进行等离子体处理。
30.权利要求28的方法,其中所述紫外线固化的介电材料在约100-约450℃的温度进行等离子体处理。
31.权利要求28的方法,其中所述紫外线固化的介电材料的等离子体处理时间不超过或等于大约90秒。
32.权利要求28的方法,其中所述紫外线固化的介电材料在约1托-约10托的加工压力下进行等离子体处理。
33.权利要求28的方法,其中所述紫外线固化的介电材料使用等离子气体进行等离子体处理,其中所述等离子气体选自N2、O2、Ar、He、H2、CxHy、含氟气体或它们的组合物,其中x为1-6的整数,y为1-14的整数。
34.权利要求21的方法,其中所述后紫外线处理是将所述紫外线固化的介电材料暴露在紫外线环境中进行紫外线处理。
35.权利要求34的方法,其中所述紫外线固化的介电材料的紫外线处理时间不超过或等于大约300秒。
36.权利要求34的方法,其中所述紫外线固化的介电材料的紫外线处理时间为约60-约180秒。
37.权利要求34的方法,其中所述所述紫外线固化的介电材料在大约室温至大约450℃的温度下进行紫外线处理。
38.权利要求34的方法,其中所述紫外线固化的介电材料在小于或等于大约环境压力的加工压力下进行紫外线处理。
39.权利要求34的方法,其中所述紫外线固化的介电材料在大于或等于大约环境压力的加工压力下进行紫外线处理。
40.权利要求34的方法,其中所述紫外线固化的介电材料在约0.1-约2000mW/cm2的紫外线强度下进行紫外线处理。
41.权利要求34的方法,其中所述紫外线固化的介电材料在加工气体吹扫下进行紫外线处理,其中所述吹扫气体选自N2、Oz、Ar、He、H2、水蒸气、COz、CxHy、CxFy、CxHzFy、空气和它们的组合物,其中x为1-6的整数,y为4-14的整数,z为1-3的整数。
42.权利要求34的方法,其中所述紫外线固化的介电材料在约100nm-约400nm的紫外线波长下进行紫外线处理。
43.权利要求1的方法,其中所述紫外线固化可在真空或者不存在氧或氧化气体的条件下进行。
44.一种通过权利要求1的方法制得的紫外线固化的介电材料。
45.一种通过权利要求21的方法制得的经后紫外线处理的紫外线固化的介电材料。
46.一种通过权利要求1的方法制得的含紫外线固化的介电材料的电子设备。
47.一种通过权利要求21的方法制得的含经后紫外线处理的紫外线固化的介电材料的电子设备。
48.一种通过权利要求1的方法制得的具有紫外线固化涂层的基体。
49.一种通过权利要求21的方法制得的具有经后紫外线处理的紫外线固化涂层的基体。
50.权利要求1的方法,所述方法还包括:
将介电材料放入加工室内;
对加工室进行抽真空或吹扫,除去室内的空气;
向加工室内通入加工气体;
将所述介电材料加热至大约450℃或以下的加工温度;并
将所述介电材料暴露在约100nm-约400nm波长的紫外线辐射下。
51.权利要求50的方法,其中所述紫外线辐射由选自以下的紫外线光源提供:微波驱动的光源、电弧放电光源、介质阻挡放电光源或电子碰撞产生的光源。
52.权利要求50的方法,其中加热所述介电材料的加热源选自红外光源、光学光源、热表面光源或紫外线光源。
53.一种紫外线固化的介电材料,所述介电材料的介电常数为约1.1-约3.5,弹性模量和材料硬度分别大于未经紫外线固化的介电材料的弹性模量和材料硬度约50%。
54.一种紫外线固化的介电材料,所述介电材料的介电常数为约2.0-约2.9,弹性模量和材料硬度分别大于未经紫外线固化的介电材料的弹性模量和材料硬度约50%。
55.一种制备紫外线固化材料的方法,所述方法包括:
提供未经固化的高密度低介电常数材料;和
在不超过大约5分钟、不超过大约450℃下将所述介电材料进行紫外线固化,得到紫外线固化的介电材料,其中紫外线固化比加热炉固化方法的总热预算减少大于约50%。
56.权利要求55的方法,其中所述介电材料选自有机材料、无机材料或它们的组合物。
57.权利要求55的方法,其中所述介电材料选自氢倍半硅氧烷(HSQ)介电材料、甲基倍半硅氧烷(MSQ)介电材料、碳掺杂氧化物(CDO)介电材料、氢化的氧碳化硅(SiCOH)介电材料、苯并环丁烯(BCB)介电材料、基于芳基环丁烯的介电材料、基于聚亚苯基的介电材料或它们的组合物。
58.权利要求55的方法,其中所述介电材料通过使用以下方法,在基体上沉积介电涂层制备:旋涂方法、化学蒸气沉积方法、等离子辅助化学蒸气沉积方法、蒸发方法、活性蒸发方法或自组装沉积方法。
59.权利要求55的方法,其中所述介电材料选自紧密介电材料、成孔剂形成的多孔介电材料、溶剂形成的多孔介电材料或分子工程产生的多孔介电材料或它们的组合物。
60.权利要求55的方法,其中所述介电材料的紫外线固化时间为约60-约180秒。
61.权利要求55的方法,其中所述介电材料在紫外线固化过程中的晶片温度为约室温至约450℃。
62.权利要求55的方法,其中所述介电材料在小于或约等于环境压力的加工压力下进行紫外线固化。
63.权利要求55的方法,其中所述介电材料在大于或大约等于环境压力的加工压力下进行紫外线固化。
64.权利要求55的方法,其中所述介电材料在约0.1-约2000mW/cm2的紫外线强度下进行紫外线固化。
65.权利要求55的方法,其中所述介电材料在加工气体吹扫下进行紫外线固化,其中所述吹扫气体选自N2、Oz、Ar、He、H2、水蒸气、COz、CxHy、CxFy、CxHzFy、空气和它们的组合物,其中x为1-6的整数,y为4-14的整数,z为1-3的整数。
66.权利要求55的方法,其中所述介电材料在约100nm-约400nm的波长下进行紫外线固化。
CNA2004800265492A 2003-07-21 2004-06-07 制备高密度低介电常数材料的紫外线固化方法 Pending CN1852772A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/623,729 US6756085B2 (en) 2001-09-14 2003-07-21 Ultraviolet curing processes for advanced low-k materials
US10/623,729 2003-07-21

Publications (1)

Publication Number Publication Date
CN1852772A true CN1852772A (zh) 2006-10-25

Family

ID=34103209

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800265492A Pending CN1852772A (zh) 2003-07-21 2004-06-07 制备高密度低介电常数材料的紫外线固化方法

Country Status (7)

Country Link
US (1) US6756085B2 (zh)
EP (1) EP1649504A2 (zh)
JP (1) JP2006528426A (zh)
KR (1) KR20060039923A (zh)
CN (1) CN1852772A (zh)
TW (1) TW200504131A (zh)
WO (1) WO2005010971A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102386128A (zh) * 2011-07-25 2012-03-21 复旦大学 一种修饰SiCOH薄膜微结构的方法
CN103295881A (zh) * 2013-06-04 2013-09-11 上海华力微电子有限公司 去除硅片表面低介电材料的方法
CN104209254A (zh) * 2014-08-15 2014-12-17 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9147589B2 (en) 2009-11-12 2015-09-29 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
CN105374676A (zh) * 2014-08-07 2016-03-02 朗姆研究公司 低k电介质膜的形成

Families Citing this family (450)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235192B2 (en) 1999-12-01 2007-06-26 General Electric Company Capped poly(arylene ether) composition and method
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
DE10343411B4 (de) * 2003-09-19 2009-07-23 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine und Verfahren zum freien Zugänglichmachen eines Druckzylinders oder eines Linearführungs-Zylinders
US7148296B2 (en) * 2003-10-03 2006-12-12 General Electric Company Capped poly(arylene ether) composition and process
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
WO2005100891A1 (ja) * 2004-04-12 2005-10-27 Kitakyushu Foundation For The Advancement Of Industry, Science And Technology マイクロ波を用いた減圧乾燥方法及びその装置
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
WO2005108469A1 (ja) * 2004-05-11 2005-11-17 Jsr Corporation 有機シリカ系膜の形成方法、有機シリカ系膜、配線構造体、半導体装置、および膜形成用組成物
JP5110239B2 (ja) * 2004-05-11 2012-12-26 Jsr株式会社 有機シリカ系膜の形成方法、膜形成用組成物
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7329708B2 (en) * 2004-08-18 2008-02-12 General Electric Company Functionalized poly(arylene ether) composition and method
US7338575B2 (en) * 2004-09-10 2008-03-04 Axcelis Technologies, Inc. Hydrocarbon dielectric heat transfer fluids for microwave plasma generators
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
TWI270965B (en) * 2004-10-14 2007-01-11 Advanced Semiconductor Eng Manufacturing method of passivation layer on wafer and manufacturing method of bumps on wafer
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7994069B2 (en) * 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
EP1941539A1 (en) * 2005-06-03 2008-07-09 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
EP1889287A1 (en) * 2005-06-09 2008-02-20 Axcelis Technologies, Inc. Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
JP4563894B2 (ja) * 2005-08-19 2010-10-13 富士通株式会社 シリカ系被膜の製造方法および半導体装置の製造方法
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7416990B2 (en) * 2005-12-20 2008-08-26 Dongbu Electronics Co., Ltd. Method for patterning low dielectric layer of semiconductor device
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8083833B2 (en) * 2006-03-10 2011-12-27 Uop Llc Flexible template-directed microporous partially pyrolyzed polymeric membranes
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
JPWO2008018419A1 (ja) * 2006-08-11 2009-12-24 塩谷 喜美 半導体製造装置及び半導体製造方法
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080071034A1 (en) * 2006-09-15 2008-03-20 Christina Louise Braidwood Poly(arylene ether) composition and method
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
KR101562681B1 (ko) * 2007-06-15 2015-10-22 에스비에이 머티어리얼스 인코포레이티드 저유전율 유전체
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
KR101538531B1 (ko) * 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP5864095B2 (ja) * 2010-02-18 2016-02-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
KR20120033643A (ko) 2010-09-30 2012-04-09 삼성전자주식회사 다공성 저유전막 제조방법 및 이를 이용한 반도체 소자의 제조방법
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
WO2012138866A1 (en) 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201348496A (zh) * 2012-02-15 2013-12-01 Renesas Electronics Corp 多孔性絕緣膜的製造方法以及包含該膜的半導體裝置
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2876098B1 (en) 2013-11-21 2016-07-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Extractive distillation in a norbornadiene purification method
EP2876099B1 (en) 2013-11-21 2017-11-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Norbornadiene purification method
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10319657B2 (en) * 2015-03-27 2019-06-11 Hewlett-Packard Development Company, L.P. Circuit package having a plurality of epoxy mold compounds with different compositions
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105742165A (zh) * 2016-02-26 2016-07-06 上海华力微电子有限公司 半导体晶圆紫外光固化方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
WO2018212999A1 (en) 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11450526B2 (en) * 2018-05-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic spin-on coating process for forming dielectric material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115179469B (zh) * 2022-07-21 2023-06-23 江苏邑文微电子科技有限公司 一种应用于半导体器件的聚酰亚胺烘箱固化工艺转化方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4636440A (en) * 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS62149308A (ja) 1985-12-20 1987-07-03 Mitsubishi Paper Mills Ltd 複合膜の製造方法
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4749631B1 (en) * 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) * 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) * 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
JPH0832304B2 (ja) * 1989-08-18 1996-03-29 株式会社日立製作所 無機ポリマ薄膜の形成方法
US5010159A (en) * 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5210601A (en) 1989-10-31 1993-05-11 Kabushiki Kaisha Toshiba Compression contacted semiconductor device and method for making of the same
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5116637A (en) * 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) * 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) * 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) * 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5855953A (en) * 1994-03-31 1999-01-05 The Regents, University Of California Aerogel composites and method of manufacture
US5547703A (en) * 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) * 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
AU6973296A (en) * 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) * 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6303192B1 (en) * 1998-07-22 2001-10-16 Philips Semiconductor Inc. Process to improve adhesion of PECVD cap layers in integrated circuits
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6143955A (en) * 1999-01-29 2000-11-07 Pioneer Hi-Bred International, Inc. Soybean variety 93B07
US6558747B2 (en) * 1999-09-29 2003-05-06 Kabushiki Kaisha Toshiba Method of forming insulating film and process for producing semiconductor device
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6313045B1 (en) * 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6359096B1 (en) * 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6143360A (en) * 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9147589B2 (en) 2009-11-12 2015-09-29 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
TWI608121B (zh) * 2009-11-12 2017-12-11 諾發系統有限公司 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
CN102386128A (zh) * 2011-07-25 2012-03-21 复旦大学 一种修饰SiCOH薄膜微结构的方法
CN103295881A (zh) * 2013-06-04 2013-09-11 上海华力微电子有限公司 去除硅片表面低介电材料的方法
CN105374676A (zh) * 2014-08-07 2016-03-02 朗姆研究公司 低k电介质膜的形成
CN105374676B (zh) * 2014-08-07 2019-01-18 朗姆研究公司 低k电介质膜的形成
CN104209254A (zh) * 2014-08-15 2014-12-17 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法

Also Published As

Publication number Publication date
EP1649504A2 (en) 2006-04-26
US20040018319A1 (en) 2004-01-29
TW200504131A (en) 2005-02-01
JP2006528426A (ja) 2006-12-14
KR20060039923A (ko) 2006-05-09
US6756085B2 (en) 2004-06-29
WO2005010971A3 (en) 2005-04-28
WO2005010971A2 (en) 2005-02-03

Similar Documents

Publication Publication Date Title
CN1852772A (zh) 制备高密度低介电常数材料的紫外线固化方法
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
CN1695235A (zh) 多孔低介电常数材料的等离子固化方法
TW524773B (en) Plasma processing for porous silica thin film
JP5030478B2 (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
EP1790703B1 (en) Coating liquid for forming silica-based film having low dielectric constant and substrate having film of low dielectric constant coated thereon
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
CN1211842C (zh) 从聚碳硅烷形成的低介电常数聚有机硅涂料
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
CN1645608A (zh) 低k和超低k SiCOH介质膜及其制作方法
TW200428494A (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TW200413559A (en) Non-thermal process for forming porous low dielectric constant films
CN1669130A (zh) 用于低介电常数材料的夹层增粘剂
WO2007020878A1 (ja) 多孔質シリカの製造方法および製造装置
EP1855313A1 (en) Process for producing modified porous silica film, modified porous silica film obtained by the process, and semiconductor device employing the modified porous silica film
CN1146964C (zh) 使纳米级微孔二氧化硅机械强度最优化的方法
CN1836017A (zh) 涂料组合物和通过使用该涂料组合物制得的低介电硅质材料
CN1536023A (zh) 多孔膜形成用组合物,多孔膜的制备方法,多孔膜、层间绝缘膜和半导体器件
JP2007220750A (ja) 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
JP4422643B2 (ja) 多孔質フィルムの製造方法ならびに層間絶縁膜、半導体材料および半導体装置
CN1535300A (zh) 硅氧烷树脂
JP4261297B2 (ja) 多孔質フィルムの改質方法、改質された多孔質フィルム及びその用途
JP2003342411A (ja) 多孔質ナノコンポジット薄膜及びその形成方法
CN1532896A (zh) 半导体器件的制造方法
CN101101876A (zh) 在还原气氛下固化介电膜

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication