CN1759466B - 衬底支架提升机械装置 - Google Patents
衬底支架提升机械装置 Download PDFInfo
- Publication number
- CN1759466B CN1759466B CN2004800067010A CN200480006701A CN1759466B CN 1759466 B CN1759466 B CN 1759466B CN 2004800067010 A CN2004800067010 A CN 2004800067010A CN 200480006701 A CN200480006701 A CN 200480006701A CN 1759466 B CN1759466 B CN 1759466B
- Authority
- CN
- China
- Prior art keywords
- substrate support
- groove
- flange
- yoke piece
- gimbal base
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 147
- 230000033001 locomotion Effects 0.000 claims abstract description 6
- 238000000034 method Methods 0.000 claims description 65
- 230000015572 biosynthetic process Effects 0.000 claims description 6
- 230000001737 promoting effect Effects 0.000 claims description 3
- 238000012545 processing Methods 0.000 abstract description 16
- 239000007789 gas Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 239000004411 aluminium Substances 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 4
- 230000012447 hatching Effects 0.000 description 3
- 238000009434 installation Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 240000000233 Melia azedarach Species 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
Abstract
提供了一种用于在处理室中定位衬底支架的装置。在一实施例中,用于定位衬底支架的装置包括万向节机械装置,其有径向对准的夹紧部件,基本避免了在夹紧时连接到万向节机械装置的衬底支架脱离预定平面的移动。在另一实施例中,用于定位衬底支架的装置包括在处理室中的衬底支架。一柄与衬底支架相连,在处理室中延伸,且连接到万向节装置。万向节装置有一径向夹紧机械装置,其适于围绕多轴调整衬底支架的平面方向,而不在夹紧时对衬底支架施加旋转力矩。轴承组件有第一托架台和第二托架台,连接万向节装置。驱动器连接至少一个托架台,且适于在处理室中控制衬底支架的提升。
Description
发明背景
技术领域
本发明的实施例一般涉及一种用于控制衬底支架在处理室中位置的提升机械装置。
背景技术
许多半导体处理操作,例如化学气相沉积、物理气相沉积和蚀刻、及其他操作,都是要在真空环境下进行的。通常,衬底是被置于一位于处理室内的可移动的衬底支架上。衬底支架的提升是由一个提升机械装置控制的,由此使衬底可被安放在处理室中一个较低的位置上以便进行衬底的传送,及被安放在一个升高的位置上——这典型地强化了衬底处理。由于在升高位置,处理室的顶部与放置在衬底支架上的衬底之间的间距可能是200密耳或者更近,所以在保持衬底的宽度方向上的处理均匀性及确保各衬底之间的处理可重复性方面,处理室的顶部与衬底支架之间的平行度是一个重要因素。例如,如果衬底的一边比衬底的另一边更靠近处理室的顶部,则流过衬底与处理室顶部之间的气体将倾向于不利地主要从具有最宽间距的间隙部分流过,导致在衬底的宽度方向上的处理不均匀。此外衬底与处理室顶部之间距离的变化通常会导致在等离子增强的处理中的处理不均匀。因为形成于衬底与处理室顶部之间的等离子体的强度取决于衬底支架与处理室顶部之间的规定的电场强度,该电场强度又依次取决于衬底支架与处理室顶部之间的距离。如果这个距离是不均匀的,等离子体在衬底支架的宽度方向上就不会是均匀的,且促使处理不均匀。此外,在极端的条件下,如果衬底支架的平面未被定向成垂直于处理室的中心线,则被支撑在其上的晶片的平面相便会相对于传送机械臂(该机械臂被用来从处理室来回传送衬底)的工作面歪斜。在这样的情况下,传送机械臂的末端执行器可能意外碰到衬底,导致特殊情况发生且可能把衬底从对准位置撞开。此外,如果顶升杆机械装置不是与衬底支架平行的,用来使衬底从衬底支架脱离的顶升杆就可能不是均匀地伸展穿过衬底支架的,因此便使得衬底被支撑在一倾斜位置上,而如上所述,这会在以传送机械臂进行传送时导致损坏。
通常,大多数衬底支架是通过一种机械结构连接到其提升机械装置的,其连接方式使得衬底支架的平面能够被调整成垂直于处理室的中心线。然而,许多被用来对衬底支架进行水平调节的调整装置都难以固定在所需位置。此外,大多数调整装置在固定过程中不能防止偏移,这对于需要精密的平行公差的系统来说是不利的。
图8说明传统的夹紧装置800的一实施例,该装置通常用在处理室820中,用于使衬底支架810连接到提升机械装置816。夹紧装置800通常有一夹钳台802,在夹钳台中设置有孔804。孔804容纳从支撑衬底支架810的柄808的下部伸出的轴806。因此,当调整轴806在夹钳台806内的方向时,衬底支架的平面的角度也可以关于轴806的中心线而得到调整。
这样一种衬底支架810的缺点在于,被用于绕轴806来夹紧孔804的螺钉812在轴802上施加了一个切向力,因此而在衬底支架810上施加了旋转力矩,如图中箭头814所示。所以,当衬底支架810被夹紧到位时,沿轴806的切线方向的夹紧力便使得衬底支架810的平行度从预定的所需位置发生不利的偏移。
因此,需要一种用来控制衬底支架的位置的装置。
发明内容
本发明提供了一种用于在处理室中定位衬底支架的装置。在一实施例中,一种用于定位衬底支架的装置包括一个万向节机械装置,该万向节机械装置具有径向上对准的夹紧力,在夹紧过程中,该夹紧力基本上避免了连接到万向节机械装置的衬底支架脱离预定平面的运动。在另一实施例中,一种用于定位衬底支架的装置包括一放置在处理室中的衬底支架。有一柄与此衬底支架相连,其延伸穿过处理室且连接到一万向节装置。万向节装置有一径向夹紧机械装置,其适于关于多个轴调整衬底支架的平面方向,而不会在夹紧过程中,在衬底支架上施加旋转力矩。一轴承组件具有第一托架台和第二托架台,该轴承组件被连接到万向节装置。一驱动器被连接到至少一个托架台,且其适于控制衬底支架在处理室中的提升。
附图说明
以上简要概述了本发明,其更具体的描述是通过参考其实施例,及通过附图所作的说明来给出的。然而应该注意的是,附图所说明的仅仅是本发明的典型实施例,且其因此而不能被认为是对本发明范围的限定,因为本发明还能够用于其他等效的实施例。
图1是一个半导体处理室的简化剖视图,该处理室有具有本发明的衬底支架提升组件;
图2A-2B是轴承组件的一个实施例的剖视图和主视图;
图3是万向节组件的一个实施例的分解图;
图4是一个万向节组件的剖视图,该图是沿图3中的剖面线4-4截取的;
图5是一个万向节组件的剖视图,该图是沿图3中的剖面线5-5截取的;
图6是一个顶升杆驱动装置的实施例的剖视图;
图7是一个顶升杆驱动装置的剖视图,其是沿图6中的剖面线7-7截取的;
图8是一个用于将衬底支架连接到提升机械装置的传统夹紧装置的剖视图。
为了便于理解,本文尽可能使用相同的参考编号来标识各个附图中的相同部件。
具体实施方式
图1是一半导体处理室100的简化剖视图,此处理室有一衬底支架提升组件102,用来控制放置在处理室100中的衬底支架104的提升。适合受益于本发明处理室的示例包括了WzX和TxZTM金属制化学气相沉积室,这两种化学气相沉积室都可以从位于加州Santa Clara的应用材料有限公司获得。虽然衬底支架提升组件的一个实施例被说明是连接到化学气相沉积室,但是衬底支架提升组件也可用于其他处理室中,如物理气相沉积室、蚀刻室、离子注入室、快速热处理室,以及其他需要衬底支架和室盖的平行度的室。
处理室100典型地包括侧壁106和底部108,其支撑盖110,从而构成处理室的内部体积112。处理室100典型地是由单个铝块制成的,或是由不锈钢钢板焊接制成的。处理室100的至少一壁108包括一衬底进入口114,以便从处理室100中放入和取出衬底。
排气口146通常是放置在处理室100的侧壁106或者底部108中,且连接到抽吸系统148。抽吸系统148一般包括多种抽吸组件,如节流阀和涡轮分子泵,以在处理过程中排空、和将处理室100的内部体积112保持在预定压力下。
处理室100的盖110通常是可移动的,从而允许进入处理室100的内部体积112之内。喷头116连接到盖110的内壁118上,且在处理过程中处于放置在衬底支架上的衬底120的表面上方,以便在处理室中均匀地分配处理气体。在2000年6月22日提交的美国专利申请序列号09/603117中描述适当喷头的一个示例,本说明书将其全部并入作为参考。
气体源122典型地被连接到处理室100,以提供处理气体和其他气体给内部体积112。在一个实施例中,盖110包括入口124,通过该入口,处理气体或其他气体从气体源122被提供给在喷头116与盖110的内表面112之间形成的增压室。增压室让处理气体和其他气体能够供应到处理室100的内部体积112之内,而沿着喷头116的后侧散布开,从而提高通过喷头116而分布在衬底120上的气体分配的均匀性。
衬底支架104被设置于处理室100的内部体积112中,且能够被控制而沿着中心轴150、在一处理位置和一较低的传送位置之间提升,其中上述处理位置接近于喷头116,而较低的传送位置则与进入口114对齐。衬底支架104可以是基座、加热器、真空吸盘、静电吸盘或其他在处理和传送时用于支撑衬底120的平台。衬底支架104可以由陶瓷、铝、不锈钢或其他适应处理温度和化学条件的材料制成。能够由本发明而受益的衬底支架的两个示例描述于2000年6月19日提交的美国专利申请序列号09/596854,和2000年1月22日提交的美国专利申请序列号10/055634中,在此将其全部并入作为参考。
衬底支架104有一上表面126,其支撑衬底120,还有一对应的下表面128,其面向处理室底部108。多个孔130(图1中显示了一个)被制成为穿过衬底支架104,且适于容纳顶升杆132,顶升杆132被用于衬底传送过程中使衬底120与上表面126分隔开。顶升杆132通常有向外张开的第一端134以及第二端136,上述第一端134与衬底支架104的上表面126齐平或者稍微下凹,第二端136则伸展到衬底支架104的下表面128之外。
顶升杆132的第二端136可以被顶升杆驱动机械装置138有选择地驱动。顶升杆驱动机械装置138使顶升杆132的第二端136朝向衬底支架104的下表面128移动,导致顶升杆132的向外张开的第一端134凸出于上表面126之上,从而将衬底120与衬底支架104分隔开。一种适合得益于本发明的顶升杆驱动机械装置描述于2000年10月26日提交的美国专利序列号09/698814,在此将其全部并入作为参考。
穿过开口142而设置柄140,上述开口142形成于处理室100的底部108中,且将衬底支架104连接到衬底支架提升机械装置102。柄140典型地是空心的或者包含有一在其中形成的通道,以便将电源线、传感器、控制线、流体管线和其他设施(图中未示)从衬底支架104连接到处理室100的外部。柄140典型地被设置成外接波纹管144,从而提供灵活的密封,其便于控制衬底支架104的提升,而不会在处理室100的内部体积112中造成真空泄漏。
衬底支架提升机械装置102被构造成让衬底支架104能够沿5个轴进行调整,且通常包括驱动器160、轴承组件162和万向节组件164。在一实施例中,轴承组件162被连接到处理室底部108的外侧。万向节组件164被连接到柄140与轴承组件162之间,并且是被驱动器160驱动的,用于控制衬底104的提升。
图2A-2B是轴承组件162的一个实施例的剖视图和主视图。轴承组件162是通过安装托架230而被安装在处理室底部108的。安装托架230包括基座214,该基座通过多个紧固件216连接到处理室底部108。安装凸缘232从基座214伸出,且通过多个紧固件234连接到轴承组件162。
轴承组件162包括一个矩形构架202和至少两个托架台204、206。矩形构架202有第一端208,第一端208适于连接到处理室底部108,而与第二端210被两个纵向构件212分隔开。在两个纵向构件212之间连接一中央构件244,从而形成C形截面。中央构件244为两个纵向构件212提供了几何稳定性。中央构件244包含多个安装孔242,多个紧固件234从安装孔242中穿过,并拧入形成于安装托架230的安装凸缘232之内的螺孔238中。
纵向构件的相对表面包括轴承面218,托架台204、206便处于轴承面218之间。轴承面218可以是纵向构件212的一组成部分,或者作为选择,也可以是轴承的独立的外部滚道,其便于托架台204和206沿着构架202运动。
对设置于在托架台204、206与构架202之间的多个轴承(图中未示)来说,轴承面218和托架台204、206构成了内滚道和外滚道。上述轴承可以是滚珠轴承、滚柱轴承或者锥形轴承,且其通常被用来环绕在各个托架台204和206周围。托架台204、206各自包括多个安装孔220,以便将轴承组件162连接到万向节组件164。在图2A-2B所示实施例中,托架台204、206各自包括4个安装孔220,这些安装孔有螺纹以容纳被用来固定轴承组件162和万向节组件164的紧固件246(如图2A中虚线所示)。
驱动器160通常安装在构架202的第二端210,但是也可以选择安装在其他让驱动器能够控制托台架204、206相对于构架202的位置的结构上。驱动器160可以是电动机、气马达、气压缸、水压缸、螺线管、滚珠丝杠、导螺杆,或适用于控制托架台204、206的线性位置的其他运动部件。驱动器160被连接到控制驱动器的运动的控制器222。在图2B所示实施例中,驱动器160是步进电动机或者伺服电动机(server motor),其驱动导螺杆224。导螺杆224穿过推力螺母226,推力螺母226被配置或者联结到第二托架台206上。当驱动器160可控地旋转导螺杆224时,导螺杆224便在被固定于第二托架台206中的螺母226上施加力,这使得螺母226和托架台206沿构架202移动。
通常将一个或多个传感器228连接到衬底支架提升组件102,用于为控制器222提供表明衬底支架在处理室100中提升的位置反馈。传感器228可以包括LVDT传感器、非接触式传感器、读取开关(readswitch)、Halifax开关、接近开关、限位开关、电动机编码器(motorencoder)等等。在图2B所示实施例中,传感器228包括第一接近开关和第二接近开关,它们连接到轴承组件162的构架202。当托架台204、206在构架202的第一端208和第二端210之间运动时,托架台204、206之中至少有一个临近接近开关(例如传感器228),从而改变该开关的状态或者输出,由此指示衬底支架104到达预定位置。传感器228在构架202上的位置是可调整的,因此衬底支架104的行程可以被设定在一预定运动范围之内,并且可以调整到处理室100中一预定提升高度上。通过构架202中两个托架台202、206的组合,作用在处理室100的内部体积112中的衬底支架104上的真空力导致在提升组件上的高扭转(tortional)负荷分布于较大的承载轴承面上,显著地使总体机械偏斜最小化,并因此而有利地保持了衬底支架104与喷头116的平行度。这种双托架轴承组件162在横向偏斜方面提供了优于传统的单托架轴承组件的四重改进。
图3展示出万向节组件164的一个实施例的分解图。万向节组件164包括一夹钳台302,一轭形件304和一万向节底座306。夹钳台302通常是由铝或其他适当的材料制成的,且包括被用来把万向节组件164连接到托架台204、206上的多个安装孔308。在图3所示实施例中,每一安装孔308都包括一个埋头孔310,用于容纳各紧固件246(图3显示了一个)的头部,紧固件246拧入形成于托架台204、206中的一个相应的安装孔220内。
夹钳台302包括一在其内部形成的夹紧开口314。夹紧开口314适用于容纳从轭形件304伸出的柱318,以在夹钳台302内部确保轭形件304的方向,同时让柱318能够相对于夹紧开口314进行轴向调整和旋转调整。
图4是夹钳台302的剖视图,展示了夹紧开口314的一实施例。夹紧开口314通常被构造成在基本垂直于衬底支架104的中心轴150的方向上,至少部分穿过夹钳台302。槽402被构造成至少部分穿过在夹钳台302,与夹紧开口314相连通。槽402典型地在径向上与夹紧开口314的中心404对齐。槽402适用于容纳柱塞406,该柱塞可被推动而通过槽406抵靠到轭形件304的柱318上,因此便相对于夹钳台302固定了轭形件304的位置和旋转方向。可通过任何适当的方式,包括螺杆、电动机、螺线管、中心钳(center clamp)、夹钳、静电力等等,来使柱塞406被推动抵靠到柱318和轭形件304上。
在图4所示实施例中,夹钳台302包括第一表面410,该第一表面与第二表面412相对地布置,且由侧表面414与之分隔开。侧表面414典型地被设计用于在槽402中引导柱塞406,因此而使第一表面410的中心416被推动与柱318在径向上接合。紧固件418被设置成穿过形成于板420中的螺孔422,该板与夹紧开口314相对地限定了槽402的另一端。随着紧固件418通过螺纹穿过板420,紧固件418的一端便与柱318相接触,推动柱塞406抵靠到轭形件304的柱318上,从而牢固地把柱318夹钳在夹钳台302的开口314内。可用锁紧螺母或者等效的固定手段来防止紧固件418从板420中后退出来,并避免柱318移动。因为柱塞406与孔314和轭形件304的柱318沿着一条假想线426(该假想线由孔314中心定义并穿过槽402的中心)保持径向对准,所以夹紧力是在径向上对准的,且在夹紧过程中避免了扭矩的产生,这有利地防止了轭形件304在夹钳过程中相对于夹钳台302旋转。此外在夹紧期间,轭形件304的角度取向也有利地得到了保持,这保证了反应室内衬底支架104在夹紧期间相对于喷头116的平行度。
为了进一步防止在夹紧期间可能导致轭形件304相对于夹钳台302旋转的扭矩,柱塞406的夹紧面424可以被构造成与柱318在两个位置接触。例如,柱塞406的夹紧面424可以包括V形结构、阶梯形结构、凹陷、或曲面,其被构造成在至少两个位置上啮合柱318,其中这至少两个位置是与中心等距的。
返回图3,轭形件304通常是由铝或其他适当的刚性材料制成的,且包括一个从柱318伸出的分叉端330。分叉端330包括一横向构件332,该横向构件以柱318为中心,且被设置在相对于柱318的中心轴的基本垂直的方向上。在横向构件332的第一端338上形成有第一安装凸缘334。在横向构件332的第二端340上形成有第二安装凸缘336,且第二安装凸缘336典型地被定向为与柱318的中心轴平行。
安装凸缘334、336各自包括被面向内侧的侧面346分隔开的上面342和下面344。在安装凸缘334、336之间,相邻的安装凸缘334、336的侧面346构成一空间,允许布设各种通往柄140外的管道和线路。
在一实施例中,凸缘334、336各自的上面342是弯曲的,以便于调整放置在安装凸缘334、336上的万向节底座306的方向。在图3所示实施例中,上面342的弧形通常相对于轴348具有约305到约304mm的半径,轴348被定向为基本垂直于柱318的中心轴的一平移线。安装凸缘334、336各自的下面344通常是凸面,且被构造成具有根据轴348来定义的半径。
安装凸缘334、336各自包括由其自身中穿透、在上面342与下面344之间形成的一槽350。槽350被设置用来容纳从万向节底座306伸出的紧固件或者柱螺栓352。在安装柱螺栓352从安装凸缘334、336的下面344伸出的部分上,通常设置有压紧块354。在每个柱螺栓352上,拧上且拧紧螺母356,以推动万向节底座306的底面370抵靠到安装凸缘334、336的上面342。压紧块354的接触面358通常被构造成具有与安装凸缘334、336的下面344相同的半径,以在柱螺栓352上保持轴向压力,当螺母356被拧紧时,此轴向压力基本上消除了柱螺栓352沿安装凸缘334、336的下面344的横向偏移。有利的是,将万向节底座306连接到轭形件304的这种方式,使得衬底支架104的支撑面能够围绕垂直于轭形件304中心轴的轴348进行调节,且固定在该位置,而不会在柱螺栓上产生任何可能损害衬底支架104与喷头116的平行度的横向力。
图5是轭形件304和万向节底座306的剖视图。为进一步提高万向节底座306和轭形件304之间对准的精确度,与每个安装凸缘334、336的上面342接合的万向节306的底面370被构造成与安装凸缘334、336的上面342在两处部位接触。例如,在图5所示实施例中,在底面370中围绕每个柱螺栓352形成一阶梯状凹槽534。此阶梯状凹槽534提供了对称环绕柱螺栓352的双重接触点,因此当螺母356被拧紧时,避免了万向节底座306在轭形件304上的摆动,从而在夹紧期间保持了衬底支架104的所需平面方向。
附带性地参考图3,万向节底座306典型地设置有一槽或者中心开口360,以便于布设各种接到柄140之外的管道和线路。万向节底座306还包括多个在其内部形成的安装孔362,此多个安装孔相应地容纳多个紧固件364(图3描述了其中之一),这些紧固件被拧入形成于柄140的底板320中的螺孔534之内,从而将万向节底座306连接到柄140。安装孔362包括埋头孔530,由于有此埋头孔,紧固件364便得以安放在万向节底座306的底面370以下。形成于轭形件304中的孔532基本上与埋头孔530对齐,且其直径足以让紧固件364在轭形件304与进入万向节底座306内之间的角度方向的范围中,穿过轭形件304而进入万向节底座306之内,从而能够在衬底支架104进行角度调整之后,允许衬底支架104被移开。孔532可选择作为槽350的一部分。因为万向节组件164不易因夹紧机械装置在轴向和径向的负载而偏移,所以柄140的底板320可以从万向节底座306上松开,以便移走、表面和/或更换衬底支架104,而无需在重新安装衬底支架104时,相对于盖110的平面和喷头116的平面重新调整衬底支架104。
图6展示了顶升杆驱动装置138的一个实施例。顶升杆驱动装置138典型地包括一环形提升板602,该提升板被置于顶升杆132的第二端136之下,且围绕柄140。提升板602通常是由铝制成的,且可包括多个陶瓷接触垫604,该接触垫适于当提升板602朝向衬底支架104受到推动时接触顶升杆134。
在一个实施例中,顶升杆驱动装置138包括被驱动杆606连接到驱动组件608的提升板602。为减小提升板602与杆606之间的偏差,提升板602与杆606的配合面包括多个相配合的楔形键614、616(参看图7)。键614、616通常被设计成在处理温度下伸长并形成过盈配合,以此消除提升板602和杆606间与部件配合公差相关的移动。以类似方式连接的提升板和杆的一实施例描述于在2000年10月31日提交的美国专利申请序列号09/652727中,在此将其并入本文作为参考。
杆606延伸穿过形成于处理室100的底部108中的开口610,且连接到驱动组件608。通常在杆606的周围设置一波纹管602,以在处理室100与顶升杆驱动装置138之间提供柔性密封,从而避免处理室中的泄漏,同时又便于驱动顶升杆132。
驱动组件608典型地连接于杆606与处理室底部108之间,以控制提升板602和顶升杆132的提升。驱动组件608可包括螺线管、齿轮电动机,或其他适于在轴向方向可控制地对轴进行驱动的驱动器。在一实施例中,驱动组件608类似于上文所描述的轴承组件和驱动器。
用一翼梁630来把杆606连接到驱动组件608。翼梁通常是由铝或其他适当的刚性材料制成的。翼梁630包括安装面632和相对的下面638,安装面632和下面638被第一侧面634和第二侧面636分隔开。翼梁630的安装面632被多个紧固件640连接到杆606。第二侧面636通常连接到驱动组件608的轴承组件644。下面638可包括斜面642,该斜面位于第一侧面634和下面638的接合处。
侧面634、636通常比安装面632长,以使驱动机械装置138由于真空力作用在安装于室100中的环形板602上而产生的偏差减至最小。为进一步强化翼梁630,下面638通常从第二侧面636伸出,在斜面642前,超过杆606的中心线618。因为翼梁630的刚性强于传统设计中普遍采用的传统L形托架,所以顶升杆驱动机械装置138的偏差减小,提高了板602与衬底支架104之间的水平度,从而当衬底102被顶升杆132(该顶升杆被板602所移动)从衬底支架104处顶开时,能够保持其相对于衬底支架104的平行度。
如上所述,对于保持处理的均匀性和可重复性来说,保持盖110与衬底支架104的上支撑表面126之间的平行度是重要的。本发明还提高了当衬底120被从衬底支架104顶开时,衬底120的平行度,因此使自动化晶片更换过程中的特殊情况的发生或者晶片的损坏最小化。在本发明的一方面中,当衬底支架104在处理室100内暴露在真空下的时候,衬底支架提升组件102经受的扭转偏差减小。在本发明的另一方面中,当衬底支架104在提升和下降位置之间移动时,托架台204、206的被分开的轴承面保持了衬底支架104和盖110的平行度。此外,双托架台204、206使提升装置102的偏差最小化,所以当衬底支架受到真空力作用时,仍能保持衬底支架104的所需平面方向。在本发明的另一方面,顶升杆驱动机械装置138被构造成:顶升杆驱动机械装置138在真空负载条件下的偏差最小化,从而能够同时接触并基本上相等地移动顶升杆,因而当衬底支架被顶开以便用自动化机械装置更换晶片时,衬底和衬底支架的平行度提高,同时减小了因未对准和/或偏差问题而产生不利的晶片与机械臂接触的可能性。
尽管以上所述集中于本发明的优选实施例,但不偏离基本范围便可设计出本发明其他的或者进一步的实施例,而上述范围是由所述附权利要求确定的。
Claims (37)
1.一种用于定位衬底支架的装置,包括:
一轭形件,其具有形成于其内部的至少一个第一槽;
一万向节底座,其具有适于支撑所述衬底支架的第一表面,和一相对的第二表面;及
一第一螺纹部件,其被设置成穿过所述第一槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠对方,其中所述轭形件和所述万向节底座具有配合的曲面。
2.根据权利要求1所述的装置,其中,所述第一螺纹部件与所述配合曲面径向对准。
3.根据权利要求2所述的装置,其中,所述万向节底座的配合曲面还包括一阶梯状凹槽。
4.根据权利要求1所述的装置,其中,所述轭形件还包括:
一柱;和
一连接部件,其连接到所述柱且具有相对的第一端和第二端;
一第一凸缘,其连接到所述连接部件的所述第一端,所述第一槽处于支撑所述万向节底座的第一面和相对的所述第一凸缘的第二面之间;
一第二凸缘,其连接到所述连接部件的所述第二端;
一第二槽,其被设置于支撑所述万向节底座的所述第二凸缘的第一面和相对的第二面之间;和
一第二螺纹部件,其被设置成穿过第二槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠对方。
5.根据权利要求4所述的装置,其中,所述第一凸缘的第一面是曲面。
6.根据权利要求4所述的装置,其中,所述第一凸缘的第一面具有介于305到304mm之间的曲率半径。
7.根据权利要求6所述的装置,其中,所述第一凸缘的第二面是与所述第一面共心的曲面。
8.根据权利要求1所述的装置,还包括:
一夹钳台,其有一夹紧开口容纳从所述轭形件伸展出的柱。
9.根据权利要求8所述的装置,其中,所述夹钳台具有一槽,其被设置成至少部分穿过所述夹钳台,且与所述夹紧开口相通,而且所述夹钳台还包括一柱塞,其位于所述槽内,且可在与所述夹紧开口的中心径向对准的方向移动。
10.根据权利要求9所述的装置,其中,所述柱塞包括一接触面,当该接触面被推动而抵靠所述柱时,不会在所述柱上施加转动力矩。
11.根据权利要求9所述的装置,其中,所述夹钳台还包括:
一板,其位于所述槽之上,与所述夹紧开口相对;和
一部件,其被设置成穿过所述板,且与所述夹紧开口的中心径向对准,所述部件适于推动所述柱塞抵靠所述柱。
12.根据权利要求1所述的装置,还包括:
一轴承组件,其具有第一托架台和第二托架台,且连接到所述夹钳台;及
一驱动器,其连接到至少其中一个所述托架台,且适于控制所述托架台沿所述轴承组件的线性位置。
13.一种用于定位衬底支架的装置,包括:
一万向节组件,包括:
一夹钳台;
一轭形件,其包括至少两个凸缘,每个凸缘具有穿过该凸缘形成的槽,其中所述轭形件连接到所述夹钳台,所述轭形件是相对于所述夹钳台沿第一轴设置和绕该第一轴旋转的;
一万向节底座,其具有适于支撑所述衬底支架的第一表面,和一相对的第二表面,该第二表面被设置成抵靠每个凸缘,其中所述万向节底座连接到所述轭形件,且适于支撑所述衬底支架,所述万向节底座可绕垂直于所述第一轴的第二轴运动;及
一部件,其被设置成穿过每个槽且推动所述轭形件和万向节底座而使其沿所述部件的轴彼此抵靠,其中所述轭形件和所述万向节底座具有配合的弯曲表面;
一轴承组件,其具有第一托架台和第二托架台,所述轴承组件连接所述夹钳台;及
一驱动器,其连接到至少一所述托架台,且适于控制所述托架台沿着所述轴承组件的线性位置。
14.根据权利要求13所述的装置,其中,所述夹钳台具有一夹紧开口,其形成于内部,用于容纳从所述轭形件伸出的柱。
15.根据权利要求14所述的装置,其中,所述夹钳台具有一槽,其被设置成至少部分穿过夹钳台,且与所述夹紧开口相通,和一柱塞,其位于所述槽内,且可在与所述夹紧开口的中心径向对准的方向移动。
16.根据权利要求15所述的装置,其中,所述柱塞包括一接触面,当该接触面被推动而抵靠所述柱时,不会在所述柱上施加转动力矩。
17.根据权利要求15所述的装置,其中,所述夹钳台还包括:
一板,其位于所述槽上,与所述夹紧开口相对;和
部件,其被设置成穿过所述板,且与所述夹紧开口的中心径向对准,所述部件适于推动所述柱塞抵靠所述柱。
18.根据权利要求13所述的装置,其中,所述轭形件的第二表面和万向节底座沿一曲面接触。
19.根据权利要求13所述的装置,其中,所述部件相对于所述曲面径向对准。
20.根据权利要求19所述的装置,其中,所述万向节底座的第二表面具有一在其内部形成的阶梯状凹槽。
21.根据权利要求13所述的装置,其中,所述轭形件还包括:
一柱;和
一连接部件,其连接到所述柱且具有相对的第一端和第二端,其中一第一凸缘连接到所述连接部件的所述第一端,一第一槽处于支撑所述万向节底座的第一面和相对的所述第一凸缘的第二面之间;;
一第二凸缘,其连接到所述连接部件的所述第二端,所述第二凸缘具有一第二槽,该第二槽被设置于支撑所述万向节底座的所述第二凸缘的第一面和相对的第二面之间;和
一第二螺纹部件,其被设置成穿过第二槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠。
22.根据权利要求21所述的装置,其中,所述第一凸缘的第一面是与所述第二面共心的曲面。
23.根据权利要求22所述的装置还包括;
一间隔装置,其设置于所述柱螺栓上,且具有第一曲面;和
一螺母,其拧在所述柱螺栓上,且推动所述间隔装置的第一曲面,而所述间隔装置的第一曲面被设置成抵靠所述第一凸缘的第二面。
24.一种用于定位衬底支架的装置,包括:
一处理室;
一衬底支架,其被设置于所述室体中;
一柄,其连接到所述衬底支架,且延伸而从形成于所述室体中的第一孔穿过;
一万向节组件,其连接到所述柄,且适于调整所述衬底支架的平面方向,所述万向节组件包括:
a)一轭形件,其具有形成于其内部的至少一个第一槽;
b)一万向节底座,其具有适于支撑所述衬底支架的第一表面,和一相对的第二表面,其中所述轭形件和所述万向节底座沿配合的曲面相接触;及
c)一第一螺纹部件,其被设置成穿过所述第一槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠;
一轴承组件,其具有第一托架台和第二托架台,所述轴承组件连接到所述万向节组件;及
一驱动器,其连接到至少其中一个所述托架台,且适于控制所述衬底支架在所述处理室中的提升。
25.根据权利要求24所述的装置,其中,所述第一螺纹部件是与所述曲面径向对准的。
26.根据权利要求24所述的装置,其中,所述万向节底座的第二表面具有在其内部形成的一阶梯状凹槽。
27.根据权利要求24所述的装置,其中,所述轭形件还包括;
一柱;
一连接部件,其连接到所述柱且具有相对的第一端和第二端;
一第一凸缘,其连接到所述连接部件的所述第一端,所述第一槽处于支撑所述万向节底座的第一面和相对的所述第一凸缘的第二面之间;
一第二凸缘,其连接到所述连接部件的所述第二端;
一第二槽,其被设置于支撑所述万向节底座的所述第二凸缘的第一面和相对的第二面之间;和
一第二螺纹部件,其被设置成穿过第二槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠。
28.根据权利要求27所述的装置,其中,所述第一凸缘的第一面是与所述第二面共心的曲面。
29.根据权利要求28所述的装置,还包括:
一间隔装置,其设置于所述第一螺纹部件上,且具有第一曲面;和
一螺母,其拧在所述第一螺纹部件上,且推动所述间隔装置的第一曲面,而所述间隔装置的第一曲面被设置成抵靠所述第一凸缘的第二面。
30.根据权利要求24所述的装置,其中所述万向节组件还包括:
一夹钳台,其连接到所述轭形件,所述轭形件可相对于所述夹钳台沿第一轴定位及绕该第一轴旋转。
31.一种用于定位衬底支架的装置,包括:
一处理室;
一衬底支架,其被设置于所述室体中;
一柄,其连接到所述衬底支架,且延伸而从形成于所述处理室体中的第一孔穿过;
一万向节组件,其连接到所述柄,且适于绕3个或更多个轴调整所述衬底支架的平面方向,其中所述组件包括:
一轭形件,其具有形成于其内部的至少一个第一槽;
一万向节底座,其具有适于支撑所述衬底支架的第一表面,和一相对的第二表面;及
一第一螺纹部件,其被设置成穿过所述第一槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠,其中所述轭形件和所述万向节底座沿配合的曲面相接触;和
一轴承组件,其具有第一托架台和第二托架台,所述轴承组件连接到所述万向节组件;和
一驱动器,其连接到至少其中一个所述托架台,且适于控制所述衬底支架在所述处理室中的提升。
32.根据权利要求31所述的装置,其中,所述第一螺纹部件是与所述曲面径向对准的。
33.根据权利要求31所述的装置,其中,所述万向节底座的第二表面有一在其内部形成的阶梯状凹槽。
34.根据权利要求31所述的装置,其中,所述轭形件还包括:
一柱;
一连接部件,其连接到所述柱且具有相对的第一端和第二端;
一第一凸缘,其连接到所述连接部件的所述第一端,所述第一槽处于支撑所述万向节底座的第一面和相对的所述第一凸缘的第二面之间;
一第二凸缘,其连接到所述连接部件的所述第二端;
一第二槽,其被设置于支撑所述万向节底座的所述第二凸缘的第一面和相对的第二面之间;和
一第二螺纹部件,其被设置成穿过第二槽,且轴向推动所述轭形件和万向节底座而使其彼此抵靠。
35.根据权利要求34所述的装置,其中,所述第一凸缘的第二面是与所述第一面共心的曲面。
36.根据权利要求35所述的装置,还包括:
一间隔装置,其设置于所述第一螺纹部件上,且具有第一曲面;和
一螺母,其拧在所述第一螺纹部件上,且推动所述间隔装置的第一曲面,而所述间隔装置的第一曲面被设置成抵靠所述第一凸缘的第二面。
37.根据权利要求31所述的装置,其中,所述万向节组件还包括:
一夹钳台,其中所述轭形件连接到所述夹钳台,所述轭形件可相对于所述夹钳台沿第一轴定位及绕该第一轴旋转;和
所述万向节底座,其连接到所述轭形件,且适于支撑所述衬底支架,所述万向节底座可绕第二轴运动,该第二轴垂直于所述第一轴。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/387,619 US20040177813A1 (en) | 2003-03-12 | 2003-03-12 | Substrate support lift mechanism |
US10/387,619 | 2003-03-12 | ||
PCT/US2004/007164 WO2004081994A2 (en) | 2003-03-12 | 2004-03-10 | Substrate support lift mechanism |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1759466A CN1759466A (zh) | 2006-04-12 |
CN1759466B true CN1759466B (zh) | 2010-09-08 |
Family
ID=32961921
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2004800067010A Expired - Fee Related CN1759466B (zh) | 2003-03-12 | 2004-03-10 | 衬底支架提升机械装置 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20040177813A1 (zh) |
KR (1) | KR101103863B1 (zh) |
CN (1) | CN1759466B (zh) |
TW (1) | TWI286362B (zh) |
WO (1) | WO2004081994A2 (zh) |
Families Citing this family (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040177813A1 (en) | 2003-03-12 | 2004-09-16 | Applied Materials, Inc. | Substrate support lift mechanism |
JP2005063991A (ja) * | 2003-08-08 | 2005-03-10 | Sumitomo Electric Ind Ltd | 半導体製造装置 |
KR100782380B1 (ko) * | 2005-01-24 | 2007-12-07 | 삼성전자주식회사 | 반도체 제조장치 |
US20080017117A1 (en) * | 2006-07-18 | 2008-01-24 | Jeffrey Campbell | Substrate support with adjustable lift and rotation mount |
US20080017116A1 (en) * | 2006-07-18 | 2008-01-24 | Jeffrey Campbell | Substrate support with adjustable lift and rotation mount |
TWI349720B (en) * | 2007-05-30 | 2011-10-01 | Ind Tech Res Inst | A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same |
US8198567B2 (en) * | 2008-01-15 | 2012-06-12 | Applied Materials, Inc. | High temperature vacuum chuck assembly |
US8441640B2 (en) * | 2008-05-02 | 2013-05-14 | Applied Materials, Inc. | Non-contact substrate support position sensing system and corresponding adjustments |
US20100059183A1 (en) * | 2008-09-10 | 2010-03-11 | Hiwin Mikrosystem Corp. | Wafer taping and detaping machine |
US8317450B2 (en) * | 2008-10-30 | 2012-11-27 | Lam Research Corporation | Tactile wafer lifter and methods for operating the same |
JP5324231B2 (ja) * | 2009-01-08 | 2013-10-23 | 日東電工株式会社 | 半導体ウエハのアライメント装置 |
JP5457216B2 (ja) * | 2009-02-27 | 2014-04-02 | キヤノンアネルバ株式会社 | 基板支持装置及び基板搬送装置、電気デバイスの製造方法 |
US7851233B2 (en) * | 2009-03-26 | 2010-12-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | E-chuck for automated clamped force adjustment and calibration |
CN102108503B (zh) * | 2009-12-10 | 2013-09-11 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种支撑装置及应用该装置的等离子体处理设备 |
WO2012046397A1 (ja) * | 2010-10-07 | 2012-04-12 | キヤノンアネルバ株式会社 | 基板処理装置 |
WO2013005481A1 (ja) * | 2011-07-05 | 2013-01-10 | エピクルー株式会社 | サセプタ装置及びこれを備えた成膜装置 |
JP2013033963A (ja) * | 2011-07-29 | 2013-02-14 | Semes Co Ltd | 基板処理装置及び基板処理方法 |
KR101859344B1 (ko) * | 2012-01-26 | 2018-05-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 상부 기판 지지 어셈블리를 갖는 열 처리 챔버 |
US8968654B2 (en) * | 2012-06-21 | 2015-03-03 | Automation Solutions, Inc. | Fluid delivery system and lift for use in conjunction therewith |
CN104103549B (zh) * | 2013-04-07 | 2018-05-18 | 盛美半导体设备(上海)有限公司 | 半导体工艺腔室 |
CN103215563A (zh) * | 2013-04-28 | 2013-07-24 | 光垒光电科技(上海)有限公司 | 沉积设备以及旋转装置 |
CN104233191A (zh) * | 2013-06-08 | 2014-12-24 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 加热腔室及等离子体加工设备 |
US9859145B2 (en) * | 2013-07-17 | 2018-01-02 | Lam Research Corporation | Cooled pin lifter paddle for semiconductor substrate processing apparatus |
CN104752302B (zh) * | 2013-12-30 | 2018-05-08 | 北京北方华创微电子装备有限公司 | 一种基座支撑结构以及腔室 |
KR102398918B1 (ko) | 2014-07-11 | 2022-05-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 서셉터의 정렬을 위한 장치 및 방법 |
US10186450B2 (en) * | 2014-07-21 | 2019-01-22 | Asm Ip Holding B.V. | Apparatus and method for adjusting a pedestal assembly for a reactor |
CN107112265B (zh) * | 2015-01-09 | 2020-12-04 | 应用材料公司 | 基板传送机构 |
CN107735857B (zh) * | 2015-06-05 | 2022-01-11 | 应用材料公司 | 基座定位及旋转设备及使用方法 |
KR20180006496A (ko) * | 2015-06-05 | 2018-01-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 서셉터 포지션 및 회전 장치, 및 사용 방법들 |
TWI723024B (zh) | 2015-06-26 | 2021-04-01 | 美商應用材料股份有限公司 | 用於改良的氣體分配的遞迴注入設備 |
TWI674168B (zh) * | 2015-07-27 | 2019-10-11 | 美商應用材料股份有限公司 | 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法 |
CN105080803B (zh) * | 2015-08-21 | 2018-08-07 | 京东方科技集团股份有限公司 | 基板承载结构、减压干燥设备及减压干燥方法 |
JP6596362B2 (ja) * | 2015-12-02 | 2019-10-23 | 東京エレクトロン株式会社 | 減圧された空間において被加工物を処理する処理装置 |
US10501844B2 (en) * | 2016-07-25 | 2019-12-10 | Applied Materials, Inc. | Fine leveling of large carousel based susceptor |
US11802340B2 (en) * | 2016-12-12 | 2023-10-31 | Applied Materials, Inc. | UHV in-situ cryo-cool chamber |
CN106607320B (zh) * | 2016-12-22 | 2019-10-01 | 武汉华星光电技术有限公司 | 适用于柔性基板的热真空干燥装置 |
US11183418B2 (en) * | 2017-06-01 | 2021-11-23 | Applied Materials, Inc. | Two axis goniometer to accomplish fine, permanent, calibration of lift pin hoop orientation |
US10571069B2 (en) | 2017-09-14 | 2020-02-25 | Applied Materials, Inc. | Gimbal assembly for heater pedestal |
CN107723683B (zh) * | 2017-10-16 | 2020-06-23 | 江苏鲁汶仪器有限公司 | 化学气相沉积镀膜设备 |
CN110289242B (zh) * | 2018-03-19 | 2021-08-13 | 北京北方华创微电子装备有限公司 | 基座调节装置、腔室及半导体加工设备 |
US11499666B2 (en) * | 2018-05-25 | 2022-11-15 | Applied Materials, Inc. | Precision dynamic leveling mechanism with long motion capability |
US11834743B2 (en) * | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
GB201902032D0 (en) * | 2019-02-14 | 2019-04-03 | Pilkington Group Ltd | Apparatus and process for determining the distance between a glass substrate and a coater |
US11251067B2 (en) | 2019-04-26 | 2022-02-15 | Applied Materials, Inc. | Pedestal lift for semiconductor processing chambers |
EP4006956A4 (en) * | 2019-07-25 | 2023-04-19 | Epicrew Corporation | PROCESSING CHAMBER OF AN EPITACTIC GROWTH DEVICE |
WO2021034968A1 (en) * | 2019-08-19 | 2021-02-25 | Oem Group, Llc | Systems and methods for a lift and rotate wafer handling process |
CN111048466B (zh) * | 2019-12-26 | 2022-08-16 | 北京北方华创微电子装备有限公司 | 晶圆夹持装置 |
CN111430279B (zh) * | 2020-04-30 | 2023-09-01 | 瑞安市荣海机电有限公司 | 一种准分子激光退火设备用基板支撑装置 |
CN114695234A (zh) * | 2020-12-31 | 2022-07-01 | 拓荆科技股份有限公司 | 保护机构及保护晶圆和销的方法 |
TWI827971B (zh) * | 2021-09-01 | 2024-01-01 | 建佳科技股份有限公司 | 用於半導體製程的烘烤夾具及其應用設備 |
CN113774360B (zh) * | 2021-11-11 | 2022-02-11 | 陛通半导体设备(苏州)有限公司 | 一种往复旋转升降的化学气相沉积设备 |
CN116336156A (zh) * | 2023-05-30 | 2023-06-27 | 上海陛通半导体能源科技股份有限公司 | 一种超高真空的旋转装置 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0284343B1 (en) * | 1987-03-23 | 1990-11-28 | Westech Systems, Inc. | Polishing apparatus |
US5762544A (en) * | 1995-10-27 | 1998-06-09 | Applied Materials, Inc. | Carrier head design for a chemical mechanical polishing apparatus |
EP0592017B1 (en) * | 1987-03-31 | 2001-09-12 | ASM America, Inc. | Use of rotatable substrate supporting mechanism with temperature sensing device in chemical vapor deposition equipment |
EP1202330A2 (en) * | 2000-10-26 | 2002-05-02 | Applied Materials, Inc. | De-coupled wafer lift and five axis adjustable heater lift system for CVD process chamber |
US6511368B1 (en) * | 1999-10-27 | 2003-01-28 | Strasbaugh | Spherical drive assembly for chemical mechanical planarization |
Family Cites Families (190)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US539796A (en) * | 1895-05-28 | Coupling | ||
US202473A (en) * | 1878-04-16 | Improvement in shaft-couplings | ||
US1173289A (en) * | 1916-02-29 | American Manganese Steel Co | Mill-pinion. | |
US309438A (en) | 1884-12-16 | Island | ||
US645860A (en) * | 1899-11-02 | 1900-03-20 | William Frederick Scholze | Game device. |
US1104666A (en) * | 1913-06-18 | 1914-07-21 | Corona Mfg Co | Ratchet-wheel and the like. |
US1100504A (en) * | 1913-07-22 | 1914-06-16 | Herbert Othro Taft | Jack-spool and fastener. |
US1550651A (en) | 1921-06-25 | 1925-08-18 | James A Charter | Metal-wheel-welding machine |
US2499146A (en) * | 1946-01-24 | 1950-02-28 | Ernest T Kindt | Dowel pin |
US2619215A (en) * | 1950-08-22 | 1952-11-25 | Toledo Scale Co | Mounting for key buttons |
US2921326A (en) | 1956-10-09 | 1960-01-19 | Iodent Chemical Company | Toothbrush |
US2972493A (en) | 1959-02-13 | 1961-02-21 | Westinghouse Electric Corp | Means for attaching a knob or the like to a shaft |
US3582116A (en) | 1969-04-24 | 1971-06-01 | Stephen A Young | Stem extension for plumbing fixtures |
US3876174A (en) * | 1972-05-15 | 1975-04-08 | Southwestern Ind Inc | Universally adjustable mounting apparatus |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4415275A (en) | 1981-12-21 | 1983-11-15 | Dietrich David E | Swirl mixing device |
US4607974A (en) | 1984-02-27 | 1986-08-26 | Cooper Industries, Inc. | Wand and wand extension |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
US4891087A (en) | 1984-10-22 | 1990-01-02 | Texas Instruments Incorporated | Isolation substrate ring for plasma reactor |
US4629321A (en) * | 1985-09-27 | 1986-12-16 | Spectra-Physics, Inc. | Grade setting and calibration arrangement for laser beam projection device |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
JPH0639357B2 (ja) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | 元素半導体単結晶薄膜の成長方法 |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
DE3721637A1 (de) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse |
DE3743938C2 (de) | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht |
FR2628985B1 (fr) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a paroi protegee contre les depots |
US5261959A (en) | 1988-05-26 | 1993-11-16 | General Electric Company | Diamond crystal growth apparatus |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5483919A (en) | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
US5178681A (en) | 1991-01-29 | 1993-01-12 | Applied Materials, Inc. | Suspension system for semiconductor reactors |
US5173327A (en) | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
JP3566740B2 (ja) | 1992-09-30 | 2004-09-15 | アプライド マテリアルズ インコーポレイテッド | 全ウエハデポジション用装置 |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3265042B2 (ja) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | 成膜方法 |
US6042852A (en) * | 1993-03-23 | 2000-03-28 | The Pillsbury Company | Low pressure refrigerated dough product |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
JP3165938B2 (ja) | 1993-06-24 | 2001-05-14 | 東京エレクトロン株式会社 | ガス処理装置 |
US5803994A (en) * | 1993-11-15 | 1998-09-08 | Kaiser Aluminum & Chemical Corporation | Aluminum-copper alloy |
JP3181171B2 (ja) | 1994-05-20 | 2001-07-03 | シャープ株式会社 | 気相成長装置および気相成長方法 |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
DE4428310A1 (de) | 1994-08-10 | 1996-02-15 | Manfred Schanz | Befestigungsvorrichtung für ein Werkzeug oder Werkstück |
US5562947A (en) | 1994-11-09 | 1996-10-08 | Sony Corporation | Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment |
FI97730C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5868847A (en) | 1994-12-16 | 1999-02-09 | Applied Materials, Inc. | Clamp ring for shielding a substrate during film layer deposition |
US5804042A (en) | 1995-06-07 | 1998-09-08 | Tokyo Electron Limited | Wafer support structure for a wafer backplane with a curved surface |
JP3128189B2 (ja) * | 1995-08-14 | 2001-01-29 | 株式会社東海理化電機製作所 | 自動車用ドアミラーのベースとベースカバーの取付構造 |
US5860640A (en) * | 1995-11-29 | 1999-01-19 | Applied Materials, Inc. | Semiconductor wafer alignment member and clamp ring |
US5900062A (en) * | 1995-12-28 | 1999-05-04 | Applied Materials, Inc. | Lift pin for dechucking substrates |
US6102164A (en) * | 1996-02-28 | 2000-08-15 | Applied Materials, Inc. | Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers |
US5796066A (en) * | 1996-03-29 | 1998-08-18 | Lam Research Corporation | Cable actuated drive assembly for vacuum chamber |
GB9608108D0 (en) * | 1996-04-19 | 1996-06-26 | Naco Inc | Steel Castings |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US6001234A (en) * | 1997-09-30 | 1999-12-14 | Semitool, Inc. | Methods for plating semiconductor workpieces using a workpiece-engaging electrode assembly with sealing boot |
US5879128A (en) * | 1996-07-24 | 1999-03-09 | Applied Materials, Inc. | Lift pin and support pin apparatus for a processing chamber |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US6071572A (en) | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US5951776A (en) * | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
US6120609A (en) * | 1996-10-25 | 2000-09-19 | Applied Materials, Inc. | Self-aligning lift mechanism |
US5848670A (en) * | 1996-12-04 | 1998-12-15 | Applied Materials, Inc. | Lift pin guidance apparatus |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6174377B1 (en) | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5851775A (en) * | 1997-03-20 | 1998-12-22 | Johns Hopkins University | β-catenin, Tcf-4, and APC interact to prevent cancer |
JPH10321572A (ja) * | 1997-05-15 | 1998-12-04 | Toshiba Corp | 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法 |
US6200693B1 (en) * | 1997-05-22 | 2001-03-13 | Henkel Corporation | Water-based liquid treatment for aluminum and its alloys |
FI972874A0 (fi) | 1997-07-04 | 1997-07-04 | Mikrokemia Oy | Foerfarande och anordning foer framstaellning av tunnfilmer |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US6197683B1 (en) | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
KR100282853B1 (ko) | 1998-05-18 | 2001-04-02 | 서성기 | 연속기체분사에의한반도체박막증착장치 |
KR100267885B1 (ko) | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
US6146504A (en) * | 1998-05-21 | 2000-11-14 | Applied Materials, Inc. | Substrate support and lift apparatus and method |
NL1009327C2 (nl) | 1998-06-05 | 1999-12-10 | Asm Int | Werkwijze en inrichting voor het overbrengen van wafers. |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6168668B1 (en) | 1998-11-25 | 2001-01-02 | Applied Materials, Inc. | Shadow ring and guide for supporting the shadow ring in a chamber |
US6168868B1 (en) * | 1999-05-11 | 2001-01-02 | Ppg Industries Ohio, Inc. | Process for applying a lead-free coating to untreated metal substrates via electrodeposition |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6133700A (en) * | 1999-02-23 | 2000-10-17 | General Electric Company | Torque controller system having a torque processor with improved tractive effort distribution |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6213478B1 (en) * | 1999-03-11 | 2001-04-10 | Moore Epitaxial, Inc. | Holding mechanism for a susceptor in a substrate processing reactor |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
US6984415B2 (en) | 1999-08-20 | 2006-01-10 | International Business Machines Corporation | Delivery systems for gases for gases via the sublimation of solid precursors |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6548112B1 (en) | 1999-11-18 | 2003-04-15 | Tokyo Electron Limited | Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber |
AU1924101A (en) | 1999-11-22 | 2001-06-04 | Human Genome Sciences, Inc. | Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies |
KR100330749B1 (ko) | 1999-12-17 | 2002-04-03 | 서성기 | 반도체 박막증착장치 |
FI118343B (fi) | 1999-12-28 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
FI118474B (fi) | 1999-12-28 | 2007-11-30 | Asm Int | Laite ohutkalvojen valmistamiseksi |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6572708B2 (en) * | 2000-02-28 | 2003-06-03 | Applied Materials Inc. | Semiconductor wafer support lift-pin assembly |
TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
FI117978B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle |
US7060132B2 (en) | 2000-04-14 | 2006-06-13 | Asm International N.V. | Method and apparatus of growing a thin film |
FI118805B (fi) | 2000-05-15 | 2008-03-31 | Asm Int | Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon |
KR100427423B1 (ko) | 2000-05-25 | 2004-04-13 | 가부시키가이샤 고베 세이코쇼 | Cvd용 인너튜브 |
KR100332314B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
KR100332313B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
FI20001694A0 (fi) | 2000-07-20 | 2000-07-20 | Asm Microchemistry Oy | Menetelmä ohutkalvon kasvattamiseksi substraatille |
KR100444149B1 (ko) | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
JP2002134592A (ja) * | 2000-10-19 | 2002-05-10 | Tokyo Ohka Kogyo Co Ltd | 熱処理装置および熱処理方法 |
KR100436941B1 (ko) | 2000-11-07 | 2004-06-23 | 주성엔지니어링(주) | 박막 증착 장치 및 그 방법 |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020076481A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020073924A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
JP3963078B2 (ja) | 2000-12-25 | 2007-08-22 | 株式会社高純度化学研究所 | ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法 |
KR100434487B1 (ko) | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 박막 형성 장비 |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US6935466B2 (en) * | 2001-03-01 | 2005-08-30 | Applied Materials, Inc. | Lift pin alignment and operation methods and apparatus |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
JP4680429B2 (ja) | 2001-06-26 | 2011-05-11 | Okiセミコンダクタ株式会社 | テキスト音声変換装置における高速読上げ制御方法 |
TW539822B (en) | 2001-07-03 | 2003-07-01 | Asm Inc | Source chemical container assembly |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US7105444B2 (en) | 2001-07-19 | 2006-09-12 | Samsung Electronics Co., Ltd. | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US20030017697A1 (en) | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US20030042630A1 (en) | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US6718126B2 (en) | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6797108B2 (en) | 2001-10-05 | 2004-09-28 | Applied Materials, Inc. | Apparatus and method for evenly flowing processing gas onto a semiconductor wafer |
TW512504B (en) | 2001-10-12 | 2002-12-01 | Advanced Semiconductor Eng | Package substrate having protruded and recessed side edge |
US20030075387A1 (en) * | 2001-10-22 | 2003-04-24 | Chung-Chiang Wang | Wafer loading device |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7204886B2 (en) | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
AU2002343583A1 (en) | 2001-10-29 | 2003-05-12 | Genus, Inc. | Chemical vapor deposition system |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US7175713B2 (en) | 2002-01-25 | 2007-02-13 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7063981B2 (en) | 2002-01-30 | 2006-06-20 | Asm International N.V. | Active pulse monitoring in a chemical reactor |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6778762B1 (en) | 2002-04-17 | 2004-08-17 | Novellus Systems, Inc. | Sloped chamber top for substrate processing |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
KR100505043B1 (ko) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | 커패시터 형성 방법 |
KR100476926B1 (ko) | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US7081409B2 (en) | 2002-07-17 | 2006-07-25 | Samsung Electronics Co., Ltd. | Methods of producing integrated circuit devices utilizing tantalum amine derivatives |
US6955211B2 (en) | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US7066194B2 (en) | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
US6772072B2 (en) | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US6921062B2 (en) | 2002-07-23 | 2005-07-26 | Advanced Technology Materials, Inc. | Vaporizer delivery ampoule |
US7300038B2 (en) | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040069227A1 (en) | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US6716287B1 (en) | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
US6868859B2 (en) | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US6994319B2 (en) | 2003-01-29 | 2006-02-07 | Applied Materials, Inc. | Membrane gas valve for pulsing a gas |
US6818094B2 (en) | 2003-01-29 | 2004-11-16 | Applied Materials, Inc. | Reciprocating gas valve for pulsing a gas |
US20040177813A1 (en) | 2003-03-12 | 2004-09-16 | Applied Materials, Inc. | Substrate support lift mechanism |
US7442415B2 (en) | 2003-04-11 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films |
US20050070126A1 (en) | 2003-04-21 | 2005-03-31 | Yoshihide Senzaki | System and method for forming multi-component dielectric films |
TW200506093A (en) | 2003-04-21 | 2005-02-16 | Aviza Tech Inc | System and method for forming multi-component films |
US6881437B2 (en) | 2003-06-16 | 2005-04-19 | Blue29 Llc | Methods and system for processing a microelectronic topography |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
US20050153571A1 (en) | 2003-11-17 | 2005-07-14 | Yoshihide Senzaki | Nitridation of high-k dielectric films |
-
2003
- 2003-03-12 US US10/387,619 patent/US20040177813A1/en not_active Abandoned
-
2004
- 2004-03-10 CN CN2004800067010A patent/CN1759466B/zh not_active Expired - Fee Related
- 2004-03-10 WO PCT/US2004/007164 patent/WO2004081994A2/en active Application Filing
- 2004-03-10 KR KR1020057016866A patent/KR101103863B1/ko active IP Right Grant
- 2004-03-11 TW TW093106546A patent/TWI286362B/zh active
-
2006
- 2006-06-26 US US11/426,555 patent/US7871470B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0284343B1 (en) * | 1987-03-23 | 1990-11-28 | Westech Systems, Inc. | Polishing apparatus |
EP0592017B1 (en) * | 1987-03-31 | 2001-09-12 | ASM America, Inc. | Use of rotatable substrate supporting mechanism with temperature sensing device in chemical vapor deposition equipment |
US5762544A (en) * | 1995-10-27 | 1998-06-09 | Applied Materials, Inc. | Carrier head design for a chemical mechanical polishing apparatus |
US6511368B1 (en) * | 1999-10-27 | 2003-01-28 | Strasbaugh | Spherical drive assembly for chemical mechanical planarization |
EP1202330A2 (en) * | 2000-10-26 | 2002-05-02 | Applied Materials, Inc. | De-coupled wafer lift and five axis adjustable heater lift system for CVD process chamber |
Also Published As
Publication number | Publication date |
---|---|
CN1759466A (zh) | 2006-04-12 |
US20060240542A1 (en) | 2006-10-26 |
TWI286362B (en) | 2007-09-01 |
US7871470B2 (en) | 2011-01-18 |
KR101103863B1 (ko) | 2012-01-12 |
WO2004081994A2 (en) | 2004-09-23 |
US20040177813A1 (en) | 2004-09-16 |
WO2004081994A3 (en) | 2005-06-16 |
WO2004081994A9 (en) | 2004-10-28 |
TW200503143A (en) | 2005-01-16 |
KR20050109547A (ko) | 2005-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1759466B (zh) | 衬底支架提升机械装置 | |
JP7471237B2 (ja) | 長い運動能力を有する精密な動的レベリング機構 | |
CN101047115B (zh) | 基板处理装置以及基板搬送方法 | |
US9103416B2 (en) | Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly and methods thereof | |
JP2007119794A (ja) | 真空蒸着用アライメント装置 | |
US11183418B2 (en) | Two axis goniometer to accomplish fine, permanent, calibration of lift pin hoop orientation | |
CN102110633A (zh) | 具有z向运动和铰接臂的线性运动真空机械手 | |
US7198694B2 (en) | Integrated tool with interchangeable wet processing components for processing microfeature workpieces and automated calibration systems | |
US11414746B2 (en) | Film forming apparatus and film forming method using the same | |
KR100970113B1 (ko) | 기판 리프트 어셈블리 | |
US20220415702A1 (en) | Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management | |
KR101410819B1 (ko) | 구동 가능한 배플을 가지는 기판처리장치 및 이를 이용한배기방법 | |
TW202114045A (zh) | 具有滑動導件的銷舉升裝置 | |
AU662070B2 (en) | Balance mounting for fastener applicator | |
WO2023114729A1 (en) | Hexapod-based pedestal systems for use in semiconductor processing operations | |
KR20220089904A (ko) | 중심 정렬오차를 보정할 수 있는 링 구조물 이송장치 및 고정 베이스와 고정 베이스를 둘러싸는 링 구조물 사이의 중심 정렬오차 보정방법 | |
KR20230169604A (ko) | 플라즈마 처리 장치 | |
JP2021048382A (ja) | ウェハ処理システム | |
CN1829823A (zh) | 具有用于处理微特征工件的可互换湿处理部件的集成工具以及自动校准系统 | |
KR20230095598A (ko) | 얼라인 시스템, 버퍼모듈, 및 그를 가지는 기판처리시스템 | |
JP2024024380A (ja) | 基板処理装置 | |
JP2022112466A (ja) | 基板処理装置 | |
JPH02212030A (ja) | 位置ならい装置およびその製造方法 | |
CN117276037A (zh) | 一种下电极组件及离子束刻蚀机 | |
KR20180000134A (ko) | 기판처리장치의 이송장치구조 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20100908 Termination date: 20200310 |