CN1720490B - 用于控制具有多变量输入参数的制造工艺的方法和系统 - Google Patents

用于控制具有多变量输入参数的制造工艺的方法和系统 Download PDF

Info

Publication number
CN1720490B
CN1720490B CN2003801046534A CN200380104653A CN1720490B CN 1720490 B CN1720490 B CN 1720490B CN 2003801046534 A CN2003801046534 A CN 2003801046534A CN 200380104653 A CN200380104653 A CN 200380104653A CN 1720490 B CN1720490 B CN 1720490B
Authority
CN
China
Prior art keywords
input
value
group
new
actual
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2003801046534A
Other languages
English (en)
Other versions
CN1720490A (zh
Inventor
Y·科科托夫
E·延京
J·塞罗尔
Y·菲舍尔
S·萨雷尔
A·P·桑穆加桑德拉姆
A·T·施瓦姆
Y·J·派克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1720490A publication Critical patent/CN1720490A/zh
Application granted granted Critical
Publication of CN1720490B publication Critical patent/CN1720490B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • G05B11/01Automatic controllers electric
    • G05B11/32Automatic controllers electric with inputs from more than one sensing element; with outputs to more than one correcting element
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本发明公开了一种建模和/或控制制造工艺的方法、系统和介质。特别地,一种根据本发明实施例的方法包括识别一个或多个输入参数的步骤。每个输入参数造成至少两个输出改变。所述方法还包括存储所识别的输入的值和对应的经验输出值以及预测输出值的步骤。所述预测输出值是部分地基于所识别的输入值计算的。所述方法还包括通过最小化一个评分方程(score equation)来计算一组变换系数的步骤,该评分方程是一个或多个经验输出值与其对应的预测输出值之间的差值的函数。所述方法还包括以下步骤:接收所识别的输入的一组新值,使用该组系数对所识别的输入的那组新值进行变换,并使用所变换的输入值来计算一组预测输出值。

Description

用于控制具有多变量输入参数的制造工艺的方法和系统
相关申请
本发明要求2002年11月15日提交的60/426393号美国临时申请的优先权,在此以引用方式将其内容并入本文。
技术领域
本发明涉及对工艺进行建模和控制的方法、系统和介质。更具体地,本发明涉及对具有多变量输入参数的半导体处理设备进行建模和控制。
背景技术
在制造包括精确的分立部件的产品(例如硅衬底上的微电子芯片)时,控制制造工艺扮演着重要的角色。控制这样的工艺可要求,尤其是要监视所制造部件(例如被处理的晶片,此后被称为输出)的特征并据此调整输入参数。通过调整输入参数的值,可以产生不同类型的输出,并且可控制输出的特征。
为了使制造工艺的控制自动化,可以使用处理设备的数学模型。这种模型的一个例子被称为预测模型。这个模型被用于基于历史信息(例如输入参数值和对应的输出质量)来预测未来的输出值(例如产品的特征)。
一个这样的预测模型是偏移技术,如图1中所示。特别地,大量输入参数101的值被输入/输出依赖模型103接收,输入/输出依赖模型103基于输入值计算预测输出值
Figure B2003801046534D00011
105。然后校正算子109比较输入参数的给定值的预测值
Figure B2003801046534D00012
和实际输出值如果预测输出值和实际输出值在确定的范围内相互类似,那么就不改变输入/输出依赖模型103。如果预测的和实际输出值相互不同(例如在范围之外),那么就通过基于差值的大小调整偏移值(O1)111来修改预测器输入/输出依赖模型103。
在具有超过一个输出的设备中,至少某些输出可包括共有的(共享的)输入。这意味着设备的输出值彼此不完全独立(例如改变一个输入来调整指定的输出可能会无意地改变其他输出的特征)。在传统的建模技术中,每个输出具有其自己的校正系统,就好像输出值是彼此独立的。由于传统技术不考虑不同输出之间的依赖性,所以它并不总是导致正确的预测。此外,调整一个输出的一个偏移量能影响其他输出。
发明内容
本发明的实施例有利地克服了以上提到的技术的上述缺点。更具体地,本发明的实施例提供了一种控制具有多变量输入参数和输出的半导体处理设备的系统、方法和介质。
本发明的实施例最小化了输出彼此相互依赖的影响。这是通过提供具有变换系数的输入参数变换实现的。随后这使得可获得准确的模型。使用这些模型,可实现制造设备的高度精确的控制。
特别地,一种根据本发明实施例的示例性方法包括以下步骤:识别至少一个输入,该输入导致多个输出中的至少两个输出发生改变;存储所识别的输入的值以及对应的经验输出值;和部分地基于所识别的输入计算和存储预测输出值。该示例性方法可进一步包括以下步骤:通过最小化一个评分方程来计算一组变换系数,该评分方程部分地是一个或多个经验输出值与其对应的预测输出值之间的差值的函数;并且部分地基于所计算的这组变换系数来为一个或多个期望输出值计算一个或多个输入值。
附图说明
当结合附图阅读详细描述时,可最佳地理解本发明的详细描述显示的各种特定特征,其中:
图1示出了传统偏移模型;
图2示出了处理设备;
图3根据本发明的实施例示出了图2中所示的处理设备的模型;
图4是说明本发明实施例的各种组件的方块图;
图5是说明本发明实施例的处理步骤的流程图;
图6示出了CMP工艺;
图7是被配置来执行本发明实施例的计算机的一个示例性实施例的方块图;
图8示出了一个存储介质的例子,其可用于存储本发明实施例的计算机程序。
具体实施方式
本发明的实施例一般地提供系统、方法和介质,用于生成一个或多个自适应工艺模型来数学表示多变量输入参数系统。本发明尤其适用于制造工艺,比如制造和/或处理半导体晶片。特别是,本发明涉及由与半导体晶片制造有关的设备所使用的建模技术。以下提供了本发明实施例的全面概述。随后是本发明的一个特定的示例性实施方式。
在讨论本发明的实施例之前,图2显示了具有输入参数201和输出203的处理设备205的简化图形表示。处理设备的例子包括蚀刻器工具、沉积工具、化学机械平坦化(CMP)工具等等。处理设备205能够包括一个或多个工具。根据输入参数201的值,可实现不同的工艺。例如,在沉积工具中,不同类型的层可沉积在晶片上和/或层的厚度可变化。
如图3中本发明实施例的总览,处理设备205具有一组输入参数301、一组预测输出303和其之间的预测模型305(取代图2中的处理设备)。预测模型的总目标是使预测输出值和经验收集的输出值(也就是实际输出值)之间的差值最小化。一旦预测模型被优化(例如预测输出值和实际输出值之间的差值已经被最小化),那么该模型能够被用于基于期望输出值设置输入参数。换句话说,对于一组指定的期望输出值,可以以相反的方式使用该模型来计算可导致输出值接近期望输出值的输入参数值。计算的输入参数值通称为配方(recipe)。
在本发明的实施例中,获得预测模型的步骤可分成两步。第一是把输入参数301的值变换成变换输入值307。第二是使用变换输入值307计算预测输出值303。
关于该变换,输入参数值(X1,X2,X3)以及系数向量
Figure B2003801046534D00041
通过变换函数ψ1、ψ2和ψ3被变换成(X1’,X2’,X3’)。变换函数的例子包括:
1)X1’=PX1;X2’=PX2(在这个例子中,
Figure B2003801046534D00042
的值对于X1和X2是相同的。)
(在这个例子中P11、P12、P21、P22和Pcross能够具有不同的值。)
系数值是通过以下步骤计算的:a.收集关于输入参数值和实际输出值的历史信息;b.基于所收集的信息生成评分函数;和c.寻找最小化评分函数SP的系数值。
以上步骤是通过参考半导体处理工具描述的。同样地,收集历史信息的步骤需要一组数据点用于处理大量的晶片。特别是,应收集已经被处理设备处理的大量晶片的输入参数值和实际输出值。然后这个收集被用于最小化评分函数的下一步。
这里评分函数SP是:
S p = Σ i , k W i , k ( y actual ik - y predicted ik ( X → i ′ ( X → i , P → ) ) ) 2
其中:
i——晶片数量;
k——输出数量;
yactual——实际输出值;
ypredicted——预测输出值,是按照基于特定晶片i的变换输入来计算的;
Figure B2003801046534D00047
是变换的输入向量,基于实际的输入计算的;和
对于晶片i连同变换参数
Figure B2003801046534D00049
这个计算是使用以下的变换函数进行的:
下一步,如上所述,是最小化评分SP,也就是寻找提供最小SP
Figure B2003801046534D000413
上述步骤计算最优的(也就是输入变换函数的系数向量),使得本发明的预测模型提供最接近实际输出的可能的预测输出。在具有多变量输入参数的处理模型中,当所述评分最小化时,输出值之间的相互依赖性对于模型准确性的负面影响也被最小化。
现在转到描述上述实施例的示例实现,如图4所述,该示例实现包括大量的组件:输入变换器401、输入一输出依赖模型403、校正算子405和存储设备407。所有这些组件可以在硬件、固件、软件和/或这些的任意组合中实现。
参考图5进一步解释这些组件。特别是,历史信息(也就是
Figure B2003801046534D00052
Figure B2003801046534D00053
)存储在存储设备407中。校正算子405然后从存储设备407检索历史信息(步骤501)。由于检索的历史信息包含原始的输入参数值,所以该信息连同系数
Figure B2003801046534D00055
被发送到输入变换器401(步骤503)。系数
Figure B2003801046534D00056
可存储在存储设备407或者校正算子405中。
在接收到来自校正算子405的信息时,输入变换器401计算变换的输入参数值
Figure B2003801046534D00057
(步骤505)。一旦计算了变换的输入参数值,输入变换器401就把变换的输入值发送给校正算子405。
在接收到来自输入变换器401的变换的输入参数值时,校正算子405就把变换的输入参数值发送给输入/输出依赖模型403。输入/输出依赖模型403然后计算预测输出参数值ypred(步骤507)。校正算子405然后计算评分SP,并设置新的
Figure B2003801046534D00058
(输入变换函数的参数向量)以最小化评分SP(步骤509)。可以重复这些步骤直到获得产生最小评分SP的最优
Figure B2003801046534D00059
并返回每次获得新的数据,就生成来自新数据的新评分并计算最优
Figure B2003801046534D000511
值。这个新计算的向量
Figure B2003801046534D000512
可被用于变换输入值,意思是
Figure B2003801046534D000513
在本发明的实施例中,最优系数可以和最近的向量结合,以至于其中K<1。
当一组新的数据点到达时,可重新计算新的最优
一旦计算了一组系数,就可获得一组期望输出值的一组输入值(例如一个配方)。更具体地,根据一组期望值,通过反转所述预测模型(例如输入/输出依赖模型403)可获得一组变换的输入值
Figure B2003801046534D00061
变换的输入值然后可使用系数
Figure B2003801046534D00062
被逆变换,以获得输入值来产生期望输出值。
在上述实施例中,使用计算的系数变换了原始输入值。这种变换要求考虑输入参数之间的依赖性,如图6所示的。更具体的,一个晶片的表面被CMP工艺抛光,该晶片具有5个粗糙度不同的区域。目标是获得由图6中的虚线表示的平坦表面。在传统的技术中,可抛光一个区域而不考虑其他区域。但是,抛光一个区域能影响另一个区域的抛光(例如,当在区域1中应用偏移以把区域1的高度下降到虚线时,区域2的高度也被区域1的变化所影响)。使用本发明的实施例,说明了这些依赖性。
以下结合图7-8描述本发明的实施例在其中操作的计算机的示例实施例(例如在图4中描述的各种组件)。图7示例说明了被配置执行本发明实施例的计算机的内部硬件713的一个例子的方块图。总线756作为主要的信息高速路互连其中的各种组件。CPU 758是内部硬件713的中央处理单元,执行计算和逻辑运算,而这些是执行本发明的实施例以及其他程序所需的。只读存储器(ROM)760和随机存储器(RAM)762组成主存储器。磁盘控制器764连接一个或多个磁盘驱动器到系统总线756。这些磁盘驱动器是,例如软盘驱动器770、CD ROM或DVD(数字视频磁盘)驱动器766、或者内部或外部硬盘驱动器768。这些各种磁盘驱动器和磁盘控制器是可选的设备。
显示接口772和显示器748相连,并允许来自总线756的信息显示在显示器748上。与外部设备比如上述系统的其他组件的通信是利用,例如通信端口774进行的。光纤和/或电缆和/或导体和/或光通信(红外等等)和/或无线通信(例如射频RF等等)能够被用作外部设备和通信端口774之间的传输介质。外围接口754连接键盘750和鼠标752,允许输入数据传送到总线756。除了这些组件,内部硬件713还可选地包括红外发射器和/或红外接收器。当计算机系统与经由红外信号传输发送/接收数据的一个或多个处理组件/站/模块一起使用时,红外发射器被可选地使用。不使用红外发射器或红外接收器,计算机系统也可可选地使用低功率无线电发射器780和/或低功率无线电接收器782。低功率无线电发射器发送被生产工艺的组件接收的信号,并经由低功率无线电接收器接收来自这些组件的信号。低功率无线电发射器和/或接收器是工业标准设备。
虽然图7中的计算机被示例性地表示为具有单个处理器,单个硬盘驱动器和单个本地存储器,但是分析器可选地适合配备任何多的处理器或存储设备或者其组合。例如,计算机可被根据本发明实施例的任何合适的可操作处理系统替代或者与其组合,包括复杂的计算器、手持设备、笔记本电脑、微型计算机、大型机和超级计算机,以及相同的处理系统网络组合。
图8是示例性的计算机可读存储器介质884,其用于存储计算机可读代码或指令。作为一个例子,介质884可与图7所示的磁盘驱动器一起使用。典型地,存储介质比如软磁盘、CD ROM或数字视频磁盘将包含,例如用于单字节语言的多字节地区和用于控制建模器使得计算机能够执行上述功能的程序信息。替代地,图7所示的ROM 760和/或RAM 762也可被用于存储程序信息,该程序信息被用于指示中央处理单元758执行与本发明的各种自动处理相关联的操作。用于存储信息的合适的计算机可读介质的其他例子包括磁存储、电存储或者光存储(包括全息存储)、其某些组合等等。
通常,应该强调的是本发明实施例的各种组件可在硬件、软件或者其组合中实现。在这种实施例中,各种组件和步骤可在硬件/或软件中实现以执行本发明实施例的功能。可在本发明的这种实施例使用任何现在可用的或者未来开发的计算机软件语言和/或硬件组件。例如,至少上述某些功能能够使用Visual Basic、C、C++或者考虑到使用的处理器适合的任何汇编语言实现。它应该在解释性环境比如Java中编写,并传送到多个目的地给不同用户。
本发明实施例的许多特征和优点在详细的说明书中是明显的,因此,由所附的权利要求来覆盖本发明的所有这些特征和优点,其属于本发明的真正精神和范围。此外,由于对于本领域的技术人员来说,大量修改和变化是容易进行的,所以不希望把本发明限制在所述的确切构造和操作,据此,可采用所有合适的属于本发明范围的修改和等同物。例如,输出值可进行和输入参数上实施的变换类似的变换,且可对所变换的输出值上实施类似那些在所变换的输入参数上实施的变换。

Claims (14)

1.一种用于控制制造设备的计算机实现的方法,所述方法包括以下步骤:
(a)识别通到所述制造设备的至少一个输入,所述至少一个输入导致所述制造设备的多个输出中的至少两个输出发生改变;
(b)存储所识别的输入的值和对应的实际输出值;
(c)基于所述所识别的输入的值确定和存储预测输出值;
(d)通过最小化一个评分方程来确定一组变换系数,所述评分方程使用一个或多个所述实际输出值与其对应的预测输出值之间的差值的函数来表示;
(e)基于所计算的这组变换系数来确定对应于一个或多个期望输出值的一个或多个输入参数;和
(f)利用步骤(e)中所确定的一个或多个输入参数来设置制造设备输入参数。
2.根据权利要求1所述的方法,其中所述评分方程是:
S p = Σ i , k W i , k ( y actual ik - y predicted ik ( X → i ′ ( X → i , P → ) ) ) 2
其中:
i——晶片数量;
k——输出数量;
yactual——实际输出值;
ypredicted——预测输出值;
Figure F2003801046534C00012
是对于晶片i的向量形式的实际输入;且
Figure F2003801046534C00013
Figure F2003801046534C00014
是向量形式的变换输入,是基于所述实际输入连同变换参数
Figure F2003801046534C00015
而计算的,由此计算
Figure F2003801046534C00016
的最优值。
3.根据权利要求2所述的方法,进一步包括步骤:
收集来自所述制造设备的新的实际输出数据和所述制造设备的对应的输入值;
计算一组新的系数
使用这组新的系数作为
Figure F2003801046534C00022
的最优值。
4.根据权利要求1所述的方法,进一步包括步骤:
收集来自所述制造设备的新的实际输出数据和所述制造设备的对应的输入值;和
基于所述新的实际输出数据计算一组新的系数。
5.根据权利要求4所述的方法,进一步包括使用
Figure F2003801046534C00023
Figure F2003801046534C00024
计算该组新的系数,其中K<1,
Figure F2003801046534C00025
是前面计算的
Figure F2003801046534C00026
的最优值,且表示的当前最优值:和
使用该组新的系数作为
Figure F2003801046534C00029
的最优值。
6.一种用于控制制造设备的系统,所述系统包括:
(a)用于识别通到所述制造设备的至少一个输入的装置,所述至少一个输入导致所述制造设备的多个输出中的至少两个输出发生改变;
(b)一个存储器设备,其被配置成存储所识别的输入的值和对应的实际输出值以及预测输出值,其中所述预测输出值是基于所述所识别的输入的值计算的;
(c)通过最小化一个评分方程来确定一组变换系数的装置,所述评分方程使用一个或多个所述实际输出值与其对应的预测输出值之间的差值的函数来表示;
(d)基于所计算的这组变换系数来确定对应于一个或多个期望输出值的一个或多个输入参数的装置;和
(e)利用(d)中所确定的一个或多个输入参数来设置制造设备输入参数的装置。
7.根据权利要求6所述的系统,其中所述评分方程是:
S p = Σ i , k W i , k ( y actual ik ‾ - y predicted ik ( X → i ′ ( X → i , P → ) ) ) 2
其中:
i——晶片数量;
k——输出数量;
yactual——实际输出值;
ypredicted——预测输出值;
Figure F2003801046534C00032
是对于晶片i的向量形式的实际输入;
Figure F2003801046534C00033
Figure F2003801046534C00034
是向量形式的变换输入,是基于所述实际输入连同变换参数
Figure F2003801046534C00035
而计算的,由此计算
Figure F2003801046534C00036
的最优值。
8.根据权利要求6所述的系统,进一步包括:
收集来自所述制造设备的新的实际输出数据和所述制造设备的对应的输入值的装置;和
计算一组新的系数
Figure F2003801046534C00037
的装置,其中该组新的系数被定义为
Figure F2003801046534C00038
的最优值。
9.根据权利要求6所述的系统,进一步包括:
收集来自所述制造设备的新的实际输出数据和所述制造设备的对应的输入值的装置;和
基于所述新的实际输出数据确定一组新的系数的装置。
10.根据权利要求9所述的系统,其中用于确定的装置进一步被配置成使用以下的方程确定该组新的系数:
Figure F2003801046534C00039
其中K<1,
Figure F2003801046534C000310
是前面计算的
Figure F2003801046534C000311
的最优值,且表示
Figure F2003801046534C000313
的当前最优值,其中该组新的系数被定义为的最优值。
11.一种用于控制半导体处理设备的计算机实现的方法,其中所述半导体处理设备有多个输入参数和多个输出,所述方法包括以下步骤:
(a)识别通到所述半导体处理设备的至少一个输入,所述至少一个输入导致所述半导体处理设备的多个输出中的至少两个输出发生改变;
(b)找到所识别的输入的值和对应的实际输出值;
(c)基于所述所识别的输入的值确定预测输出值;
(d)通过最小化一个评分方程来确定一组变换系数,所述评分方程使用一个或多个所述实际输出值与其对应的预测输出值之间的差值的函数来表示;
(e)基于所确定的这组变换系数来确定对应于一个或多个期望输出值的一个或多个输入参数;和
(f)利用步骤(e)中所确定的输入参数来设置半导体处理设备输入参数。
12.根据权利要求11所述的方法,其中所述评分方程是:
S p = Σ i , k W i , k ( y actual ik - y predicted ik ( X → i ′ ( X → i , P → ) ) ) 2
其中:
i——晶片数量;
k——输出数量;
yactual——实际输出值;
ypredicted——预测输出值;
Figure F2003801046534C00042
是对于晶片i的向量形式的实际输入;
Figure F2003801046534C00043
是变换参数;且
Figure F2003801046534C00044
是向量形式的变换输入,是基于所述实际输入连同变换参数
Figure F2003801046534C00045
而计算的,由此计算
Figure F2003801046534C00046
的最优值。
13.根据权利要求11所述的方法,进一步包括:
收集来自所述半导体处理设备的新的实际输出数据和所述半导体处理设备的对应的输入值;
确定一组新的系数
Figure F2003801046534C00047
使用该组新的系数作为的最优值。
14.根据权利要求11所述的方法,进一步包括:
收集来自所述半导体处理设备的新的实际输出数据和所述半导体处理设备的对应的输入值;
按照确定一组新的系数,其中K<1,
Figure F2003801046534C00053
是前面计算的
Figure F2003801046534C00054
的最优值,且
Figure F2003801046534C00055
表示
Figure F2003801046534C00056
的当前最优值;和
使用该组新的系数作为
Figure F2003801046534C00057
的最优值。
CN2003801046534A 2002-11-15 2003-11-14 用于控制具有多变量输入参数的制造工艺的方法和系统 Expired - Fee Related CN1720490B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42639302P 2002-11-15 2002-11-15
US60/426,393 2002-11-15
PCT/US2003/036501 WO2004046835A2 (en) 2002-11-15 2003-11-14 Method, system and medium for controlling manufacture process having multivariate input parameters

Publications (2)

Publication Number Publication Date
CN1720490A CN1720490A (zh) 2006-01-11
CN1720490B true CN1720490B (zh) 2010-12-08

Family

ID=32326345

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2003801046534A Expired - Fee Related CN1720490B (zh) 2002-11-15 2003-11-14 用于控制具有多变量输入参数的制造工艺的方法和系统

Country Status (4)

Country Link
US (2) US7272459B2 (zh)
CN (1) CN1720490B (zh)
AU (1) AU2003290932A1 (zh)
WO (1) WO2004046835A2 (zh)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1343061A1 (de) * 2002-03-08 2003-09-10 Siemens Aktiengesellschaft Verfahren zur Simulation eines technischen Systems und Simulator
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US20040199444A1 (en) * 2003-01-23 2004-10-07 Joel Woodcock Method of characterizing financial benefits based upon input operational parameters having uncertainties
CA2535356A1 (en) * 2003-08-13 2005-03-03 Cargill, Incorporated Computer-aided modeling and manufacture of products
US7716014B2 (en) * 2004-09-30 2010-05-11 Rockwell Automation Technologies, Inc. Reuse of manufacturing process design models as part of a diagnostic system
GB0423110D0 (en) * 2004-10-18 2004-11-17 Manthatron Ip Ltd Acting on a subject system
US7822592B2 (en) * 2004-10-18 2010-10-26 Manthatron-Ip Limited Acting on a subject system
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
JP2009500853A (ja) * 2005-07-07 2009-01-08 エム ケー エス インストルメンツ インコーポレーテッド プロセス環境における動的パラメータのモニタリングに用いる自己訂正型多変量解析
US7536371B2 (en) * 2005-12-05 2009-05-19 Insyst Ltd. Apparatus and method for the analysis of a process having parameter-based faults
JP2007294763A (ja) * 2006-04-26 2007-11-08 Toshiba Corp 半導体装置の製造方法及び製造システム
US7991499B2 (en) * 2006-12-27 2011-08-02 Molnar Charles J Advanced finishing control
US8271103B2 (en) 2007-05-02 2012-09-18 Mks Instruments, Inc. Automated model building and model updating
US20080301012A1 (en) * 2007-05-31 2008-12-04 Cogswell Thomas A Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems
US8738410B2 (en) * 2007-05-31 2014-05-27 The Boeing Company Methods and systems for managing electronic work instructions for manufacture of product
DE102007030052B4 (de) * 2007-06-29 2015-10-01 Advanced Micro Devices, Inc. Automatische Abscheideprofilzielsteuerung
US20090055140A1 (en) * 2007-08-22 2009-02-26 Mks Instruments, Inc. Multivariate multiple matrix analysis of analytical and sensory data
US20100017009A1 (en) * 2008-06-30 2010-01-21 International Business Machines Corporation System for monitoring multi-orderable measurement data
US8494798B2 (en) 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8606379B2 (en) 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
CN101729513B (zh) 2008-10-27 2014-02-19 华为数字技术(成都)有限公司 网络认证方法和装置
US9069345B2 (en) 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
US8428985B1 (en) * 2009-09-04 2013-04-23 Ford Motor Company Multi-feature product inventory management and allocation system and method
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
NO332053B1 (no) 2010-07-16 2012-06-11 Odd Rune Eikemo Fitje Kontrollsystem for styring av komplekse fasiliteter som rommer flere samtidige prosesser.
US8781782B2 (en) * 2010-09-30 2014-07-15 Siemens Aktiengesellschaft System and method for conditional multi-output regression for machine condition monitoring
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
US8849438B2 (en) 2010-11-19 2014-09-30 Applied Materials, Inc. Factory level process and final product performance control system
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US8739096B2 (en) 2011-12-15 2014-05-27 International Business Machines Corporation Micro-electro-mechanical structure (MEMS) capacitor devices, capacitor trimming thereof and design structures
WO2013113388A1 (en) * 2012-02-02 2013-08-08 Foss Analytical A/S Method of controlling a production process
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
US10330608B2 (en) * 2012-05-11 2019-06-25 Kla-Tencor Corporation Systems and methods for wafer surface feature detection, classification and quantification with wafer geometry metrology tools
US9514999B2 (en) 2013-01-02 2016-12-06 Globalfoundries Inc. Systems and methods for semiconductor line scribe line centering
US9536796B2 (en) 2013-01-02 2017-01-03 Globalfoundries Inc. Multiple manufacturing line qualification
US8839159B2 (en) 2013-01-17 2014-09-16 International Business Machine Corporation Determining overall optimal yield point for a semiconductor wafer
DK177915B1 (en) * 2013-05-28 2015-01-05 Core As Process control method
US9395713B2 (en) * 2014-05-05 2016-07-19 IP Research LLC Method and system of protection of technological equipment
TWI630715B (zh) * 2014-10-21 2018-07-21 國立中央大學 有機發光二極體(oled)製程參數優化系統
CN105573269B (zh) * 2014-11-05 2018-06-01 中芯国际集成电路制造(上海)有限公司 半导体制造机台的参数监控系统及方法
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
JP6778666B2 (ja) * 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
JP7121506B2 (ja) * 2018-03-14 2022-08-18 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
JP7137943B2 (ja) 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11747774B2 (en) 2019-12-03 2023-09-05 Hitachi High-Tech Corporation Search device, search program, and plasma processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1293395A (zh) * 1999-10-18 2001-05-02 株式会社山武 控制运算设备和方法
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US6373033B1 (en) * 1996-01-31 2002-04-16 Asm America, Inc. Model-based predictive control of thermal processing

Family Cites Families (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
EP0397924B1 (en) 1989-05-17 1995-11-29 Koninklijke Philips Electronics N.V. Work station controller module
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
JP2601365B2 (ja) * 1990-04-13 1997-04-16 富士写真フイルム株式会社 塗布方法
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
EP0553285B1 (en) 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5687077A (en) * 1991-07-31 1997-11-11 Universal Dynamics Limited Method and apparatus for adaptive control
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
CA2157198A1 (en) * 1993-03-02 1994-09-15 James David Keeler Method and apparatus for analyzing a neural network within desired operating parameter constraints
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) * 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
KR0157899B1 (ko) * 1995-09-22 1998-12-01 문정환 기판에 반도체 장치를 부착시키기 위한 연결구조
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
FR2742152B1 (fr) 1995-12-06 1998-01-16 Synthelabo Derives de 5-naphtalen-1-yl-1,3-dioxanes, leur preparation et leur application en therapeutique
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
EP1909318A3 (en) 1996-03-19 2009-12-09 Hitachi, Ltd. Process management system
WO1997036164A1 (en) 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) * 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
JP2910723B2 (ja) * 1997-04-09 1999-06-23 日本電気株式会社 半導体集積回路の設計支援方法及びその方法を用いたシステム及びその方法を記録した記録媒体
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5889997A (en) * 1997-05-30 1999-03-30 Hewlett-Packard Company Assembler system and method for a geometry accelerator
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
SG66487A1 (en) * 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
DE19754878A1 (de) * 1997-12-10 1999-06-24 Siemens Ag Verfahren und Anordnung zur Vorhersage und Regelung einer Papierwickelkenngröße bei einer Papierwickelvorrichtung
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR19990065486A (ko) 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
KR100297371B1 (ko) 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
DE19842712C1 (de) * 1998-09-17 2000-05-04 Siemens Ag Verfahren und Anordnung zur Minimierung des Autokorrelationsfehlers bei der Demodulation eines Spreizspektrum-Signals unter Mehrwegeausbreitung
US6197604B1 (en) 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP2002533659A (ja) 1998-12-18 2002-10-08 マイクロ−エプシロン・メステヒニク・ゲーエムベーハー・ウント・コンパニー・カー・ゲー 渦電流センサの作動方法及び渦電流センサ
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
AU5442800A (en) 1999-05-28 2000-12-18 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001076982A (ja) 1999-06-28 2001-03-23 Hyundai Electronics Ind Co Ltd 半導体ウェーハを測定する測定装備を制御するための半導体工場自動化システム及び自動化方法
US6662058B1 (en) * 1999-06-28 2003-12-09 Sanchez Juan Martin Adaptive predictive expert control system
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6607926B1 (en) 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6560503B1 (en) 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
WO2001033277A1 (en) 1999-10-31 2001-05-10 Insyst Ltd. Strategic method for process control
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6469518B1 (en) 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6548713B2 (en) 2000-03-10 2003-04-15 Daicel Chemical Industries, Ltd. Process for the preparation of organic compounds with manganese catalysts or the like
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
JP4874465B2 (ja) 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6622059B1 (en) 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
JP2001326151A (ja) 2000-05-16 2001-11-22 Nec Corp 半導体集積回路製作システム
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6721609B1 (en) * 2000-06-14 2004-04-13 Fisher-Rosemount Systems, Inc. Integrated optimal model predictive control in a process control system
JP3832198B2 (ja) 2000-06-16 2006-10-11 日本電気株式会社 半導体ウェハの研磨終点検出方法ならびにその装置
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
US6618692B2 (en) 2000-09-20 2003-09-09 Hitachi, Ltd. Remote diagnostic system and method for semiconductor manufacturing equipment
JP3634734B2 (ja) 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6664557B1 (en) 2001-03-19 2003-12-16 Lam Research Corporation In-situ detection of thin-metal interface using optical interference
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6678570B1 (en) 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6725098B2 (en) * 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US6373033B1 (en) * 1996-01-31 2002-04-16 Asm America, Inc. Model-based predictive control of thermal processing
CN1293395A (zh) * 1999-10-18 2001-05-02 株式会社山武 控制运算设备和方法

Also Published As

Publication number Publication date
AU2003290932A8 (en) 2004-06-15
US7966087B2 (en) 2011-06-21
US20080021571A1 (en) 2008-01-24
US20040225377A1 (en) 2004-11-11
CN1720490A (zh) 2006-01-11
US7272459B2 (en) 2007-09-18
AU2003290932A1 (en) 2004-06-15
WO2004046835A2 (en) 2004-06-03
WO2004046835A3 (en) 2005-01-06

Similar Documents

Publication Publication Date Title
CN1720490B (zh) 用于控制具有多变量输入参数的制造工艺的方法和系统
KR100904867B1 (ko) 패드 웨어 및 패드 컨디셔닝 모델을 전개하는 컴퓨터 실행 방법, 컴퓨터 판독가능 매체, 및 컨디셔닝 시스템
US7333871B2 (en) Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US7970588B2 (en) Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
CN101438217B (zh) 用于评估与处理过程关联的状态的方法与系统
Chang et al. A forecasting model for small non-equigap data sets considering data weights and occurrence possibilities
CN101288163A (zh) 用于处理控制之产品相关之反馈
Zhou-Kangas et al. Decision making in multiobjective optimization problems under uncertainty: balancing between robustness and quality
Gautam et al. A novel moving average forecasting approach using fuzzy time series data set
CN115053230A (zh) 优化低精度推理模型用于深度神经网络的部署
TWI774919B (zh) 資訊處理裝置、程式、製程處理執行裝置及資訊處理系統
JP2021043596A (ja) 量子化パラメータ最適化方法、及び、量子化パラメータ最適化装置
CN100449551C (zh) 软件媒介式控制架构
Wei et al. Material removal rate prediction in chemical mechanical planarization with conditional probabilistic autoencoder and stacking ensemble learning
EP4176386A1 (en) Training actor-critic algorithms in laboratory settings
CN112313679A (zh) 信息处理设备、信息处理方法和程序
Ma et al. Distributional reinforcement learning for run-to-run control in semiconductor manufacturing processes
Maier Autonomous parameter selection of manufacturing processes with applications in grinding and turning
CN109255510A (zh) 基于活动的计划执行与预算分析信息控制系统及方法
JPH10187660A (ja) コンピュータ演算方法
Sawlani et al. Perspectives on artificial intelligence for plasma-assisted manufacturing in semiconductor industry
US20240029306A1 (en) Methods, systems, apparatus, and articles of manufacture for monocular depth estimation
KR101945042B1 (ko) 보안 서비스 매칭 방법 및 장치
US20230419195A1 (en) System and Method for Hierarchical Factor-based Forecasting
EP4109344A1 (en) Methods, systems, articles of manufacture and apparatus to improve algorithmic solver performance

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101208

Termination date: 20121114