CN1713078B - 用于抗蚀剂剥离室的裸铝隔板 - Google Patents

用于抗蚀剂剥离室的裸铝隔板 Download PDF

Info

Publication number
CN1713078B
CN1713078B CN2005100791422A CN200510079142A CN1713078B CN 1713078 B CN1713078 B CN 1713078B CN 2005100791422 A CN2005100791422 A CN 2005100791422A CN 200510079142 A CN200510079142 A CN 200510079142A CN 1713078 B CN1713078 B CN 1713078B
Authority
CN
China
Prior art keywords
dividing plate
aluminium
resist stripping
naked
stripping cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005100791422A
Other languages
English (en)
Other versions
CN1713078A (zh
Inventor
F·D·埃格利
M·康
A·L·陈
J·郭
石洪
D·奥特卡
B·莫雷尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1713078A publication Critical patent/CN1713078A/zh
Application granted granted Critical
Publication of CN1713078B publication Critical patent/CN1713078B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Abstract

裸铝隔板适用于抗蚀剂剥离室并包括一层外部氧化铝层,所述外部氧化铝层可以是天生的氧化铝层,或者是通过用化学方法处理新的或用过的裸铝隔板所形成的一层,以便形成一层薄的外部氧化铝层。

Description

用于抗蚀剂剥离室的裸铝隔板
背景
半导体衬底材料如硅片通过一些技术进行加工,上述技术包括金属、介电材料和半导体材料的淀积法,如化学汽相淀积(CVD)或等离子体增强式化学汽相淀积(PECVD);腐蚀法;及抗蚀剂剥离法。
半导体集成电路(IC)加工包括在衬底上形成器件。将导电材料层和绝缘材料层淀积在衬底上。抗蚀剂可以作为掩蔽层涂布在层垛上,并形成图案以便保护底层不希望蚀刻的部分。在完成蚀刻加工之后,通过一种剥离技术如用有机剥离剂、氧化型剥离剂、或者利用等离子体蚀刻干法剥离,将抗蚀剂从结构中除去。
概述
提供裸铝隔板,所述裸铝隔板适用于一种等离子体加工设备的抗蚀剂剥离室,上述等离子体加工设备包括一个远距离的等离子体源,以便将反应性成分供应到抗蚀剂剥离室中。隔板的一个优选实施例成形为由抗蚀剂剥离室的一个侧壁支承,同时隔板的穿孔表面面向待在上述室中加工的半导体衬底。隔板的穿孔表面包括用于分配反应性成分的气体通道。
裸铝隔板包括一层外部氧化铝层,所述外部氧化铝层形成隔板的外表面。外层优选的是具有厚度为约—约
Figure S05179142220050701D000012
,而优选的具有密度为氧化铝理论密度的至少约90%。外部氧化铝层可以是一种天生的氧化铝层,或者它可以通过用化学方法处理新的或用过的裸铝隔板形成。
抗蚀剂剥离设备的一个优选实施例包括:一个抗蚀剂剥离室;一个远距离等离子体源,所述远距离等离子体源可操作,以便产生等离子体并将反应性成分加入抗蚀剂剥离室中;及一个裸铝隔板,所述裸铝隔板由抗蚀剂剥离室的一个侧壁支承。远距离等离子体源优选的是包括一个微波发生器,所述微波发生器发射微波以便将处理气体激发成等离子体状态。
提供了在抗蚀剂剥离室中从半导体衬底剥离抗蚀剂的方法的一个优选实施例,所述方法包括远离抗蚀剂剥离室将一种处理气体激发成等离子体状态,并将反应性成分供应到抗蚀剂剥离室中,在所述抗蚀剂剥离室中将一包括抗蚀剂的衬底支承在衬底支承件上。抗蚀剂剥离室包括一个侧壁和一个裸铝隔板,所述裸铝隔板形成一个顶壁并由侧壁支承。反应性成分通过隔板中的通道分配到上述室中,以便除去衬底上的抗蚀剂。
提供一种处理适用于抗蚀剂剥离室的裸铝隔板的方法的优选实施例,所述方法包括:用一种化学溶液处理具有第一外部氧化铝层的裸铝隔板,上述化学溶液对除去隔板上污染物和第一外部氧化铝层有效,以便露出铝材料;及在铝材料上形成第二外部氧化铝层。第二外部氧化铝层优选的是具有厚度为约
Figure S05179142220050701D000021
—约,和优选的是具有密度为氧化铝理论密度的至少约90%。
附图简介
图1示出一种抗蚀剂剥离室的一个实施例,包括裸铝隔板的一个优选实施例。
图2示出裸铝隔板的一个优选实施例。
图3示出一种设置在图2所示裸铝隔板上的衬垫。
图4示出一种衬底可以在图1所示的抗蚀剂剥离室中加工的实施例。
详细说明
用于半导体衬底如硅片的等离子体加工设备,包括抗蚀剂剥离室,所述抗蚀剂剥离室在半导体器件制造工艺中用来除去抗蚀剂(或“光致抗蚀剂”),上述抗蚀剂用作半导体结构的掩膜。例如,抗蚀剂是在一层或多层已经蚀刻在它们之中形成器件之后从底层中除去。在抗蚀剂剥离室中实施从半导体结构中除去抗蚀剂的一种技术是干法剥离,所述干法剥离也称之为“灰化”,它采用等离子体干法蚀刻技术。
在抗蚀剂剥离操作期间,反应性组分分布在一个衬底上,所述衬底包括一个抗蚀剂层,该抗蚀剂层是在抗蚀剂剥离室内进行加工。现已发现,用包括经阳极氧化处理的铝和陶瓷,如石英、碳化硅和兰宝石的材料制成的隔板具有某些缺点。经阳极氧化处理的铝隔板包括一个外部氧化物涂层,所述外部氧化物涂层由铝材料在一种电解液中阳极氧化作用形成。然而,由阳极氧化处理法所形成的经过阳极氧化处理的层包括一个内层和一个外层,它们可能是不希望有的多孔,低密度,并包括缺陷。另外,经过阳极氧化处理的层是厚的,通常具有厚度为约5000—
Figure S05179142220050701D000031
还已发现,陶瓷材料制的隔板具有低导热系数,同时使它们在半导体衬底加工期间易受到热冲击损坏,还使它们在抗蚀剂剥离期间具有很差的空间温度均匀性,这样降低了从衬底中去除抗蚀剂的均匀性。陶瓷隔板也是脆的,因此,甚至在常规的清洗和处理操作期间也容易发生断裂。另外,石英隔板是自耗部件,亦即它们在抗蚀剂剥离室中的性能随着连续使用而品质变差。
由于上述与在抗蚀剂剥离室中使用经过氧化处理的铝和陶瓷材料有关的缺点,所以进一步进行了研究,以便研制供在抗蚀剂剥离室中用的不同材料的隔板。由于这些研究的结果,出人意料地确定,在抗蚀剂剥离室中可以使用“裸铝”隔板,而没有上述经过阳极氧化处理的铝和陶瓷材料隔板的缺点。如本文所用的,术语“裸铝”意思是指具有“天生的”外部氧化物层的铝或铝合金材料,或者是具有用本发明所述方法一个实施例所形成的薄外部氧化铝层的这种铝或铝合金材料。如本文所述,“薄的”外部氧化铝层具有厚度为约—约
Figure S05179142220050701D000033
更优选的是约
Figure S05179142220050701D000034
—约。天生的氧化铝层是当铝材料于环境温度下暴露于含氧气氛中时在氧化铝材料上自然形成。如本文所用的术语“裸铝”不包括经过阳极氧化处理的铝材料,因为它包括经过阳极氧化处理的氧化铝层。
图1示出一个抗蚀剂剥离室10的示例性实施例,其中安装了一种裸铝隔板50的一个优选实施例。抗蚀剂剥离室10包括一个侧壁12,一个底壁14和一个盖16。抗蚀剂剥离室10的壁12,14和盖16可以是任何合适的材料制成,如经过阳极氧化处理的铝,或者裸铝。盖16优选的是通过铰接件枢轴式安装到侧壁12上,以便能打开盖16进入抗蚀剂剥离室10的内部,取出裸铝隔板用于更换或用于其它目的。抗蚀剂剥离室10包括在底壁14中的真空口18。
抗蚀剂剥离室10还包括一个衬底支承件20,在抗蚀剂剥离期间一个半导体衬底22,如一个晶片,安装在上述衬底支承件20上。衬底22包括一种抗蚀剂,所述抗蚀剂提供一种掩蔽层,用于在抗蚀剂剥离过程中保护衬底22的底层。底层可以用导电材料、绝缘材料和/或半导体材料制成。衬底支承件20优选的是包括一种适合于夹紧衬底22的静电吸盘。衬底支承件20优选的是包括一个加热器,如一种电阻式加热元件,所述加热器适合于在抗蚀剂剥离过程中使衬底22保持在一合适的温度下,优选的是使温度保持在约200℃—约300℃下,而更优选的是保持在约250℃—约300℃下。衬底22可以通过设置在侧壁12中的衬底入口26插入抗蚀剂剥离室10和从该剥离室10中取出。例如,衬底22可以在真空下从位于抗蚀剂剥离室附近的蚀刻室转移到抗蚀剂剥离室10的内部。
在实施例中,将一个远距离的等离子源30安装成与抗蚀剂剥离室10流体连通。等离子体源30可操作,以便产生等离子体和把反应性成分通过通道32供入抗蚀剂剥离室10的内部,上述通道32连接到抗蚀剂剥离室10上。反应性成分从支承在衬底支承件20上的衬底22中除去抗蚀剂。所示的等离子体源30的实施例包括一个远距离的能量源34和一个剥离气体源36。能量源34可以是任何合适的能量源,而优选的是一种微波发生器。包括一个微波发生器的示例性设备可从位于美国California的Freemont的Lam Research Corporation购买。在一个优选实施例中,微波发生器在2.45GHz的频率下工作,优选的是具有功率是在约500—约1500W范围内,更优选的是在约1000—约1500W范围内。微波用箭头38表示,它由微波发生器34产生,并通过波导管40传播到通道32中。
气体源36可操作,以便将用箭头42表示的处理气体供应到通道32中,在此处通过由能量源34产生的微波将气体激发成等离子体状态。反应性成分通过开口44转入抗蚀剂剥离室10的内部。
反应性成分在流到衬底22上并剥离抗蚀剂之前,通过位于盖16和衬底支承件20之间的裸铝隔板50分布在抗蚀剂剥离室10中。在抗蚀剂剥离期间,衬底优选的是用一个位于衬底支承件20中的加热器加热。在抗蚀剂剥离期间所产生的废产物通过排出口18泵送出抗蚀剂剥离室10。
如图2所示,裸铝隔板50优选的是一种圆形的裸铝整体。抗蚀剂剥离室10优选的是圆筒形用于单片处理。当适合于安装在圆筒形抗蚀剂剥离室10中时,裸铝隔板50优选的是具有一大于宽度的直径,比如,在抗蚀剂剥离室10内部的直径,因此隔板可以由侧壁12支承。裸铝隔板50包括一个里面部分,所述里面部分具有隆起的中心部分52,该中心部分52具有上表面54和贯通的通道56。在所示的裸铝隔板50的实施例中,中心部分52包括6个在圆周上间隔开的通道56。在另一个实施例中,通道56的总数可以多于或少于6个。在该实施例中,紫外(UV)辐射穿过通道32朝一般垂直于上表面的方向照射在上表面54上。通道56优选的是与表面54或拱形角取向,以防UV辐射的视线直接穿过裸铝隔板50。因此,UV辐射从上表面54和通道56的壁反射,以便它不损伤衬底22。
裸铝隔板50还包括贯通的通道58,所述通道58安排在中心部分52和周边部分60之间。通道58适合于将反应性成分按所希望的流型分配在抗蚀剂剥离室10内部中。如图2所示,通道58优选的是取同心式排列的孔排形式。通道58优选的是具有圆形横截面,和优选的是在裸铝隔板50在从中心部分52朝周边部分60径向向外的方向上横截面大小(比如直径)增加。
如图2所示,裸铝隔板50的周边部分60包括一个凸缘62,所述凸缘62具有圆周上间隔开的孔64,上述孔64用于安放紧固件66,比如螺栓(图1),以便将裸铝隔板50固定到抗蚀剂剥离室10的侧壁12的顶部表面68上。裸铝隔板50可以从侧壁12上卸下和从抗蚀剂剥离室10中取出,以便处理或更换裸铝隔板,如上所述。
裸铝隔板50用铝或铝合金如6061铝制成,上述铝或铝合金包括按重量计约96—99%Al,约0.8—约1.2%Mg,约0.8%的Si、Cu、Cr,和任选地Fe、Mn、Zn、和/或Ti。
衬垫70适合于支承在裸铝隔板50的上表面72上,以便在抗蚀剂剥离过程中使淀积在盖16底表面上的材料减至最少。在圆周上间隔开的间隔片65设置在上表面72上,以便支承衬垫70和在它们之间形成压力通风系统74(图1)。间隔片65可以用任何合适的材料制成,优选的是用“TEFLON”制成,衬垫70包括一个中央设置的通道44,反应性组分穿过上述通道44从通道32转入压力通风系统74中。衬垫70优选的是用裸铝如6061铝制成。
裸铝隔板50可以是“新的”隔板或者是“用过的”隔板,上述“新的”隔板在抗蚀剂剥离室中未曾用过并包括天生的氧化铝外层,而上述“用过的”隔板亦即以前已在抗蚀剂剥离室中用过,并包括天生的外部氧化铝层或者由本文所述方法所形成的薄外部氧化铝层。这种“新的”或者“用过的”裸铝隔板可以用本文所述的方法处理,以便产生一薄的外部氧化铝层。换句话说,“用过的”裸铝隔板可以用实施本文所述的方法回收。“回收的”包括一个薄的外部氧化铝层的裸铝隔板可以重新安装在抗蚀剂剥离室中并重新用于抗蚀剂剥离处理。
如上所述,包括天生的外部氧化铝层的新裸铝隔板,可以在抗蚀剂剥离室中重新使用。在新的裸铝隔板安装在抗蚀剂剥离室中之前,优选的是将它们进行处理,以便除去残留的污染物如润滑剂,上述污染物由制造隔板产生。
按照裸铝隔板的另一个优选实施例,包括天生的外部氧化铝层的新裸铝隔板可以通过除去天生的氧化铝层进行处理,从而只留下铝基材料;和然后在铝材料露出的表面上形成薄的外部氧化铝层。如果确定具有不足的性能供在抗蚀剂剥离室中使用,比如天生的外部氧化铝层具有不足的密度、厚度和/或均匀度,则除去了天生的外部氧化铝层。在除去天生外部氧化铝层之后所形成的外部氧化铝层优选的是一个单层,优选的是具有厚度为约
Figure S05179142220050701D000071
—约,更优选的是为约—约
Figure S05179142220050701D000074
,优选的是具有密度为氧化铝理论密度的至少约90%,更优选的是密度为氧化铝理论密度的至少约95%。因此,薄的氧化铝层与经过阳极氧化处理的氧化铝层相比孔隙度减少。另外,厚的经过阳极氧化处理的氧化物层可能包括不希望有的金属间夹杂物如SiMg或MgSiFe,上述夹杂物降低了上述氧化铝层的质量。
按照裸铝隔板的另一个优选实施例,包括一层天生的外部氧化铝层的用过的裸铝隔板可以通过一个处理工序回收,所述处理工序包括以下步骤:从隔板中除去表面污染物和天生的外部氧化铝层,从而只留下铝基材料;然后在铝基材料露出的表面上形成一层薄的外部氧化铝层。外部氧化铝层优选的一个单层;优选的是具有厚度为约—约
Figure S05179142220050701D000076
,更优选的是约—约
Figure S05179142220050701D000078
;及优选的是具有一密度为氧化铝理论密度的至少约90%,更优选的是至少约95%。
按照另一个优选实施例,包括由本文所述方法的实施例所形成的薄外部氧化铝层的用过的裸铝隔板可以进行处理,以便除去外部氧化铝层上的污染物,并且也除去外部氧化铝层本身,然后在最终的铝基材料上形成新的外部氧化铝层。当希望时可以进行这种处理,从而能让象这样处理过的裸铝隔板在抗蚀剂剥离室中重新使用。例如,当确定了抗蚀剂剥离速率减小、在整个晶片上剥离不均匀、和/或在装有裸铝隔板的抗蚀剂剥离室中加工过的衬底上存在颗粒淀积物时,可以进行处理。处理可以进行一次或多次,亦即裸铝隔板可以回收至少一次。
按照一个优选实施例,包括形成氧化铝层状态的裸铝隔板可以进行后处理,以便除去氧化铝层中的微量污染物、颗料物和缺陷。
按照优选实施例,新的和用过的裸铝隔板通过一种化学处理法进行处理,上述化学处理法包括从新的和用过的裸铝隔板中除去表面污染物和天生的氧化铝层,或者从用过的裸铝隔板中除去事先形成的薄氧化铝层和污染物。薄氧化铝层是在除去氧化铝层之后在铝基材料上形成。视各种因素而定,上述因素包括抗蚀剂组成、衬底各层的组成、及用于剥离衬底中抗蚀剂的处理气体混合物,淀积在裸铝隔板的露出的表面上的污染物可以包括例如碳、Ti、TiF4或AlF3。化学处理法包括以下步骤:除去表面污染物和天生的或事先形成的薄外部氧化铝层以便露出铝基材料;然后在铝基材料上形成薄氧化铝层。化学处理法优选的是还包括以下步骤:在除去氧化铝层后精制铝基材料的表面;处理裸铝隔板经过精制的表面,以便在形成薄氧化铝层之前除去污染物。
按照化学处理法的一个优选实施例,新的或用过的裸铝隔板起初进行清洗以便除去淀积物。这些淀积物可能包括衬底中剥离光致抗蚀剂时的有机物以及其它物质如Ti、TiF4或AlF3。清洗优选的是包括首先用合适的碱性清洗液如NoVa120洗液,所述NoVa120洗液购自位于Michigan,Madison Heights的Mehkel Surface Technologies。这种溶液是含有四硼酸钠和一些专用添加剂的非硅酸盐式碱性清洗液。裸铝隔板优选的是在约110℉—约130℉的温度下浸入上述溶液中约5—约15分钟,接着用水漂洗裸铝隔板约3—约5分钟以便从中除去上述清洗液。
在实施例中,裸铝隔板外表面优选的是然后用一种合适的碱性腐蚀液如NoVa SC 60 3B溶液进行腐蚀,上述NoVa SC 60 3B溶液购自Henkel Surface Technology。这种溶液是一种碱性腐蚀液,它主要含有氢氧化钠和一些专用添加剂。裸铝隔板优选的是在约110℉—约130℉的温度下浸入上述溶液中约30秒—约2分钟,接着用水漂洗足够长时间以便除去裸铝隔板中的上述溶液,上述时间通常为约5分钟—约10分钟。漂洗水优选的是超纯水,在环境温度下具有电阻率为至少15Mohm-cm(兆欧—厘米)。
在实施例中,裸铝隔板的外表面然后用一种合适的溶液如NoVa310A&B溶液进行脱氧处理,上述NoVa 310 A&B溶液购自HenkelSurface Technologies。裸铝隔板优选的是浸入上述溶液中足够长的时间,通常是约5—约10分钟,以便除去裸铝隔板的外部氧化铝层。溶液优选的是在大致环境温度下。然后将裸铝隔板优选的是用超纯水漂洗足够长的时间,通常是约5—约10分钟,以便除去上述溶液。经过漂洗的裸铝隔板用例如洁净的干空气或经过过滤的氮气干燥。
在除去氧化铝层之后,裸铝隔板优选的是进行精制,以便形成所希望的表面粗糙度供在抗蚀剂剥离室中使用。例如,经过精制的表面粗糙度可以是约15—约20微英寸。裸铝隔板可以用任何合适的磨料如砂纸进行精制,上述砂纸包括氧化铝磨料,比如220磨料的砂纸。较粗或较细的砂纸也可以用,或者可供选择地,根据裸铝隔板所希望的表面光洁度使用。裸铝隔板可以在重修表面期间旋转,以便增加表面光洁度的均匀度。经过重修表面的裸铝隔板优选的是用超纯水漂洗足够长的时间,通常是约5—约10分钟,以便除去裸铝隔板表面中的松散颗粒物。经过漂洗的裸铝隔板用例如干净的空气或者经过过滤的氮气干燥。
在实施例中,将精制时留在裸铝隔板表面上的污染物除去;优选的是首先用一种合适的碱性清洗液如NoVa120。裸铝隔板优选的是在约110℉—约130℉的温度下浸泡在上述溶液中约5—约15分钟。然后优选的是用超纯水漂洗裸铝隔板约3—约10分钟,以便除去裸铝隔板中残留的碱性清洗液。
在碱性清洗步骤之后,将裸铝隔板用一种酸性清洗液进行清洗,以便在裸铝隔板上形成氧化铝层,上述氧化铝层在完成这个步骤之后将继续在空气中生长。任何合适的酸性清洗液都可以用。优选的酸性清洗液含有约0.25%磷酸和约0.05%氢氟酸的混合物。裸铝隔板优选的是在大致的环境温度下浸入酸性清洗液中约1—约3分钟。然后优选的是用超纯水漂洗裸铝隔板约3—约10分钟,以便除去裸铝隔板中残留的酸性清洗液。
在实施例中,裸铝隔板优选的是然后在一种合适的洁净环境,优选的是在1000级洁净室内于超纯水中进行超声清洗。水优选的是在大致环境温度下。在超声清洗之后,裸铝隔板优选的是用超纯水漂洗,然后用例如洁净的干空气或经过过滤的氮气干燥。
在另一个优选实施例中,新的和/或用过的裸铝隔板可以通过一种方法处理,上述方法包括除去表面污染物和天生的外部氧化铝层或事先形成的薄外部氧化铝层,然后用电解抛光操作手续在裸铝隔板上形成一层薄的外部氧化铝层。在实施例中,污染物和外部氧化铝层可以通过上述步骤除去。
在已从裸铝除去外部氧化铝层露出铝基材料之后,通过将裸铝隔板放在电解抛光槽中对裸铝隔板进行电解抛光,上述电解抛光槽含有一种合适的酸性溶液,优选的是至少含有磷酸的酸性溶液。可以选择电解抛光条件,以便产生具有所希望厚度的氧化铝层,所述厚度优选的是约50—约。氧化铝层具有密度优选的是氧化铝理论密度的至少90%,优选的是理论密度的至少约95%。通常,电解抛光可以进行约30秒—约5分钟,以便产生所希望厚度的氧化铝层。
在实施例中,具有外部氧化铝层的裸铝隔板优选的是在一个洁净环境内如10000级或1000级洁净室内用去离子水漂洗和用超纯水进行超声清洗。然后将裸铝隔板优选的是用氮气或超纯空气干燥。
具有天生的氧化铝外层或者通过上述方法优选实施例所形成的薄氧化铝外层的裸铝隔板可以在抗蚀剂剥离室中使用,而不会产生衬底如半导体片的金属污染、减少抗蚀剂剥离速率、或减少抗蚀剂剥离均匀度。裸铝隔板可以提供抗氧化性和/或抗腐蚀性,上述氧化作用和/或腐蚀作用由腐蚀处理气体产生,所述腐蚀处理气体包括氟化气体。
裸铝隔板与陶瓷材料和经过阳极氧化处理的材料制的裸铝隔板相比,可以提供某些优点。尤其是,裸铝隔板具有比陶瓷隔板高的导热系数,这样可以消除热冲击问题和在裸铝隔板中提供更好的温度均匀性,所述更好的温度均匀性本身又可以改善衬底上的抗蚀剂剥离均匀性。铝还比高纯陶瓷材料便宜。与经过阳极氧化处理的铝隔板相比,裸铝隔板具有一外部氧化铝层,所述外部氧化铝是单层,比这种经过阳极氧化处理的铝隔板的经过阳极氧化处理的层更薄和密度更高。
在抗蚀剂剥离室10中可以处理的一种衬底22的示例性实施例在图4中示出。衬底22描绘出的是在完成金属腐蚀之后但在进行抗蚀剂剥离之前。在另一些实施例中,另一些层可以设置在所示的各层上方、下方或它们之间。另外,不是图4中所示的各层全部存在,其中某些层或所有层可以用别的不同的层代替。
衬底22包括一个基底衬底102,它通常是硅衬底。氧化物层104如SiO2在衬底102上形成。在氧化物层104和叠加的金属层108之间,可以形成一个或多个隔离层106,比如Ti、TiN、TiW或类似物层。
金属层108可以包括比如钨、铝或铝合金,如Al-Cu、Al-Si或Al-Cu-Si。衬底22还可以包括用任何合适材料如TiN或TiW形成的抗反射涂装(ARC)层110。形成图案的抗蚀剂层112设置在ARC层110上。加工的副产品120在壁上示出。
用来形成远距离等离子体的处理气体包括氧,氧激发成等离子体状态,使O2离解成氧基团和离子成分,它们流入抗蚀剂剥离室10内部并与衬底22上的抗蚀剂层112反应(亦即氧化或“灰化”)。通过剥离法除去光致抗蚀剂的速率称之为“剥离速率”。处理气体可以具有任何合适的组成,如一种含氧的气体混合物,如O2/N2、O2/H2O、O2/N2/CF4、或O2/N2/H2O气体混合物。气体混合物优选的是包括O2、N2、和一种含氟成分如CF4或C2F6。可以将N2加到气体混合物中来增加比第二种材料如隔离层和/或底层更好的对光致抗蚀剂材料的选择性。如本文所用的,术语与第二种材料相比对光致抗蚀剂的“选择性”定义为光致抗蚀剂腐蚀速率与第二种材料腐蚀速率的比值。
优选的气体混合物可以含有例如占总体积约40%—约99%,优选的是约60%—约95%,而更优选的是约70%—约90%的O2;约0.5%—约30%,优选的是约2.5%—约20%,而更优选的是约5%—约15%的含氟气体;及约0.5%—30%,优选的是约2.5%—20%,而更优选的是约5%—15%的N2。在抗蚀剂剥离期间,处理气体的总流速优选的是在约500—约6000sccm范围内,更优选的是在约2000—约5000sccm范围内,而抗蚀剂剥离室10中的压力优选的是在约200mTorr(毫乇)—约10Torr(乇)范围内。
本发明已经参照优选实施例进行了说明。然而,对该技术的技术人员来说,很显然,在不脱离本发明的精神情况下,可以用与上述不同的具体形式实施本发明。优选实施例是举例说明用的,而不应看作是限制性的。本发明的范围由所附权利要求书规定,而不是由上述说明规定,并且打算把所有属于权利要求书范围内的变化和等效物都包括在其中。

Claims (18)

1.一种用于等离子体加工设备的裸铝隔板,所述设备包括一个抗蚀剂剥离室和一个远距离等离子体源,该等离子体源可操作地将反应性成分供应到抗蚀剂剥离室中,其中隔板成形为由抗蚀剂剥离室的一个侧壁支承并形成抗蚀剂剥离室的顶壁,所述裸铝隔板包括一个里面部分和一个周边部分,其中该里面部分包括中心突起部分,中心突起部分包括一个上表面和多个贯通的通道,上述贯通的通道相对于上表面成一拱弧角度取向,以便各贯通的通道朝径向向外的方向上延伸向周边部分,且所述隔板包括气体通道用于分配反应性成分,所述裸铝隔板包括形成裸铝隔板外表面的外部氧化铝层,该氧化铝层的厚度为密度为氧化铝理论密度的至少90%,其中所述外部氧化铝层不是阳极氧化处理的层。
2.如权利要求1所述的裸铝隔板,其特征在于,外部氧化铝层的厚度为
Figure FSB00000328862600012
密度为氧化铝理论密度的至少95%。
3.如权利要求1所述的裸铝隔板,其特征在于,所述裸铝隔板包括厚度为
Figure FSB00000328862600013
的天生的外部氧化铝层。
4.如权利要求1所述的裸铝隔板,其特征在于,其中所述里面部分进一步包括多个同心式排列的气体通道排,所述气体通道排包围中心突起部分,而所述周边部分包括一个凸缘,所述凸缘具有若干适合于容纳紧固件的孔,以便将隔板安装到侧壁上。
5.如权利要求1所述的裸铝隔板,其特征在于,裸铝隔板适合于将裸铝衬垫支承在裸铝隔板上表面上的多个衬垫支承件上,以便当裸铝隔板支承在侧壁上时,衬垫邻近抗蚀剂剥离室的盖,并且压力通风系统限定在衬垫的底表面和裸铝隔板的上表面之间,上述压力通风系统与远距离等离子体源和抗蚀剂剥离室成流体连通。
6.如权利要求1所述的裸铝隔板,其特征在于,该隔板是一种铝合金。
7.如权利要求1所述的裸铝隔板,其特征在于,该隔板是一种圆形形状,并具有一直径大于抗蚀剂剥离室内部的宽度,因此当隔板支承在抗蚀剂剥离室的侧壁上时,隔板的周边部分叠加在侧壁上。
8.一种抗蚀剂剥离设备,包括:
一个抗蚀剂剥离室;
一个远距离等离子体源,所述远距离等离子体源可操作以便产生等离子体并将反应性成分加入到抗蚀剂剥离室中;及
一个按照权利要求1所述的裸铝隔板,所述裸铝隔板由抗蚀剂剥离室的侧壁支承,并形成抗蚀剂剥离室的顶壁。
9.如权利要求8所述的抗蚀剂剥离设备,其特征在于,远距离等离子体源包括一个微波发生器,所述微波发生器适合于发射微波,以便将一种处理气体激发成等离子体状态。
10.一种在抗蚀剂剥离室中剥离衬底中抗蚀剂的方法,所述方法包括:
远离抗蚀剂剥离室将一种处理气体激发成等离子体状态,并将反应性成分供应到抗蚀剂剥离室中,在所述抗蚀剂剥离室中将包括抗蚀剂的半导体衬底支承在一个衬底支承件上,抗蚀剂剥离室包括一个侧壁,按照权利要求1所述的裸铝隔板由上述侧壁支承,并形成抗蚀剂剥离室的顶壁;及
通过隔板中的气体通道将反应性成分分配到衬底上,以便除去衬底中的抗蚀剂。
11.如权利要求10所述的方法,其特征在于,所述裸铝隔板包括厚度为
Figure FSB00000328862600031
的天生的外部氧化铝层。
12.如权利要求10所述的方法,其特征在于,处理气体包括氧和氟。
13.一种处理适合于抗蚀剂剥离室的裸铝隔板的方法,包括:
a)用一种化学溶液处理具有第一外部氧化铝层的裸铝隔板,上述化学溶液对除去隔板中污染物和第一外部氧化铝层有效,以便露出铝基材料;及
b)在铝基材料上形成第二外部氧化铝层,第二外部氧化铝层具有厚度为
Figure FSB00000328862600032
而密度为氧化铝理论密度的至少90%,其中所述第二外部氧化铝层不是阳极氧化处理的层。
14.如权利要求13所述的方法,其特征在于,第二外部氧化铝层具有厚度为而密度为氧化铝理论密度的至少95%。
15.如权利要求13所述的方法,其特征在于,第一外部氧化铝层是一种天生的氧化铝层。
16.如权利要求13所述的方法,其特征在于,还包括在a)和b)之间精制裸铝隔板的表面。
17.如权利要求13所述的方法,其特征在于,第二外部氧化铝层通过电解抛光手续在铝基材料上形成。
18.如权利要求13所述的方法,其特征在于,所述铝基材料是铝合金。
CN2005100791422A 2004-06-24 2005-06-24 用于抗蚀剂剥离室的裸铝隔板 Active CN1713078B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/874,566 2004-06-24
US10/874,566 US20050284573A1 (en) 2004-06-24 2004-06-24 Bare aluminum baffles for resist stripping chambers

Publications (2)

Publication Number Publication Date
CN1713078A CN1713078A (zh) 2005-12-28
CN1713078B true CN1713078B (zh) 2011-04-13

Family

ID=35504326

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005100791422A Active CN1713078B (zh) 2004-06-24 2005-06-24 用于抗蚀剂剥离室的裸铝隔板

Country Status (4)

Country Link
US (4) US20050284573A1 (zh)
KR (1) KR101117054B1 (zh)
CN (1) CN1713078B (zh)
TW (1) TWI466170B (zh)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
CN102468217B (zh) * 2010-11-03 2014-06-04 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
GB2534878A (en) * 2015-02-02 2016-08-10 Isis Innovation Improvements in fluid storage systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106972139B (zh) * 2017-03-10 2023-05-30 深圳中兴新材技术股份有限公司 一种聚烯烃微孔膜亲水性改性的方法及装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309814A (zh) * 2019-07-26 2021-02-02 上海先进半导体制造股份有限公司 等离子体设备、等离子体设备的腔体及其中心圈结构
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117794A (en) * 1998-01-16 2000-09-12 Lucent Technologies, Inc. Method for improved metal oxide bonding of optical elements

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2567877A (en) * 1947-07-11 1951-09-11 Ment Jack De Electrochemical bonding of aluminum with other materials
US3766030A (en) * 1971-12-27 1973-10-16 Muroc Prod Corp Method of electropolishing
US3970529A (en) * 1975-04-30 1976-07-20 Oxy Metal Industries Corporation Electropolishing aluminum and aluminum alloys
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5411607A (en) * 1993-11-10 1995-05-02 Novamax Technologies Holdings, Inc. Process and composition for sealing anodized aluminum surfaces
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6025862A (en) 1995-01-03 2000-02-15 Eastman Kodak Company Accent color image forming method and apparatus
US5635278A (en) * 1995-02-03 1997-06-03 Minnesota Mining And Manufacturing Company Scratch resistant optical films and method for producing same
US6083451A (en) * 1995-04-18 2000-07-04 Applied Materials, Inc. Method of producing a polycrystalline alumina ceramic which is resistant to a fluorine-comprising plasma
US5792672A (en) * 1996-03-20 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Photoresist strip method
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR20000024902A (ko) * 1998-10-02 2000-05-06 윤종용 웨이퍼 식각 장치
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6461974B1 (en) * 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6579439B1 (en) * 2001-01-12 2003-06-17 Southern Industrial Chemicals, Inc. Electrolytic aluminum polishing processes
JP2003158127A (ja) * 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7048814B2 (en) * 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6844082B2 (en) * 2003-04-28 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate with anodized alumnium coating
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
US20050056546A1 (en) * 2003-09-17 2005-03-17 Kia Sheila Farrokhalaee Aluminum vehicle body
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117794A (en) * 1998-01-16 2000-09-12 Lucent Technologies, Inc. Method for improved metal oxide bonding of optical elements

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
US 6,635,117 B1,2003.10.21,说明书第3栏第56行至第4栏第65行,第5栏第35行至第6栏第11行,图1,3,5.

Also Published As

Publication number Publication date
US20100319813A1 (en) 2010-12-23
US20050284573A1 (en) 2005-12-29
TW200612480A (en) 2006-04-16
US8313635B2 (en) 2012-11-20
CN1713078A (zh) 2005-12-28
US8859432B2 (en) 2014-10-14
US20130056022A1 (en) 2013-03-07
US20080178906A1 (en) 2008-07-31
TWI466170B (zh) 2014-12-21
KR101117054B1 (ko) 2012-02-22
US7811409B2 (en) 2010-10-12
KR20060049704A (ko) 2006-05-19

Similar Documents

Publication Publication Date Title
CN1713078B (zh) 用于抗蚀剂剥离室的裸铝隔板
CN101194046B (zh) 用于等离子体处理腔的元件的石英表面的湿清洁方法
KR100299569B1 (ko) 알루미늄부재의표면처리방법및플라즈마처리장치
JP5313227B2 (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
JP5738987B2 (ja) プラズマ電解酸化コーティングにおける銅または微量金属汚染物質の低減
TW540114B (en) Substrate cleaning apparatus and method
Joye et al. UV-LIGA microfabrication of 220 GHz sheet beam amplifier gratings with SU-8 photoresists
US20060024517A1 (en) Coating for aluminum component
KR20000057742A (ko) 세정 기체 및 그를 흘려줌으로써 진공 처리 장치를세정하는 방법
JPH10310870A (ja) プラズマcvd装置
TWI511189B (zh) 化學處理以減少含碳化矽之半導體處理部件中加工引起的次表面損壞
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
US6727138B2 (en) Process for fabricating an electronic component incorporating an inductive microcomponent
US20190323127A1 (en) Texturing and plating nickel on aluminum process chamber components
CN110352267A (zh) 具有降低的金属浓度的保护性氧化物涂层
WO2005029553A2 (en) Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
TWI398914B (zh) 傾斜電漿處理以強化潮濕緣部之清理
JPH09326384A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant