CN1639840A - 无电淀积设备和方法 - Google Patents

无电淀积设备和方法 Download PDF

Info

Publication number
CN1639840A
CN1639840A CNA038047071A CN03804707A CN1639840A CN 1639840 A CN1639840 A CN 1639840A CN A038047071 A CNA038047071 A CN A038047071A CN 03804707 A CN03804707 A CN 03804707A CN 1639840 A CN1639840 A CN 1639840A
Authority
CN
China
Prior art keywords
substrate
layer
fluid
electroless deposition
catalytic layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038047071A
Other languages
English (en)
Inventor
J·J·史蒂文斯
D·卢博米尔斯卡
I·班沙姆
D·J·奥尔加多
H·E·格鲁内斯
Y-F·E·莫克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1639840A publication Critical patent/CN1639840A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1628Specific elements or parts of the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1678Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Abstract

一种向形成于衬底上的亚微米结构中淀积催化层的设备和方法,该催化层包括选自贵金属、半贵金属和其合金/组合。典型的金属包括钯、铂、钴、镍和钨。催化层可通过无电淀积、电镀或化学汽相淀积技术来淀积。在一个实施方式中,催化层可淀积在结构中,从而用作后续淀积的导电材料的阻挡层。在另一实施方式中,催化层可淀积在阻挡层上。在又一实施方式中,催化层可以淀积在籽晶层上,而籽晶层淀积在阻挡层上,从而用作籽晶层中的任何不连续处的“补丁”。一旦催化层已经淀积,可以在催化层上通过例如无电淀积工艺淀积导电材料。在另一实施方式中,导电材料是通过无电淀积技术然后进行电镀或化学汽相淀积而淀积在催化层上的。在另一实施方式中,通过电镀或通过化学汽相淀积技术在催化层上淀积导电材料。

Description

无电淀积设备和方法
发明背景
技术领域
一般地说,本发明涉及在形成于衬底上的亚微米孔上淀积导电材料的设备和方法。
背景技术
可靠地制造亚微米及更小的结构是下一代超大规模集成(VLSI)和特大规模集成(ULSI)半导体器件的关键技术之一。然而,由于电路边缘技术(the fringes of circuit technology)紧迫,VLSI和ULSI技术中缩减的互连尺寸对处理能力提出了附加的要求。处于这项技术核心地位的多级互连需要精确地处理高纵横比结构,如通孔和其它互连。可靠地形成这些互连对于VLSI和ULSI获得成功和为提高电路密度和单独衬底的质量而所作的继续努力都是非常重要的。
随着电路密度增加,通孔、接触件和其它结构以及处于它们之间的材料的宽度降低到亚微米尺寸,而介电层的厚度基本保持不变,结果导致结构的纵横比即它们的高度与宽度的比增加。很多传统的淀积工艺对于在纵横比超过2∶1的地方填充亚微米结构是有难度的,特别是在纵横比超过4∶1的地方。因此,人们在形成基本无空隙、具有高纵横比的亚微米结构方面正在继续努力着。
目前,铜及其合金已经被选择用于亚微米互连技术,因为铜具有比铝低的电阻率,(相比之下,铝为3.1μΩ-cm,铜为1.7μΩ-cm),并且具有较高的电流承载能力和相当高的耐电迁移性。这些特性对于支持经历高集成水平和增加的器件速度的较高电流密度是很重要的。此外,铜具有良好的导热性并且可以以高纯度状态获得。
电镀是用于在衬底上填充高纵横比结构的一项工艺。电镀工艺通常需要在衬底上淀积薄的导电籽晶层。电镀是通过给籽晶层施加电流和将衬底暴露于含有被镀在籽晶层上的金属离子的电解液来实现的。籽晶层通常包括导电金属,如铜,并且传统地使用物理汽相淀积(PVD)或化学汽相淀积(CVD)技术淀积在衬底上。连续的金属籽晶层对于传导电镀期间所需的电流是必须的。随着结构尺寸减小,可能会损害淀积保形籽晶层的能力。衬底上的不连续的籽晶层在电镀期间可能产生大量问题。
例如,当在金属籽晶层中存在不连续性时,没有电连接到偏压电源的一部分籽晶层在电镀工艺期间不接受淀积。特别是利用籽晶层的物理汽相淀积在高纵横比的亚微米结构内淀积连续的均匀籽晶层是非常困难的。因为难以穿过结构的细(即亚微米)孔淀积材料,籽晶层趋于变为不连续,尤其在结构的底表面处。金属籽晶层的不连续性可能在高纵横比互连结构中形成空隙。在电镀工艺期间,在电连接到偏压电源的所有表面上淀积金属。由于电镀的金属在所有方向生长,因此籽晶层中的不连续区域周围的淀积物通常在不连续部分上形成桥,在结构内的不连续部分附近形成空隙。空隙改变了互连结构的操作特性并可能导致器件的不正常工作和过早击穿。标题为“Apparatus andMethod For Electrolytically Depositing a Metal on a MicroelectronicWorkpiece”的美国专利6,197,181公开了通过利用碱性电镀液电镀铜层来修补PVD或CVD铜籽晶层以形成“增强的籽晶层”。然后通过利用酸性电镀液电镀铜而进行体淀积,利用酸性电镀液电镀比使用碱性液具有更高的淀积速度。上面公开的工艺存在的一个问题是提供“增强的籽晶层”取决于可能会出现上述问题的铜籽晶层上的电镀工艺。
无电淀积是用于淀积导电材料的另一项工艺。尽管无电淀积技术已经普遍地用于在非导电印刷电路板上淀积导电金属,但是无电淀积技术还没有广泛地用于形成VLSI和ULSI半导体中的互连。无电淀积涉及自动催化的化学淀积工艺,该工艺不需要对发生的反应施加电流。无电淀积通常包括通过将衬底浸在电镀槽中或通过在衬底上喷射溶液而将衬底暴露于溶液。在制造印刷电路板领域内的技术人员都承认利用无电淀积技术在高纵横比结构如具有0.028英寸或0.018英寸直径的印刷电路板的通孔中淀积金属有问题。例如,标题为“Electroless PlatingProcess For The Manufacture Of Printed Circuit Boards”的美国专利5,648,125公开了无电镍淀积工艺,并陈述了在适当地电镀通孔这一困难任务方面,倾向于较小的较高-纵横比孔如0.18英寸直径的通孔对制造印刷电路板的方法增加了压力。(参见col.4,Ins.25-46)
标题为“Interconnect Structure In a Semiconductor Device andMethod of Formation”的美国专利6,197,688提出了用于无电淀积的材料。然而,该专利没有公开用于在亚微米结构上无电淀积材料的工艺条件。相应地,尚未表明有在具有亚微米几何结构的衬底的处理中使用无电淀积的满意方法。
通过无电或电镀技术淀积微米技术的导电材料需要能够发生电子转移的表面,以使导电材料在该表面上进行成核。非金属表面和氧化表面是不参与电子转移的表面的例子。包括钛、氮化钛、钽和氮化钽的阻挡层是不适于随后淀积的导电材料层进行成核的表面,原因是很容易形成这些阻挡层材料的自身氧化物。籽晶层如铜籽晶层可用作能进行电子转移的表面。然而,在籽晶层中存在不连续性的地方,随后淀积的导电材料层成核不完全并且可能是不均匀地形成于籽晶层上。
因此,需要一种在形成于衬底中的亚微米结构中淀积导电金属的改进的设备和方法。
发明内容
一个实施方式提供了在形成于衬底中的亚微米结构中淀积催化层的设备和方法,该催化层包括选自贵金属、半贵金属、其合金及其组合的至少一种金属。催化层提供了能够发生电子转移的表面,用于随后的淀积和导电材料成核。贵金属和半贵金属不容易氧化,因此提供了能发生电子转移的表面。贵金属的例子包括金、银、铂、钯、铱、铼、汞、钌和锇。在一个实施方式中,使用的贵金属包括钯或铂,最优选地,贵金属包括钯。半贵金属的例子包括铁、钴、镍、铜、碳、铝和钨。在另一实施方式中,使用的半贵金属包括钴、镍或钨。催化层可通过无电淀积、电镀,或化学汽相淀积来淀积。在一个实施方式中,催化层可淀积在结构中,以便用作随后淀积的导电材料的阻挡层。在一个方案中,催化/阻挡层包括钴、钨或其组合。在另一实施方式中,催化层可淀积在阻挡层上。在又一实施方式中,催化层可淀积在籽晶层上,以便用作籽晶层中的任何不连续处的“补丁”,其中籽晶层淀积在阻挡层上。
一旦已经淀积了催化层,导电材料如铜可淀积在催化层上。在一个实施方式中,通过无电淀积将导电材料淀积在催化层上。在另一实施方式中,通过进行无电淀积后再进行电镀或化学汽相淀积而在催化层上淀积导电材料。在又一实施方式中,通过电镀在催化层上淀积导电材料。在再一实施方式中,通过化学汽相淀积在催化层上淀积导电材料。
附图说明
为了实现和详细理解本发明的上述特征、优点和目的,通过参照附图中所示的实施方式对以上简要概括的本发明进行更具体的说明。
然而,应该注意的是附图只是示出了本发明的典型实施方式,因此不应认为是对本发明范围进行限制,原因是本发明可能有其它等效的有效实施方式。
图1A-1D表示由本方法的实施方式所填充的结构的示意剖面图。
图2表示用于淀积催化层和/或导电材料层的腔室的一个实施方式的示意剖面图。
图3A-3D表示图2的衬底支架周边部分的一个实施方式的示意剖面图。
图4表示连接到衬底的导电部分的电源的示意图。
图5表示用于淀积催化层和/或导电材料层的腔室的另一实施方式的示意剖面图。
图6表示图5的衬底支架的周边部分的一个实施方式的示意剖面图。
图7表示图5的衬底支架的周边部分的另一个实施方式的示意剖面图。
图8表示用于淀积催化层和/或导电材料层的腔室的再一个实施方式的示意剖面图。
图9表示用于淀积催化层和/或导电材料层的腔室的又一个实施方式的示意剖面图。
图10表示用于淀积催化层和/或导电材料层的多级腔室的一个实施方式的示意剖面图。
图11表示用于淀积催化层和/或导电材料层的腔室的另一实施方式的示意剖面图。
图12表示用于淀积催化层和/或导电材料层的腔室的另一实施方式的示意剖面图。
图13表示快速加温退火室的一个实施方式的示意剖面图。
图14表示在催化层和导电材料层的无电淀积中使用的示例无电淀积系统平台的一个实施方式的示意俯视图。
图15表示在催化层和导电材料层的淀积中使用的示例无电淀积系统平台的一个实施方式的示意俯视图。
具体实施方式
图1A示出了形成在衬底14上并通过本发明的一种方法填充的衬底结构10的示意剖面图。衬底14指的是在其上进行膜处理的任何工件。例如,衬底14可以是硅半导体晶片,或者已经在晶片上形成的其它材料层。介电层12淀积在衬底上。介电层12可以是氧化物、氧化硅、氧化碳硅、氟化硅(fluoro-silicon)、多孔电介质或其它合适电介质材料。介电层12被构图以提供结构16,如通路、沟槽、接触孔或延伸到衬底14的露出表面的线路。本领域技术人员还应该理解本发明可用于双镶嵌工艺流程中。衬底结构10用于表示衬底14以及在衬底14上形成的其它材料层,如介电层12和其它随后淀积的材料层。
图1A示出了填充结构16的一种方法,包括在衬底结构10上淀积阻挡层20,在阻挡层20上淀积籽晶层22、在籽晶层22上淀积催化层24,并通过淀积导电材料层26填充其余的孔穴。图1B示出了通过另一个实施方式填充结构16的示意剖面图,包括在衬底结构10上淀积阻挡层20、在阻挡层20上淀积催化层24,并通过淀积导电材料层26填充其余的孔穴。图1C示出了通过再一个实施方式填充结构16的示意剖面图,包括在衬底结构10上淀积催化层24和通过淀积导电材料层26填充其余的孔穴。对于图1A-1C,可以通过无电淀积、电镀、化学汽相淀积,或者在无电淀积之后进行电镀或化学汽相淀积的组合技术来淀积导电材料层26。图1A-1C所示的方法可进一步包括采用如化学机械抛光之类的方法使填充的结构的顶部变平。图1D示出了变平的图1A的填充结构的剖面图。已经发现本方法适合于填充亚半微米结构、亚四分之一微米结构和亚0.13微米结构。
阻挡层的淀积
可以淀积阻挡层20以防止或禁止随后淀积在阻挡层上的材料扩散到下部衬底或介电层中。阻挡层的例子包括难熔金属或难熔金属氮化物,如钽(Ta)、氮化钽(TaNx)、钛(Ti)、氮化钛(TiNx)、钨(W)、氮化钨(WNx)及其组合。阻挡层材料的其它例子包括用氮填充的PVD钛、掺杂硅、铝、氧化铝、氮化钛硅、氮化钨硅和其组合。在一个实施方式中,可使用包括CoWP的阻挡层,关于这一点在2000年2月16日申请的、标题为“Chemical Vapor Deposition of Barriers From NovelPrecursors”的美国专利申请序号09/599,125中和在2000年3月10日申请的、标题为“MOCVD Approach To Deposit Tantalum Nitride”的美国专利申请序号09/522,726中有更详细的描述,将其列于此以参考与本发明一致的内容。
可采用CVD,PVD,无电淀积技术或分子束取向生长法淀积阻挡层。阻挡层也可为采用同样的技术或采用技术组合单独或相继淀积的多层膜。
适于淀积阻挡层的物理汽相淀积技术包括例如高密度等离子体物理汽相淀积法(HDP PVD)或准直溅射或长距离抛镀等技术。一种HDPPVD为电离金属等离子体物理汽相淀积法(IMP PVD)。能进行阻挡层IMP PVD的腔室的例子是IMP VECTRATM腔室。这一腔室和处理方式可从加利福尼亚的Santa Clara的应用材料有限公司获得。通常,IMPPVD包括电离从金属靶溅射的材料的重要部分,以将溅射的材料淀积在衬底上。向腔内线圈供电的电源提高了溅射材料的电离程度。电离使溅射的材料被吸引到基本垂直于偏压衬底表面的方向上并积淀一层很好地阶梯覆盖于高纵横比结构上的材料。该腔室也可包括用于淀积金属氮化物的活性处理气体,例如氮气。一种利用物理汽相淀积法淀积阻挡层的典型工艺在2000年8月29日申请的、标题为“Method ForAchieving Copper Fill Of High Aspect Ratio Interconnect Featuers”的、处于共同审查(co-pending)中的美国专利申请序列号09/650,108中有更详细的描述,将其列于此以参考与本发明一致的内容。
能进行阻挡层化学汽相淀积的腔室的例子是CVD TxZTM腔室。这一腔室和处理方式也可从加利福尼亚的Santa Clara的应用材料有限公司获得。通常,化学汽相积淀法包括使金属前体流入腔室中。该金属前体发生化学反应,从而在衬底表面上淀积金属膜。化学汽相淀积可进一步包括利用等离子体以帮助在衬底表面上淀积金属膜。由金属前体淀积阻挡层的典型工艺在2000年2月16日申请的、标题为“Chemical Vapor Deposition of Barriers From Novel Precursors”的、处于共同审查中的美国专利申请序列号09/650,108中,以及在2000年3月10日申请的、标题为“MOCVD Approach To Deposit Tantalum NitredeLayers”的、处于共同审查中的美国专利申请序列号09/522,726中有更详细的描述,将两者都列于此以参考与本发明一致的内容。
籽晶层的淀积
籽晶层22包括有助于随后在其上淀积材料的导电金属。籽晶层优选包括铜籽晶层或其合金。其它金属、特别是贵金属也可以用于籽晶层。籽晶层可通过包括物理汽相淀积技术和化学汽相淀积技术之类的本领域公知的常规技术淀积在阻挡层上。。
适于淀积籽晶层的物理汽相淀积技术包括如高密度等离子体物理汽相淀积(HDP PVD)或准直溅射或长距离抛镀等技术。一种HDP PVD是电离金属等离子体物理汽相淀积(IMP PVD)。能进行籽晶层的电离金属等离子体物理汽相淀积的腔室的例子是IMP VectraTM腔室。该腔室和处理方式可从加利福尼亚的Santa Clara的应用材料有限公司获得。利用PVD技术淀积籽晶层的典型工艺在于2000年8月29日申请的、题目为“Method For Achieving Copper Fill of High Aspect RatioInterconnect Features”的共同审查中的美国专利申请序号09/650108中有更全面的描述,将其列于此以参考与本发明一致的内容。能进行籽晶层的化学汽相淀积的腔室的例子是CVD TxZTM腔室。该腔室和处理方式也可从加利福尼亚的Santa Clara的应用材料有限公司获得。利用CVD技术淀积籽晶层的典型工艺在于2001年1月9日授权公布的标题为“Deposition of Copper With Increased Adhesion”的美国专利号6,171,661中有更全面的描述。
由于PVD籽晶层与阻挡层有更好的粘接性和PVD籽晶层具有低电阻,因此利用物理汽相淀积技术淀积籽晶层是优于化学汽相淀积技术。也确信PVD籽晶层促进了催化层在其上的粘接性。
用于无电淀积催化层和/或导电材料层的设备
催化层24可淀积在籽晶层22上,可以淀积在阻挡层20上,或者在不使用阻挡层时可以淀积在衬底结构10上。在一个实施方式中,催化层可通过无电淀积法来淀积。在一个实施方式中,催化层的无电淀积包括使衬底结构与包含下列物质的水溶液接触:1)贵金属离子、半贵金属离子或其组合;和2)IV族金属离子,如锡(Sn)离子。在另一实施方式中,催化层的无电淀积包括使衬底结构与包含IV族金属离子如锡离子的水溶液接触,然后使衬底结构与含有贵金属离子、半贵金属离子或其组合的水溶液接触。
在一个实施方式中,通过使衬底结构与含有导电金属离子如铜离子和还原剂的水溶液接触,可以在催化层24上淀积导电材料层26,如铜层。
催化层的无电淀积法和导电材料层的无电淀积法可在适于使衬底与处理液接触的任何腔室中进行,如无电淀积室、电镀室等。在一个实施方式中,催化层和导电材料层可在同一腔室中淀积。在另一实施方式中,催化层和导电材料层可在分开的腔室中淀积。一方面,在分开的腔室中淀积催化层和导电材料层减少了由于催化层溶液和导电材料层溶液的反应产生的可能形成和淀积在腔室部件上的颗粒。
图2示出了用于淀积此处所述的催化层和/或导电材料层的腔室100的一个实施方式的示意剖面图。当然,还可构造腔室100用于淀积催化层和导电材料层以外的其它类型的层。
腔室100包括处理分隔间102,它包括顶部104、侧壁106和底部107。衬底支架112设置在腔室100中的大概中心位置上。衬底支架112包括用于在“面朝上”的位置接收衬底110的衬底接收表面114。在一个方案中,衬底110以“面朝上”的位置设置在衬底支架112上减少了在给衬底110施加的流体中产生气泡的可能性,防止对衬底110的处理造成影响。例如,气泡可能在流体中就地产生,在流体控制设备中产生,或者在传送湿衬底时产生。如果在处理期间衬底以“面朝下位置”设置,则由于气泡的浮力而使流体中的气泡将被捕获在衬底表面上。衬底以“面朝上”位置设置减少了由于气泡浮力使气泡在流体中上升而引起流体中的气泡附着于衬底表面上。衬底以面朝上位置设置还降低了衬底传送机构的复杂性,提高了在处理期间清洗衬底的能力,并允许在湿状态下传送衬底,从而使衬底的污染和/或氧化最小化。
衬底支架112可包括陶瓷材料(如氧化铝Al2O3HUO碳化硅(SiC))、TEFLONTM涂敷金属(如铝或不锈钢)、聚合物材料或其它合适材料。这里使用的TEFLONTM是氟化聚合物的通用名,如Tefzel(ETFE)、Halar(ECTFE)、PFA、PTFE、FEP、PVDF等。优选地,衬底支架112包括氧化铝。衬底支架112还可包括尤其是用于衬底支架的掩埋加热元件,该加热元件包括陶瓷材料或聚合物材料。
腔室100还包括穿过其壁形成的槽108或开口,以便为机械手(未示出)提供通路,向腔室100运送和从腔室100收回衬底110。或者,衬底支架112可穿过处理分隔间的顶部104提升衬底110,以便向和从腔室100提供通路。
提升组件116可设置在衬底支架112下面并耦合到起模顶杆118,以便穿过衬底支架112中的孔120升高和降低起模顶杆118。起模顶杆118向和从衬底支架112的衬底接收表面114升高和降低衬底110。
电机122可耦合到衬底支架112使衬底支架112旋转,从而旋转衬底110。在一个实施方式中,起模顶杆118可设置在衬底支架112下面的较低位置上,从而允许衬底支架112独立于起模顶杆118而旋转。在另一实施方式中,起模顶杆118可与衬底支架112一起旋转。
衬底支架112可被加热,从而将衬底110加热到所希望的温度。衬底支架112的衬底接收表面114的尺寸使得基本上可接收衬底110的背面,以使衬底110均匀受热。为了实现衬底的一致处理,尤其是对于淀积速度为温度函数的淀积处理,衬底的均匀受热是非常重要的。
流体输入端如喷嘴123可设置在腔室100中,从而向衬底110的表面输送流体,如化学处理液、去离子水和/或酸性溶液。喷嘴123可设置在衬底110的中心上,以便向衬底110的中心输送流体,或者可设置在任何位置上。喷嘴123可设置在位于顶部104上或穿过处理分隔间102的侧壁116的分配臂122上。分配臂122可围绕可旋转支撑部件121移动,而可旋转支撑部件121适于向和从衬底110的中心旋转(pivot)和转动(swivel)分配臂122和喷嘴123。附加地或任选地,喷嘴(未示出)可设置在腔室100的顶部104或侧壁106,并适于在衬底110上以任何所希望的图形喷射流体。
一个或多个流体源128a-f(总称为“流体源”)可耦合到喷嘴123。阀门129可耦合在流体源128和喷嘴123之间,以便提供多种不同类型的流体。流体源128可示例性地或根据特定处理而提供去离子水、酸或碱溶液、盐溶液、贵金属/IV族金属溶液(即钯和锡溶液)、半贵金属/IV族金属溶液(即钴和锡溶液)、贵金属溶液、半贵金属溶液、IV族金属溶液、铜溶液、还原剂溶液及其组合。优选地,按照需要为被处理的每个衬底110混合化学处理液。由于化学处理液可能是不稳定的,这种用点输送可防止溶液损失它们的活性。这种用点输送还防止溶液过早地淀积在腔室部件上和流体输送系统部件上。例如,为了从流体源128a分配含有锡和钯的溶液,可以恰好在从流体源128a分配之前将锡和钯混合在一起。
阀门129还可适于允许配量流体分配到衬底110上,从而使化学废物最少化,原因是有些化学处理液的购买和处理可能非常昂贵。在一个实施方式中,为了在某一温度下向衬底表面输送流体,可以加热流体源128和喷嘴123之间的流体通路。
腔室100还包括排放管127,用于收集和排放在腔室100中使用的流体。处理分隔间102的底部107可包括倾斜表面,以便帮助在腔室100中使用的流体流向与排放管127连通的环形通道并保护衬底支架组件113不与流体接触。在一个实施方式中,排放管127可构成用于回收在腔室中使用的流体。例如,排放管127可耦合到再生元件149上,以便流体如无电淀积液可再循环、保存和/或化学地更新,以便被再利用于处理衬底。
从流体源128、排放管127和/或到和从再生元件149耦合的流体线路可用流体清洁和清洗,从而减少在流体线路中形成的颗粒。例如,可以在每个晶片之后、每隔一个晶片之后等情况下清洗流体线路。
在一个实施方式中,衬底支架112可适于旋转。衬底支架112的旋转速度可根据要进行的特定处理(例如淀积、漂洗、干燥)而改变。在淀积的情况下,衬底支架112可适于以相对慢的速度旋转,如转速在大约10RPM至大约500RPM之间,这取决于流体的粘度,从而借助流体惯性在衬底110的整个表面上喷撒流体。在漂洗的情况下,衬底支架112可适于以相对中等速度旋转,如在大约100RPM至大约500RPM之间。在干燥的情况下,衬底支架可适于以相对快的速度旋转,如在大约500RPM和大约2000RPM之间,以便旋转干燥衬底110。衬底支架112可适于在交变方向上以往返运动方式旋转,从而有助于均匀地在衬底110的整个表面上喷撒流体。在一个实施方式中,分配臂122适于在流体分配期间移动,从而提高衬底110的流体覆盖率。优选地,在从喷嘴123喷撒流体期间使衬底支架112旋转,以便提高系统的处理量。
衬底支架112可包括耦合到真空源125的真空端口124,从而向衬底的背面提供真空,并将衬底110用真空吸附在衬底支架112上。真空沟槽126可形成在衬底支架112上并与真空端口124连通,以便在衬底110的背面提供更均匀的真空压力。在一个方案中,真空吸盘提高了衬底110和衬底支架112之间的热传递。此外,在衬底支架112旋转期间,真空吸盘固定衬底110。
图3A示出了图2的衬底支架112的周边部分的一个实施方式的示意剖面图。衬底支架112可包括形成在衬底接收表面114的周边部分的流体排放管132,从而提供将流体从衬底110的顶部排放出去的通路。流体排放管132可耦合到废物口50,从而允许流体从衬底支架112排放出去。在一个实施方式中,流体排放管132形成在衬底支架112中,以便衬底边缘定位于流体排放管132上方。至少一个弹性密封垫134a-b可沿着衬底支架112的周边设置,从而防止从真空沟槽损失真空压力和/或防止流体流到衬底110的背面上。在一个实施方式中,弹性密封垫134a是环形吸盘形状的,它具有适于被衬底110压缩的翼片136。或者,弹性密封垫134b可以是类似于O形环的环形管形状的。例如,如果使用两个弹性密封垫134,弹性密封垫之一134a可相对于另一弹性密封垫134b沿径向向内设置在衬底支架112上。另一弹性密封垫135还可设置在衬底支架112中的孔120周围,从而防止真空压力从真空沟槽126穿过孔120损失掉。
图3B示出了图2的衬底支架112的周边部分的一个实施方式的另一示意剖面图。衬底支架112可包括相对于流体排放管132沿径向向内形成的气体出口130,以便向衬底110的周边部分的背面提供净化气体,如氮气或任何其它气体。气体源或气体入口(未示出)耦合到净化气体的气体出口130。通道133可以形成在衬底支架112中,以便将气体出口130与流体排放管132连通和将净化气体从气体出口130径向地引导到流体排放管132,如由箭头131所示。净化气体防止流体在衬底110的背面流动和帮助流体流到流体排放管132中。衬底支架112还可包括至少一个弹性密封垫134c,该密封垫134c相对于气体出口130径向向内地设置在衬底支架112上,从而防止真空压力从真空沟槽损失和/或防止流体在流到衬底100的背面。
图3C示出了图2的衬底支架112的周边部分的一个实施方式的另一示意剖面图。代替或者与通道133结合,至少一个弹性密封垫134d可设置在气体出口130和流体排放管132之间的衬底支架112上。气体出口130可输送正压力,以便防止流体在弹性密封垫134d周围渗漏。气体出口130在从衬底支架传送衬底110期间可向衬底110的周边部分的背面提供喷除气体,从而防止流体流在衬底110的背面上。此外,气体出口130在处理期间还可提供真空压力,以便更好地用真空吸附衬底110的周边部分。
图3D示出了图2的衬底支架112的周边部分的一个实施方式的另一示意剖面图。衬底支架112可包括形成在衬底接收表面114的周边部分的至少一个弹性密封垫134e,从而防止真空压力从真空沟槽损失和/或防止流体流到衬底110的背面上。衬底支架112还可包括凸缘52,以便处理流体54可收集在衬底110和衬底支架112上。在一个实施方式中,衬底支架112可适于旋转以通过处理流体54的惯性除去被收集在衬底110和衬底支架112上的处理流体54。
图3A-3D中所示和所述的这些“流体密封垫”防止了化学处理液淀积在衬底110的背面。此外,如果通过真空沟槽126拉动并进入真空端口124,流体和化学处理液可能损伤或阻挡真空源。
腔室还可包括耦合到衬底以给其提供偏压的电源。图4示出了连接到衬底110的导电部分以便给衬底提供偏压的电源60的一个实施方式的示意图。电源60的一个接线柱通过电接触件62耦合到衬底(即耦合到导电铜籽晶层22)。电接触件62可以是接触环,如在于1999年4月8日申请的、标题为“Electro-Chemical Deposition System”的美国专利申请09/289074中有更详细的描述,将其列与此以参考其全部内容。电源60的另一接线柱耦合到适于与衬底110上的流体66接触的电极64上。流体密封垫68可设置成与衬底110接触,从而将电接触件62与电极64隔离。
图5示出了图2的腔室100的另一实施方式,它还包括蒸发护罩138,该蒸发防护罩138适于设置在衬底接收表面114上的衬底110上,并且其尺寸可覆盖衬底110,以便防止分散在衬底110上的流体如化学处理液蒸发。在一个实施方式中,如果催化层和导电材料层在分开的腔室中淀积,则用于无电淀积催化层的腔室可以没有蒸发防护罩,而用于无电淀积导电材料层的腔室则具有蒸发防护罩。对于有些催化层的无电淀积,由于淀积发生在较低的温度下且发生的时间相对较短,以及由于淀积的层可以相对较薄,因此流体层的蒸发不会不利地影响催化层的淀积。然而,在另一实施方式中,如果催化层和导电材料层在分开的腔室中淀积,则用于无电淀积催化层的腔室和用于无电淀积导电材料层的腔室都具有蒸发防护罩。
在一个实施方式中,蒸发防护罩138和/或衬底支架112可适于上下移动,以便允许向和从衬底接收表面114传送衬底110。在一个实施方式中,蒸发防护罩中的流体输入端如流体端口144可耦合到一个或多个流体源128,以便提供多种不同类型的流体。阀门129可耦合在流体源128和流体端口144之间,以便提供多种不同类型的流体。优选地,按照需要为被处理的每个衬底110混合或制备化学处理液。由于化学处理液可能是不稳定的,因此这种用点输送防止溶液损失它们的活性。用点输送还防止溶液过早地淀积在腔室部件上和流体输送系统部件上。阀门129还可适于将配量流体分配给衬底110,从而使化学废物最少化,原因是有些化学处理液的购买和处理是非常昂贵的。在一个实施方式中,流体源128和流体端口144之间的流体通路可以被加热,以便在某一温度下将流体输送到衬底表面。
在一个实施方式中,蒸发防护罩138可被加热,从而单独地或与受热的衬底支架112一起加热衬底110上的流体。蒸发防护罩138可以用蒸发防护罩138中的埋置加热元件加热。或者,可通过循环与蒸发屏蔽件接触的加热流体来加热蒸发防护罩。或者,可以用加热灯加热蒸发防护罩138。
在一个实施方式中,蒸发防护罩138可包括选自聚合物(如聚乙烯或聚偏二氟乙烯)、陶瓷(如氧化铝)、石英和涂敷金属(如TEFLONTM涂敷金属)的材料。当蒸发防护罩138包括下述除气薄膜时,蒸发防护罩138优选包括聚合物。
图6示出了在衬底支架112的周边部分的蒸发防护罩138的一个实施方式。蒸发防护罩138可从衬底110设置,以便在蒸发防护罩138底部和衬底110之间存在间隙137。在一个实施方式中,流体可分配到衬底110上,以便在间隙137中形成流体层140,流体层140的底部与衬底110接触,流体层140的顶部与蒸发防护罩138接触。如果蒸发防护罩138距离衬底接收表面114太远,则流体层140不能与蒸发防护罩138的底部接触,并且可能在蒸发防护罩138上发生流体的凝结。此外,如果蒸发防护罩138距离衬底接收表面114太远,则流体位置在衬底110和蒸发防护罩138之间是不可控制的。蒸发防护罩138上的凝结可能导致流体从蒸发屏蔽件138滴下,这可能导致流体在衬底110上飞溅并可能影响衬底110的表面处理的均匀性。在一个实施方式中,蒸发防护罩138设置在衬底110上,以便间隙的尺寸在大约0.5毫米到大约4毫米之间。因此,对于直径为300mm的衬底110,流体层140的体积(衬底的面积乘以间隙的厚度)为大约35ml到大约285ml。同样,对于直径为200mm的衬底110,流体层140的体积大约为15ml和大约130ml。在另一实施方式中,蒸发防护罩138的底部设置成基本上平行于设置在衬底接收表面114上的衬底110,从而在衬底110上提供厚度基本均匀的流体层140。在一个实施方式中,蒸发防护罩138和/或衬底支架112可以适于上下移动,以便调节蒸发防护罩138和衬底支架112之间的间隙137的尺寸。在一个实施方式中,流体端口144或排放管可适于除去或收回衬底110上的流体,以便再利用该流体处理其它衬底或处理流体。例如,流体端口144可耦合到再生元件149上,以便流体如无电淀积溶液可以再循环、保存和/或化学更新,以便再用于处理衬底。
蒸发防护罩138还可包括作为蒸发防护罩138的底表面的除气薄膜141,它适于与流体层140接触。该除气薄膜包括允许空气通过但不允许流体流过的可吸气材料。可吸气材料的一个例子是疏水性可吸气聚合物膜。因而,可通过经除气薄膜141进行气体交换而除去流体层140中的气体(如在铜的无电淀积期间产生的溶解的氢气或捕获的空气气泡)。在一个实施方式中,除气薄膜设置在蒸发防护罩138的底部上的薄膜支架143上。薄膜支架143可包括多孔聚合物支架。在一个方案中,不需要对催化层和/或无电淀积导电层进行退火(如下面要说明的),因为蒸发防护罩的除气薄膜141充分除去了流体层140中的气体。蒸发防护罩138还可包括形成在其中的增压室146(如图5所示),从而允许气体从流体层140经除气薄膜141进入蒸发防护罩138。在一个方案中,真空压力或限定气体的受控低分压可通过蒸发防护罩138的增压端口148(图5所示)提供给增压室146,从而促进通过除气薄膜141进行的流体层140中的气体交换。
图7示出了与密封垫142一起使用的蒸发防护罩138的另一实施方式。密封垫142可耦合到蒸发防护罩138的周边部分和/或可耦合到衬底支架112上的周边部分上。密封垫142的尺寸使得它保持蒸发防护罩138和衬底接收表面114上的衬底110之间的间隙137。密封垫142还可防止分配到衬底110上的流体蒸发。
在一个实施方式中,蒸发防护罩138可旋转干燥其自身。在另一实施方式中,蒸发防护罩138和/或衬底支架112可旋转以便在蒸发防护罩138和衬底112之间混合流体层140。例如,为了混合流体层140,蒸发防护罩138可以是固定的,而衬底支架112旋转;蒸发防护罩138可旋转,而衬底支架112是固定的;和/或蒸发防护罩138和衬底支架112以相同方向或相反方向旋转。在一个实施方式中,蒸发防护罩138和衬底支架112在交变方向上以来回运动的方式一起旋转,其中动量的变化有助于流体层140的混合。如果蒸发防护罩138和衬底支架112还包括密封垫142,则蒸发防护罩138和衬底支架112优选一起旋转以混合流体层140,以便防止蒸发防护罩138和/或衬底支架112的表面与密封垫142摩擦并产生颗粒。
蒸发防护罩138的底表面还可包括流体搅拌部件145,如通道、脉络或突起(图6和7),以便帮助混合流体层140。通道、脉络或突起可以以任何式样如径向或成阵列形式形成在蒸发防护罩138的底表面上。蒸发防护罩138还可包括适于向设置在衬底110上的流体层140提供声波如在低千赫频率直到高达兆声频率之间的声波的变换器147(图6和7),以便帮助搅拌流体层140。变换器147可靠着蒸发防护罩138设置,从而使声波通过蒸发防护罩138耦合到流体层。或者,变换器147(图5)可包括适于与流体层接触以提供声波的棒147b(图5)。例如,变换器可包括设置在蒸发防护罩138的流体端口处的中空棒,该中空棒延伸到流体层140中,以便向流体层140提供声波。在一个实施方式中,使用提供低千赫频率的变换器,以便防止在流体层140中产生气泡空穴。流体层140中的气泡空穴对衬底的处理可能具有有害的影响,因此是不希望的。变换器(未示出)还可耦合到衬底支架112上。
图8示出了用于无电淀积催化层和/或导电材料层的腔室150的一个实施方式的示意剖面图。腔室150的有些部件与前面关于腔室100所述的部件相同或相似。因而,在适当的地方使用相同的标记。腔室150包括衬底支架152,该衬底支架152具有适于以面朝上方式接收衬底151的衬底接收表面154。衬底支架还包括与波纹管159连通的真空端口156,从而向衬底背面输送真空,以便将衬底151用真空卡在衬底支架152上。真空沟槽158可以形成在衬底支架152上并与真空端口156连通,以便穿过衬底151背面提供更均匀的真空压力。当波纹管159膨胀时,产生真空,从而将衬底151用真空卡在衬底支架152上。当波纹管15收缩时,释放真空并且可从衬底支架152移走衬底151。在一个方案中,衬底支架152不需要气体出口和流体排放管,如图3中所示的那些,因为有些流体可能进入波纹管159而不会对波纹管159的简单机构造成损害和因为只有固定量的流体可进入波纹管159。
图9示出了用于无电淀积催化层和/或导电材料层的腔室160的另一实施方式的示意剖面图。腔室160包括衬底支架162,该衬底支架162具有适于以面朝上位置接收衬底161的衬底接收表面164。腔室160还包括相对于衬底支架162固定衬底161的夹持环166,以将衬底161固定靠在衬底支架上。在一个方案中,夹持环166提高了衬底161和受热的衬底支架162之间的热传递。在另一个方案中,夹持环166在衬底支架162旋转期间固定衬底。在又一方案中,夹持环166的厚度用于在处理期间在衬底162的表面上形成流体坑168。腔室160还可包括可移动盖子169,它适于设置在夹持环的顶部,以便使在衬底161上分配的流体的蒸发最小化。流体输入端58可耦合到可移动盖子169上,以便向衬底161提供流体。流体输入端58适于具有小孔,以便减少流体坑168的蒸发。
在一个实施方式中,图2-图9的无电淀积室可适于是多级腔室,以便帮助回收在处理期间使用的流体。图10示出了多级腔室2200的一个实施方式的剖面图。通常,多级腔室2200包括衬底支撑件2204和溶液入口2240,溶液入口2240在衬底2202上或在被处理衬底表面的方向向多级腔室2200输送溶液。多级腔室2200限定单元壳体2100并包括壳盖2102、壳侧壁2104和壳底部2106。壳侧壁2104包括用于将衬底送进和送出多级腔室2200的开口2280以及用于密封开口2280的门阀2282。多级腔室2200可任选地包括设置在多级腔室2200顶部的蒸发防护罩或盖子2230。蒸发防护罩2230可适于旋转。
在工作中,衬底2202被自动叶片1088经缩回的衬底支撑件2204上的开口2280传送到多级腔室2200。衬底2202设置在衬底支撑件2204的上方,起模顶杆平台2320上升。衬底2202被起模顶杆平台2320上的起模顶杆2272升高到自动叶片1088上方。自动叶片1088则从多级腔室2200缩回并且门阀2282闭合,从而密封处理环境。起模顶杆平台2320将起模顶杆2272降低,从而将衬底2202放在衬底支撑表面2206上。真空吸盘将衬底2202固定在衬底支撑表面2206上,并且流体密封垫2298密封衬底2202的背面使其与处理化学物质隔离。真空泵和/或气体泵/输送装置可耦合到衬底支撑件2204。例如,真空泵可提供真空,以便将衬底2202用真空吸附在衬底支撑件2204上。此外,例如气体泵/输送装置可向衬底2202的周边部分输送清洗气体。
然后由电机将支撑件2204升高到处理位置,使衬底2202位于吸盘2246上。在一个实施方式中,衬底2202设置成靠近蒸发防护罩/盖子2230。作为选择或附加地,蒸发防护罩/盖子2230可适于向和远离衬底移动。吸盘2246是从多级腔室2200的壳侧壁2104向内延伸的结构。在处理位置处,溶液通过在壳顶部2102的溶液入口2240泵送到衬底表面上。溶液流到衬底表面上之后,吸盘2246适于收集溶液。然后该溶液流过流体排放管2244并经出口2258从多级腔室2200排出。溶液可以被回收到电解槽1094中并再循环到溶液入口2240。该溶液还可以被废弃。
处理之后,衬底2202可被降低到漂洗位置,该漂洗位置位于由一个或多个漂洗喷管2260限定的水平面以下但位于由漂洗吸盘2264的末端限定的水平面之上。漂洗喷管2260在衬底2202上喷射漂洗剂。漂洗剂通过漂洗排放管2270排放到单元2200的底部并经出口2259排出单元2200并进入漂洗剂槽1096中。任选地,衬底支撑件2204可旋转以便旋转干燥衬底2202。清洁器1194、1196可耦合到出口2258和2259,以便收集或回收昂贵的部件(例如Pd、Sn等)或不利于环境的部件(如金属、络合剂等)。
可设置闸板(未示出)以隔离多级腔室2220的某些区域。例如,闸板可设置在蒸发防护罩/盖子2230的下面,以便控制残余溶液从蒸发防护罩/盖子2230滴到衬底2202上。在另一示例中,闸板可设置在吸盘2246上以防止漂洗剂从漂洗喷管2260喷射到吸盘2246中。或者,蒸发防护罩/盖子2230可适于移出该路径以防止溶液从蒸发防护罩/盖子2230滴到衬底2202上。典型的多级腔室在于1999年4月19日申请的、标题为“Electro-Chemical Deposition Cell For Face-UpProcessing Of Single Semiconductor Substrates”的美国专利申请序列号09/294,240中有更详细的说明,将其列与此以参考与本发明一致的内容。
图11示出了用于淀积催化层和/或导电材料层的腔室170的另一实施方式的示意剖面图。腔室170包括衬底固定器172,该衬底固定器172具有适于固定衬底171处于面朝下位置的衬底接收表面174。衬底固定器172可被加热,从而将衬底171加热到预定温度。衬底固定器172的衬底接收表面174的尺寸使得可以基本上接收衬底171背面,以便均匀地加热衬底171。衬底固定器172还包括耦合到真空源183的真空端口173,从而给衬底171背面提供真空,将衬底171用真空吸附到衬底固定器172上。衬底固定器172可以还包括真空密封垫181和液体密封垫182,从而防止流体流到衬底171的背面和流进真空端口173。腔室170还包括具有流体输入端如流体端口177的碗状物176。流体端口177可耦合到流体源178a-c、流体回路179a-b和/或气体源180。
衬底固定器172可进一步耦合到衬底固定器组件上,该衬底固定器组件适于升高和降低衬底固定器172。在一个实施方式中,衬底固定器组件可适于将衬底171浸在坑或槽中。在另一实施方式中,衬底组件可适于提供衬底171和碗状物176之间的间隙。流体源178适于经流体端口177提供流体,以便用流体层填充衬底171和碗状物176之间的间隙。衬底组件可适于旋转衬底固定器176,以便搅拌流体层。衬底固定器172和/或碗状物176可进一步包括向设置在衬底171上的流体层提供声波如处于超声频率和兆声频率的声波的变换器。衬底固定器172可进一步适于振动以助于搅拌流体层。在一个方案中,流体层的搅拌防止流体层捕获气泡或在处理中产生气泡从而影响处理和淀积。例如,流体层的搅拌可除去留在衬底171表面的气泡。碗状物可进一步包括将流体层加热到预定温度的加热器。完成流体层的处理之后,流体回路179适于经排放管或流体端口177将收回流体,以便回收流体使其再用于处理其它衬底。气体源180适于提供气体,如氮气,使气体流到衬底171的表面。衬底固定器组件可进一步适于旋转衬底固定器172,以便旋转干燥衬底171。腔室170可进一步包括可缩回箍175,它适于固定衬底171以从和向腔室170传送衬底171。例如,可缩回箍可包括两个分环(即每个形状为“C”形)。这些环可以一起移动用来接收衬底171。这些环可分开移动,以便允许衬底固定器172下降靠近碗状物176。
图12示出了用于淀积催化层和/或导电材料层的腔室190的另一实施方式的示意剖面图。腔室190包括下碗191和上碗192。下碗191适于固定衬底193处于面朝上或面朝下位置。上碗192适于上下移动用于从和向腔室190传送衬底。上碗192还适于移动并与下碗191接触。密封垫194设置在上碗192和下碗191之间,以便在其间提供液体密封。下碗191还包括耦合到流体输送装置196和流体回路197的流体输入端,如流体端口195。流体输送装置196适于给下碗191输送流体。在一个实施方式中,流体适于填充下碗191和上碗192。下碗191和/或上碗192可以被加热。完成流体层的处理之外,流体回路197适于通过排放管或流体端口195收回流体,以便回收流体使其再用于处理其它衬底。在一个实施方式中,可以将腔室190设计成没有卡盘机构的形式。腔室190可有利地用于无电淀积铜导电层,原因是铜无电淀积主要是只发生在催化层或金属表面上。
图2-图12的腔室可适于处理200mm的衬底、300mm的衬底或任何尺寸的衬底。所示的腔室是用于处理一个衬底的。然而,该腔室可以适于批量处理。该腔室可适于一次使用流体或可适于再循环再用于大量衬底然后废弃的流体。例如,在一个实施方式中,适于再循环流体的腔室包括在处理期间选择地转移被再利用的某些流体的排放管。如果腔室适于再循环流体,则流体线路应该被漂洗,以便防止在流体线路中淀积和阻塞。尽管已经利用某些元件和特征介绍了腔室的实施方式,应该理解腔室可具有来自于不同实施方式的这些元件和特征的组合。
淀积催化层和/或导电材料层的工艺可包括在热退火室中对衬底进行退火。加温退火处理室一般在本领域是公知的,并且通常在衬底处理系统中使用快速热退火室,以便增强淀积材料的性能。本发明试图利用各种热退火室设计,包括扩热板设计、热灯设计和炉子设计,以便提高无电淀积的结果。一种特殊的炉子设计包括在炉子室的加热区使用加热气体,以便对衬底进行退火。炉子室还包括冷却区。通过将衬底放在炉子室的冷却区中的起模顶杆上而将衬底传送到炉子室。然后衬底被起模顶杆升高到加热区并对其进行退火。然后,衬底下降回到冷却区,使衬底冷却。
用于本发明的一种特殊的热退火室是可从加利福尼亚的SantaClara的应用材料有限公司获得的xZTM室。图13示出了快速热退火室的一个实施方式的示意剖面图。RTA 900腔室限定了壳体902并包括加热器板904、加热器907和多个衬底支撑柱906。壳体902由基座908、侧壁910和顶部921限定。优选地,冷却板913设置在壳体的顶部912的下面。优选地,反射器绝缘盘914设置在基座908上的壳体902的内部。反射器绝缘盘914通常由可承受高温(即大于约500℃)的材料制成,并用作加热器907和壳体902之间的热绝缘体。盘914还可用反射材料如金涂敷,从而将热量反射回到加热器板904上。
加热器板904优选具有比正在系统中处理的衬底大的质量,并优选由如碳化硅、石英或不与RTA室900中的任何环境气体反应或不与衬底材料反应的其它材料等材料制成。加热器907通常包括电阻加热元件或传导/辐射热源并设置在加热器板904和反射器绝缘盘914之间。加热器907连接到电源916,电源916提供加热加热器907所需的能量。优选地,热电偶920设置在导管922中,穿过基座908和盘914设置,并延伸到加热器板904中。热电偶920连接到控制器(即下述系统控制器)并向控制器输送温度测量值。然后控制器根据温度测量值和所希望的退火温度增加或减少由加热器907提供的热量。
壳体902优选包括冷却室918,该冷却室918设置在壳体902的外部并与侧壁910热接触以便冷却壳体902。设置在顶部912的内表面上的冷却板913冷却靠近冷却板913设置的衬底。
RTA室900包括设置在壳体902的侧壁910上的槽阀922,以便于利用装载站传送机械手将衬底送进和送出RTA室。槽阀922选择性地密封壳体的侧壁910上的开口924,该开口924与装载站连通。
衬底支撑柱906优选包括由耐高温材料制成的远端锥形部件。每个衬底支撑柱906设置在管形导管926内,该管形导管优选由耐热和耐氧化材料制成,并且延伸穿过加热器板904。衬底支撑柱906连接到用于以均匀方式移动衬底支撑柱906的提升板928。提升板928通过提升轴932固定到激励器930如步进电机上,其中提升轴932移动提升板928以便于在RTA室内的各个垂直位置上定位衬底。提升轴932穿过壳体902的基座908延伸并由设置在提升轴周围的密封凸缘934来密封。
为将衬底传送到RTA室900中,槽阀922打开,并且装载站传送机械手,使衬底设置在其上的自动叶片延伸穿过开口924并进入RTA室。装载站传送机械手的自动叶片将衬底定位于加热器板904上的RTA室中,并且衬底支撑柱906向上延伸,升高自动叶片上的衬底。然后自动叶片从RTA室缩回,槽阀922闭合该开口。然后衬底支撑柱906缩回,将衬底降低到距离加热器板904预定距离的位置。任选地,衬底支撑柱906可完全缩回,从而使衬底直接与加热器板接触。
优选地,气体入口936穿过壳体902的侧壁910设置,在退火处理工艺期间允许选择的气体流进RTA室900中。气体入口936通过用于控制流进RTA室900的气体的阀门940连接到气体源938。气体出口942优选设置在壳体902的侧壁910的较低部分以排除RTA室的气体并优选连接到减压/检测阀944,以便防止气体从腔室的外部回流。任选地,气体出口942连接到真空泵(未示出),以便在退火处理期间使RTA室排气到所希望的真空度。RTA室在于1999年3月5日申请的、标题为“Apparatus for Electro Chemical Deposition of CopperMetallization with the Capability of In-Situ Thermal Annealing”的共同审查中美国专利申请09/263126中有进一步的说明,将其列于此以参考与本发明一致的内容。
图14示出了在淀积催化层和无电淀积导电材料层中使用的无电淀积系统平台200的一个实施方式的示意性俯视图。具有淀积单元的无电淀积系统平台200也在于1999年4月8日申请的、标题为“Electro-Chemical Deposition System”的共同审查中的美国专利申请09/289,074、以及在于1999年3月5日申请的、标题为“Apparatus forElectro Chemical Deposition of Copper Metallization with the Capabilityof In-Situ Thermal Annealing”的共同审查中的美国专利申请09/263,126中有介绍,将两者都列于此以参考与本发明一致的内容。
无电淀积系统平台200一般包括装载站210、热退火室211、主机架214和电解液补充系统220。主机架214一般包括主机架传送站216、旋转漂洗干燥(SRD)站212和六个处理单元240。主机架214包括基座217,该基座217具有用于支撑完成淀积处理所需的各种站的断路器。电解液补充系统220与主机架214相邻设置并连接到处理单元240,以便单独地循环用于无电淀积处理的电解液。无电淀积系统平台200还包括用于给该系统提供电力的电源站221和控制系统222,该控制系统222通常包括可编程微处理器。
装载站210优选包括一个或多个衬底盒接收区224、一个或多个装载站传送机械手228和至少一个衬底定向器230。包含在装载站210中的大量衬底盒接收区、装载站传送机械手228和衬底定向器可根据系统的所希望产量来构造。如一个实施方式所示,装载站210包括两个衬底盒接收区224、两个装载站传送机械手228和一个衬底定向器230。含有衬底234的衬底盒232被装载到衬底盒接收区224上,以便将衬底234引入到无电淀积系统平台中。装载站传送机械手228在衬底盒232和衬底定向器230之间传送衬底234。装载站传送机械手228包括本领域公知的典型传送机械手。衬底定向器230将每个衬底234定位于所希望的方向,以便保证适当地处理衬底。装载站传送机械手228还在装载站210和SRD站212之间以及装载站210和热退火室211之间传送衬底。装载站210优选还包括根据需要用于暂时储存衬底的衬底盒231,以便于穿过该系统有效地传送衬底。
主机架传送机械手242可设置在主机架214的中心。主机架传送机械手242用于在附着于主机架站上的不同站之间传送衬底,这些不同站包括处理站和SRD站。主机架传送机械手242包括可彼此相对独立地移动的多个自动臂2404。主传送机械手242能在附着于主机架上的不同站之间传送衬底。
快速热退火(RTA)室211优选连接到装载站210,利用装载站传送机械手228将衬底送进和送出RTA室211。无电淀积系统优选包括设置在装载站210的相对侧的两个RTA室211,与装载站210的对称设计相对应。
SRD站212包括一个或多个SRD模块236和一个或多个衬底穿过盒238。优选地,SRD站212包括对应大量与装载站传送机械手228的数目相应的两个SRD模块236,衬底穿过盒238设置在每个SRD模块236的上方。衬底穿过盒238便于在装载站210和主机架214之间传送衬底。衬底穿过盒238提供到和从主机架传送站216中的装载站传送机械手228和传送机械手242两者的访问。
在无电淀积系统的一个实施方式中,六个处理单元240包括用于淀积催化层的两个无电淀积室(如参照图2-图12所述的室)和用于淀积导电材料层的四个无电淀积室(如参照图2-图12所述的室)。在另一实施方式中,六个处理单元240包括六个两用无电淀积室,它们适于通过无电淀积法淀积催化层和导电材料层(如参照图2-图12所述的室)。在又一实施方式中,六个处理单元240中的至少一个包括用于淀积导电材料层的电镀室。例如,该系统可包括用于淀积催化层的两个无电淀积室(如参照图2-图12所述的室)、用于淀积导电材料层的两个无电淀积室(如参照图2-图12所述的室)、以及用于淀积导电材料层的两个电镀室。或者,该系统可包括适于淀积催化层和导电材料层的四个两用无电淀积室以及用于淀积导电材料层的两个电镀室。
图15示出了在无电淀积催化层和无电淀积导电材料层时使用的无电淀积系统平台300的另一实施方式的示意性俯视图。无电淀积系统平台300一般包括盒302、适于淀积催化层的无电淀积室304(如参照图2-图12所述的室)、适于淀积导电材料层的无电淀积室306(如参照图2-图12所述的室)、SRD室308以及退火室310(如参照图13所述的室)。一个或多个传送机械手312可设置在平台300的中心,用于在不同室之间传送衬底以及向和从盒302传送衬底。
在淀积催化层和无电淀积导电材料层时使用的无电淀积系统平台(未示出)的另一实施方式包括用于淀积催化层的两个无电淀积室、用于淀积导电材料层的四个无电淀积室以及用于淀积导电材料层的四个电镀室。
无电淀积催化层的方法
这里所述的室和平台可用于执行各种处理。下面介绍示例性的处理。在一个实施方式中,催化层的无电淀积包括使衬底结构与包括胶体的无电淀积水溶液接触,其中所述胶体包括:1)贵金属离子、半贵金属离子或其组合;2)IV族金属离子,如锡离子。在另一实施方式中,催化层的无电淀积包括使衬底结构与IV族金属离子如锡离子的无电淀积水溶液接触,然后使衬底结构与包括贵金属离子、半贵金属离子或其组合的无电淀积水溶液接触。贵金属的例子包括金、银、铂、钯、铱、铼、汞、钌和锇。优选地,本方法中使用的贵金属包括钯或铂,贵金属最优选包括钯。半贵金属的例子包括铁、钴、镍、铜、碳、铝和钨。优选地,在本发明中使用的半贵金属包括钴、镍或钨。IV族金属的例子包括锡、钛和锗。优选地,本方法中使用的IV族金属包括锡。
贵金属/半贵金属(这里使用的“贵金属/半贵金属”指的是贵金属和/或半贵金属)和IV族金属可添加到作为无机和/或有机盐的无电淀积溶液中。可使用的盐的例子包括氯化物、溴化物、氟化物、氟硼酸盐、碘化物、硝酸盐和硫酸烟。优选地,金属盐是氯化物,如氯化钯(PdCl2)、氯铂酸(H2PtCl6)以及氯化锡(SnCl2)。
在一个实施方式中,无论IV族金属和贵金属/半贵金属离子是分开淀积还是作为胶体一起淀积,在无电淀积中使用的IV族金属离子与贵金属/半贵金属离子的比例在大约1∶1到大约40∶1之间。优选地,用于淀积催化层的无电淀积溶液是酸性的。可使用的酸包括盐酸(HCl)、硫酸(H2SO4)、氟硼酸(HBF4)、碘酸(HI)以及乙酸(CH3COOH)。优选地,使用盐酸。用于淀积催化层的无电淀积溶液还可包括其它添加剂,如表面活性剂和湿润剂。在一个实施方式中,用于淀积催化层的无电淀积溶液具有小于或等于大约1的初始PH值。在一个特殊实施方式中,用于淀积催化层的无电淀积溶液包括:在大约0.3g/L到大约1.4g/L之间的Pd;在大约15g/L到大约60g/L的Sn或优选在25到大约30g/L的Sn;以及大约20%到大约60%体积的强酸,如盐酸,或优选30%到40%体积的强酸,如盐酸。用于淀积催化层的典型的无电淀积溶液可从位于West Haven,CT的Enthone-OMI公司获得。
催化层的无电淀积方法的一个实施方式包括在大约20℃和大约150℃之间的反应温度下使衬底结构与包括贵金属离子和/或半贵金属离子以及IV族金属离子的无电淀积溶液接触。对于淀积钯和锡,最佳反应温度在大约20℃和大约80℃之间,反应温度处于大约40℃和大约60℃之间是更优选的。在无电淀积期间使用的溶液量可根据使用的无电淀积设备以及被处理的衬底尺寸而改变。在一个实施方式中,对于200mm晶片,使用大约3ml至大约200ml之间的无电淀积溶液。反应温度指的是溶液和/或衬底的温度,因为反应温度可通过加热溶液、加热衬底或加热溶液和衬底来提供。衬底与无电淀积溶液接触的时间可改变。例如,包括高浓度贵金属/半贵金属离子和IV族金属离子的无电淀积溶液可以在较短时间内在高温下使用,以便淀积预定厚度的催化层。包括低浓度贵金属/半贵金属离子的无电淀积溶液可以在低温下在长时间内使用,以便淀积相同厚度的催化层。在一个实施方式中,使衬底结构与无电淀积溶液接触可以在至少5秒的时间内进行,优选在大约30秒到大约120秒之间进行。可以使衬底结构与无电淀积溶液接触以便淀积至少单层厚度的催化层。在一个实施方式中,淀积的催化层的厚度在大约5埃到大约100埃之间。
催化层的无电淀积的另一实施方式包括使衬底结构与贵金属/半贵金属离子和IV族金属离子的分开的无电淀积溶液接触。利用分开无电淀积溶液的催化层的无电淀积的一个实施方式包括首先使衬底结构与包括IV族金属离子如锡离子的无电淀积溶液接触,然后使衬底结构与包括贵金属离子、半贵金属离子或其组合的无电淀积溶液接触。可以在大约20℃到大约150℃之间、优选在大约20℃到约50℃之间、更优选在大约20℃到大约40℃之间的反应温度下,使衬底与包括IV族金属离子如锡的无电淀积溶液接触。在一个实施方式中,对于200mm晶片,使用大约3ml至大约200ml之间的无电淀积溶液。衬底结构与包括IV族金属离子的无电淀积溶液的接触可以在至少5秒的时间、优选在大约30秒到大约120秒之间的时间内进行。然后可任选地用至少一种漂洗液漂洗衬底。漂洗液可包括去离子水、热去离子水、腐蚀性溶液(酸或碱溶液)、热腐蚀性溶液、盐溶液或热盐溶液。然后,在大约20℃到约150℃之间的反应温度下使衬底与包括贵金属离子、半贵金属离子或其组合的无电淀积溶液接触。对于钯的淀积,最佳反应温度在大约20℃和约80℃之间,更优选在大约40℃和约60℃之间。在一个实施方式中,对于200mm的晶片,使用大约3ml至大约200ml之间的无电淀积溶液。衬底结构与包括贵金属离子、半贵金属离子或其组合的无电淀积溶液的接触可以在至少5秒时间内、优选在大约30秒到大约120秒之间的时间内进行。可使衬底结构与分开的无电淀积溶液接触,以便淀积具有至少单层厚度并包括贵金属和/或半贵金属和IV族金属的催化层。在一个实施方式中,贵金属/半贵金属和IV族金属组合后的厚度为大约5埃到大约100埃。
在催化层已经淀积之后,可用至少一种漂洗液漂洗催化层,所述漂洗液包括去离子水、热去离子水、腐蚀性溶液(酸或碱溶液)、热腐蚀性溶液、盐溶液或热盐溶液。优选地,使用热去离子水,并优选在从大约40℃或大约70℃的下限到大约90℃或大约100℃的上限之间的温度下使用,从任何下限到任何上限的范围都属于本发明的范围。一种优选的范围是在大约40℃到大约90℃的范围内。该方法还包括在用去离子水如热去离子水漂洗衬底之后用酸性溶液漂洗衬底。用于漂洗衬底的酸性溶液的一个例子包括具有大约5%到大约20%体积的强酸如HCl的溶液。该酸性溶液被认为是可用于形成更容易漂洗的氢氧化锡。在另一实施方式中,代替用去离子水漂洗和然后用酸性溶液漂洗,该方法可包括用酸性溶液漂洗之后,用去离子水如热去离子水漂洗。然后,可进一步用碱性溶液漂洗催化层,以便制备用于利用碱性无电淀积溶液淀积导电材料层的衬底。
淀积催化层的方法可包括在无电淀积催化层期间向衬底结构的导电部分(即籽晶层)施加偏压,如DC偏压。该偏压被认为是有助于除去在淀积处理期间形成在催化层中的被捕获的氢气。
该方法还包括在大约100℃到大约400℃,优选为大约100℃到大约300℃的温度下对催化层进行退火(即加热)。该退火可以在真空下进行,优选在低于1毫乇的压力下进行。或者,该退火可以在气体氛如一种或多种惰性气体(如氩气、氦气)、氮气、氢气和其混合气体的气体氛下进行。在一个实施方式中,退火在至少大约1分钟的时间内进行。在另一实施方式中,退火在大约1到大约10分钟的时间内进行。优选地,退火是通过快速热退火工艺进行的。对衬底进行退火被认为是促进了催化层在阻挡层上、在籽晶层上或在衬底结构上的粘接。该退火还被认为是有助于除去在淀积期间在催化层中形成的氢气。
淀积催化层的方法可以在上述无电淀积室(一个或多个)中进行。在一个实施方式中,催化层可以在无电淀积室中退火,或者可以在分开的退火室中退火。在另一实施方式中,催化层的漂洗可以在无电淀积室中进行,或者在分开的室中进行。
在不限于特殊理论的情况下,催化层催化后来的导电材料层如铜层的无电淀积的机理被认为是涉及形成贵金属/半贵金属和IV族金属的金属络合物,如Pd/Sn络合物,而不管贵金属/半贵金属和IV族金属是一起淀积还是分开淀积。贵金属/半贵金属和IV族金属络合物被认为是在处理液中作为胶体形成,其中中心部分包括大部分的贵金属/半贵金属,外壳部分包括IV族层,如锡层。外壳的粘接性能使胶体附着于衬底上。外壳的电荷防止胶体聚集,允许胶体颗粒独立地附着于衬底。淀积催化层的反应温度被认为是有助于控制淀积速度。如果反应温度太低,则催化层的淀积速度太慢,并且将降低穿过该系统的衬底产量。如果反应温度太高,催化层的淀积速度太快,这将引起杂质在淀积期间结合到催化层中。
此外,还认为金属络合物芯必须暴露于后续的导电材料层的无电淀积。通过漂洗掉贵金属/半贵金属芯周围的一些IV族金属如Sn,热去离子漂洗之后进行酸性漂洗被认为是对于暴露所述芯是有效的。作为选择或附加地,热去离子漂洗之后进行酸性漂洗被认为是可以漂洗掉再淀积在衬底上的IV族金属和贵金属/半贵金属,从而形成用于后续的导电材料层的无电淀积的活性表面。
导电材料层的无电淀积方法
可以通过使衬底结构与包含导电金属离子水溶液和还原剂的无电淀积溶液接触,将导电材料层26(图1A-1D)如铜层淀积在催化层24(图1A-D)上。在一个实施方式中,用于无电淀积铜的溶液包括作为要淀积的铜源的铜盐,如硫酸铜(CuSO4)、氯化铜、碘化铜。由于铜趋于在pH3.5以上沉淀,因此该溶液可包括络合剂或螯合剂,用以形成金属络合物并防止氢氧化铜沉淀。络合或螯合剂的例子包括酒石酸盐、EDTA、胺、氨基聚乙酸、内消旋赤藓醇、羟基乙酸和柠檬酸。该溶液还可包括还原金属离子的还原剂。还原剂的例子包括甲醛、羟基乙酸、乙醛酸、抗坏血酸和连二磷酸钠。该溶液还可包括pH调节剂。PH调节剂包括氢氧化钠、钾和氢氧化铵。该溶液还可包括稳定剂,如巯基苯并噻唑、硫脲(thiorea)、氰化物(cynide)、五氧化钒、甲基丁醇(methyl butynol)和硒化合物。该溶液可包括其它添加剂以提高淀积性能(如提高延展性)。添加剂的例子包括氰化钠、五氧化钒、砷酸钠(sodiumaresenite)和聚乙二醇。基本成分之间的典型化学反应可表示如下:
在催化表面存在:
这种反应将两个电子传输个铜离子并在催化表面上淀积铜,反应产生了副产物氢气。
在一个方案中,可以在使用时混合无电淀积溶液。例如,无电淀积可分为两种溶液。第一种溶液可包括铜盐、络合剂、添加剂和稳定剂。第二种溶液可包括还原剂和PH调节剂。第一种溶液和第二种溶液恰好在要分散到衬底上之前混合,从而保持无电淀积溶液的活性。
一种典型的溶液包括0.02摩尔/升到大约0.4摩尔/升的铜盐、作为络合剂的0.04摩尔/升到大约0.2摩尔/升的乙二胺四乙酸(EDTA)、用于输送OH-以便实现优选在大约11以上的PH值的0.45摩尔/升到大约0.6摩尔/升的氢氧化钠、作为还原剂的0.06摩尔/升到大约1.0摩尔/升的甲醛(HCHO)。在一个实施方式中,将溶液的PH值调节到大约11以上。在另一实施方式中,为了解决后来的酸性电镀槽的集成问题,将无电淀积溶液调节到酸性PH值,用于后续的在由无电淀积法淀积的导电材料上电镀导电材料。
在一个实施方式中,使衬底结构与无电铜溶液接触可以在大约20℃和大约100℃之间的反应温度下进行,优选在大约40℃到大约80℃之间的温度下进行。在无电淀积期间使用的溶液量可以根据使用的无电淀积设备和要处理的衬底的尺寸而改变。在一个实施方式中,对于200mm的晶片,使用大约10ml到大约400ml之间的溶液。使衬底结构与无电铜溶液接触可以至少5秒种。如果用作籽晶层的话,可使衬底结构与无电铜溶液接触大约45秒到大约120秒的时间,以便淀积厚度小于500埃,并且优选在大约50埃到大约300埃之间的铜层。或者,可以进行无电铜淀积,从而淀积铜层以填充结构,如厚度高达1微米或以上。如果用于填充结构,则无电铜溶液还可包括添加剂如促进剂、抑制剂、以及匀平剂,用以帮助从下向上填充结构。淀积之后,可漂洗衬底的表面,如用去离子水漂洗,从而除去残留的无电淀积溶液,然后烘干。导电层的漂洗可以在无电淀积室中进行,或者可以在分开的腔室如SRD室中进行。
淀积导电层的方法可包括在淀积导电层期间给衬底结构施加偏压,如DC偏压。该偏压被认为是有助于除去在淀积工艺期间形成在导电层中的被捕获氢气。在一个实施方式中,电源耦合到衬底的导电部分,如PVD铜籽晶层,从而给衬底结构施加偏压。在一个实施方式中,电源的正极可耦合到衬底,电源的负极可耦合到与衬底上的无电淀积铜溶液接触的电极。正极给衬底结构提供正向偏压而给电极提供负向偏压。这种偏压有助于从无电淀积铜层除去正的氢离子,原因是正衬底结构排斥正氢离子而电极的负偏压吸引正氢离子。在一个实施方式中,电源提供小于+0.337V的电池电压,从而防止铜层发生除镀。在另一实施方式中,电源的极性可以相反,从而防止导电铜层发生除镀。
在另一实施方式中,电源的负极可耦合到衬底上,电源的正极可耦合到与无电铜溶液接触的电极。可向衬底结构施加偏压,帮助“跳跃启动”铜的无电淀积工艺。电源可提供小于或大于+0.337V的电池电压,以便“跳跃启动”铜的无电淀积工艺。在一个实施方式中,在无电淀积工艺期间在较短时间内施加偏压,例如在大约一毫秒到小于大约1秒的时间之间。在另一实施方式中,可以在较长的时间内加偏压,例如在大于大约1秒到大约无电淀积工艺的持续时间之间的时间内施加偏压。
该方法还包括在大约100℃到大约400℃之间、优选在大约100℃到大约300℃之间的温度下对衬底进行退火(即加热)。该退火可以在真空下、优选在低于1毫乇的压力下进行。作为选择,退火可以在气体氛下进行,如惰性气体、氮气、氢气和其混合气体的气体氛。在一个实施方式中,退火在至少大约1分钟的时间内进行。在另一实施方式中,退火是在大约1到大约10分钟之间的时间内进行的。优选地,退火是通过快速热退火工艺进行的。
在一个实施方式中,退火是在两步处理中进行的。第一,在没有氢气氛的情况下对衬底进行退火,从而除去在铜导电层中形成的氢。第二,在从腔室移走之前,在氢气氛中进行退火,以便减少从铜导电层上形成的氧化铜的量。
除了在淀积催化层之后的退火之外或作为选择,还可进行退火。优选地,进行导电层的退火而不是催化层的退火。对衬底进行退火被认为是促进了导电层的粘接。退火还被认为是有助于除去在淀积期间在无电铜层中的被捕获的氢气。此外,通过除去导电材料层中的氢空隙除去捕获的氢气被认为是降低了导电材料层的电阻率。退火还被认为是促进了铜导电层的再结晶。
催化层的电镀
催化层还可以通过电镀来淀积。能通过电镀工艺淀积催化层的设备的一个实施方式是从加利福尼亚的Santa Clara的应用材料有限公司获得的ELECTRA CUTM ECP平台。该电镀设备在于1999年4月8日申请的、标题为“Electro-Chemical Deposition System”的美国专利申请序号09/289,074中有更详细的说明,将其列于此以参考与本申请一致的内容。电镀包括在阳极和作为阴极的衬底之间通电流,其中这些电极位于含有金属离子的电化学槽中,从而在衬底上淀积金属或合金层。
通过电镀淀积的催化层可包括贵金属、半贵金属、其合金或其组合。优选地,通过电镀淀积的催化层包括钴、钯、铂、镍、钨、其合金和其组合。包含合金的催化层的一个实施方式包括钴-镍、钴-钨和钴-钯。电镀溶液通常包括作为金属盐的希望电镀的金属的金属离子,如金属硫酸盐、金属氯化物、金属氨基磺酸盐及其组合。通常,电镀溶液还包括酸、盐、其它电解质和其它添加剂。催化层的电子淀积还包括对衬底进行退火。
催化层的化学汽相淀积
催化层还可通过化学汽相淀积法来淀积。能化学汽相淀积催化层的腔室的例子是从加利福尼亚的Santa Clara的应用材料有限公司获得的CVD TxZTM腔室。一般情况下,化学汽相淀积包括使用载体气体将金属前体输送到腔室中。金属前体发生化学反应,从而在衬底表面上淀积金属膜。化学汽相淀积还可包括利用等离子体以助于在衬底表面淀积金属膜。通过化学汽相淀积法淀积的催化层可包括贵金属、半贵金属,其合金或其组合。催化层的化学汽相淀积还可包括对衬底进行退火。
导电材料层的电镀
在一个实施方式中,导电材料层26如铜层可以通过在催化层24上电镀来淀积。在另一实施方式中,可通过在催化层上进行导电材料的无电淀积之后进行导电材料的电镀来淀积导电材料层26。
能通过电镀工艺淀积导电材料的设备是ELECTRA CUTM ECP平台。该电镀设备在于1999年4月8日申请的、标题为“Electro-ChemicalDeposition System”的美国专利申请序号09/289,074中有更详细的说明,将其列于此以参考与本申请一致的内容。电镀包括通过在含有导电材料离子的电化学槽中给阳极和作为阴极的衬底之间施加电流,在衬底上淀积一层导电材料。
用于在含有可消耗阳极的系统中淀积铜层的典型电镀化学技术在于1999年2月5日申请的、标题为“Electrodeposition Chemistry ForImproved Filling Of Apertures”的处于共同审查中的美国申请序号09/245,780中有更详细的说明,将其列于此以参考与本申请一致的内容。典型的电镀方法还在2000年9月5日授权公布的、标题为“ElectroDeposition Chemistry”的美国专利号6,113,771中有介绍,将其列于此以参考与本申请一致的内容。
通常情况下,在衬底结构上电镀导电材料层的方法包括将衬底结构连接到电源的负极端,将衬底结构和阳极放在包含金属离子和支持电解质的溶液中,向衬底结构上电镀来源于溶液中的金属离子的金属。导电材料层的化学汽相淀积
在一个实施方式中,导电材料层26如铜层可通过在催化层24上进行化学汽相淀积来淀积。在另一实施方式中,可通过在催化层上无电淀积导电材料之后化学汽相淀积导电材料来淀积导电材料层26。
能通过化学汽相淀积工艺淀积导电材料层的设备是从加利福尼亚的Santa Clara的应用材料有限公司获得的CVD Cu腔室。用于淀积铜层的典型化学汽相淀积工艺在2000年8月29日授权公布的、标题为“CVD method of deposition copper films by using improvedorganocopper precursor blend”的美国专利6,110,530中有介绍,将其列于此以参考与本申请一致的内容。
一般情况下,导电材料层的化学汽相淀积包括利用载体气体如氩气将金属前体输送到腔室中。铜前体的例子包括铜+2(hfac)2、Cu+2(fod)2和络合物铜+1hfac,TMVS(fod是七氟二甲基辛二烯的缩写,hfac是六氟乙酰丙酮化物离子的缩写,TMVS是三甲基乙烯基硅烷的缩写)。金属前体发生化学反应,从而在衬底表面上淀积金属膜。化学汽相淀积还可包括利用等离子体以助于在衬底表面上淀积金属膜。
实施例
在淀积催化层和导电材料层时进行了各种试验。下面介绍一些实施例。
实施例A
在衬底结构上淀积700埃PVD铜籽晶层,其中衬底结构具有0.2微米特征结构,所述特征结构的纵横比为大约5比大约1。通过无电淀积法在大约40℃的反应温度下、在30秒、60秒、120秒或240秒的时间内在PVD铜籽晶层上淀积含有锡和钯的催化层。该催化层是利用含有0.7g/L的Pd、25-30g/L的Sn和30%-40%体积的HCl的无电淀积溶液淀积的。衬底的扫描电子显微照片表明,对于在120秒或240秒时间内淀积的催化层,催化层的酸性无电淀积溶液将开始溶解并在PVD铜籽晶层中产生孔。在30秒或60秒内淀积的催化层表现出良好的阶梯覆盖率,而没有在PVD铜籽晶层中产生孔。
实施例B
在具有大约5比大约1的纵横比的0.2微米特征结构的衬底结构上淀积薄PVD铜籽晶层。在30秒时间内、在室温、40℃、60℃或80℃的反应温度下在PVD铜籽晶层上通过无电淀积法淀积包含锡和钯的催化层。该催化层是利用含有0.7g/L的Pd、25-30g/L的Sn和30%-40%的HCl的无电淀积溶液淀积的。衬底的扫描电子显微照片表明,对于在室温下淀积的催化层,催化层具有非常粗糙的表面。
实施例C
在具有大约5比大约1的纵横比的0.2微米特征结构的衬底结构上淀积薄PVD铜籽晶层。在60℃的反应温度下、在30秒时间内通过无电淀积法在薄PVD铜籽晶层上淀积包含锡和钯的催化层。该催化层是利用含有0.7g/L的Pd、25-30g/L的Sn和30%-40%体积HCl的100ml无电淀积溶液淀积的。在有些实验中,向100ml无电淀积溶液中添加额外的5ml、10ml或20ml的浓HCl。扫描电子显微照片表明,在不用额外的HCl淀积的催化层和利用额外的5ml、10ml或20mlHCl淀积的催化层之间,对铜籽晶层没有太大影响。
实施例D
在具有大约5比大约1的纵横比的0.2微米特征结构的衬底结构上淀积薄PVD铜籽晶层。利用含有0.7g/L的Pd、25-30g/L的Sn和30%-40%体积HCl的无电淀积溶液、在大约40℃的反应温度下、在30秒时间内通过无电淀积法在薄PVD铜籽晶层上淀积包含锡和钯的催化层。利用包含铜离子的无电淀积溶液,在30秒、60秒或120秒的时间内、在60℃的反应温度下在催化层上通过无电淀积法淀积导电材料层。扫描电子显微照片表明,对于在30秒或60秒内淀积的导电材料层,导电材料层是不连续的。对于在120秒内淀积的导电材料层是连续的。
实施例E
在衬底上淀积TaN阻挡层。在阻挡层上淀积薄PVD铜籽晶层。在15秒、30秒、45秒或60秒的时间内,在大约60℃的反应温度下在PVD铜籽晶层上通过无电淀积法淀积包含锡和钯的催化层。该催化层是利用含有0.7g/L的Pd、25-30g/L的Sn和30%-40%体积HCl的无电淀积溶液淀积的。在60℃下在120秒时间内利用无电淀积法在催化层上淀积铜导电材料层。利用俄歇电子光谱仪测量在淀积膜的一定深度下的元素的原子浓度。对于在15秒内淀积的催化层上淀积的铜导电材料层,Pd和Sn的原子浓度在膜叠置体的表面上很高,表示催化层具有粗糙表面。对于在30秒、45秒或60秒内淀积的催化层上淀积的铜导电材料层,Pd和Sn的浓度在膜叠置体的表面上很低,表明催化层光滑。在不限制于任何特殊理论的情况下,认为淀积催化层需要一定的时间,以便允许Pd/Sn颗粒聚结形成平滑表面。
实施例F
在具有大于6∶1、8∶1或10∶1的纵横比的亚0.2微米特征结构的衬底结构上淀积250埃Ta阻挡层。在阻挡层上淀积400埃的PVD铜籽晶层。在40℃下,在30秒内在Cu籽晶层上通过无电淀积法淀积Pd/Sn催化层。在60℃下在120秒内通过无电淀积法在催化层上淀积铜导电材料层。扫描电子显微照片表明在亚微米结构上的无电淀积的铜导电材料具有良好的阶梯覆盖率。
实施例G
在具有大于大约6∶1的纵横比的亚0.2微米特征结构的衬底结构上淀积Pd/Sn催化层。在该催化层上通过无电淀积法淀积铜导电材料层。通过电镀在铜材料层上淀积第二铜导电材料层。扫描电子显微照片表明特征结构被铜导电材料填充而没有任何孔或缝隙。
前面已经介绍了本发明的实施方式,在不脱离其基本范围的情况下可以设计本发明的其它和进一步的实施方式,本发明的范围由所附权利要求书确定。

Claims (33)

1.一种衬底处理设备,包括:
具有衬底接收表面的衬底支架;
设置在衬底支架的周边部分上的弹性流体密封垫,它适于防止流体流到设置在衬底支架的衬底接收表面上的衬底的背面;和
沿流体密封垫的径向向外形成于衬底支架的周边部分上的流体排放管。
2.根据权利要求1所述的设备,还包括在流体排放管之内和流体密封垫之外形成于衬底支架的周边部分上的气体出口。
3.根据权利要求2所述的设备,其中气体出口被构造为向设置在衬底支架的衬底接收表面上的衬底的背面提供清洗气体。
4.根据权利要求2所述的设备,还包括形成位于衬底支架中并与气体出口和流体排放管连通的通道。
5.根据权利要求1所述的设备,还包括设置成与衬底接收表面相对并与处于其平行方向上的蒸发防护罩。
6.一种衬底处理室,包括:
衬底接收表面;
真空端口;
与真空端口连通的真空槽;和
位于衬底支架的周边部分的流体密封垫;
流体源;
耦合到流体源的流体输入端,它适于向设置在衬底接收表面上的衬底输送处理流体;和
适于除去处理流体的排放管。
7.根据权利要求6所述的衬底处理室,还包括耦合到排放管和耦合到流体输入端的再生元件,该再生元件适于向流体输入端再循环从排放管接收的处理流体,使其再用于衬底处理室中。
8.根据权利要求6所述的衬底处理室,还包括蒸发防护罩,其尺寸基本上可以覆盖设置在衬底接收表面上的衬底,并适于设置在衬底上以在蒸发防护罩和衬底之间形成间隙,该间隙适于用流体层填充。
9.根据权利要求8所述的衬底处理室,其中流体输入端和排放管各包括设置在蒸发防护罩中的至少一个流体端口。
10.根据权利要求8所述的衬底处理室,其中蒸发防护罩适于旋转。
11.根据权利要求8所述的衬底处理室,还包括位于蒸发防护罩和衬底支架之间的密封垫。
12.根据权利要求8所述的衬底处理室,其中蒸发防护罩包括除气薄膜。
13.根据权利要求12所述的衬底处理室,其中蒸发防护罩还包括与除气薄膜连通的增压室。
14.一种在形成于衬底的衬底结构上的亚微米结构中淀积导电材料的方法,包括:
通过无电淀积法淀积催化层,该催化层包括选自贵金属、半贵金属和其组合的金属并包括IV族金属;和
利用无电淀积在催化层上淀积厚度小于大约500埃的导电材料层。
15.根据权利要求14所述的方法,其中淀积导电材料层包括淀积厚度小于大约300埃的导电材料层。
16.根据权利要求14所述的方法,其中IV族金属包括锡。
17.根据权利要求14所述的方法,其中贵金属包括选自钯和铂的金属。
18.根据权利要求14所述的方法,其中半贵金属包括选自钴、镍和钨的金属。
19.根据权利要求14所述的方法,其中淀积催化层包括使衬底结构与无电淀积溶液接触,所述无电淀积溶液包括IV族金属离子和包括选自贵金属离子、半贵金属离子和其组合的金属离子。
20.根据权利要求14所述的方法,其中催化层淀积至厚度大约为5埃到大约100埃之间。
21.根据权利要求14所述的方法,其中淀积催化层包括使衬底结构与包括IV族金属离子的第一无电淀积溶液接触,并使衬底结构与包括贵金属离子、半贵金属离子和其组合的第二无电淀积溶液接触。
22.根据权利要求14所述的方法,其中淀积导电材料层包括通过使衬底结构与含有铜离子的铜无电淀积溶液接触而进行铜层的无电淀积。
23.根据权利要求14所述的方法,其中淀积催化层还包括在大约20℃到大约150℃的反应温度下,在大约30秒到大约120秒之间的时间内使衬底结构与无电淀积溶液接触,所述无电淀积溶液包括IV族金属离子并包括选自贵金属、半贵金属和其组合的金属离子。
24.根据权利要求14所述的方法,其中半贵金属包括选自钴、镍和钨的金属。
25.根据权利要求14所述的方法,其中用于进行无电处理的无电淀积溶液是酸性的。
26.根据权利要求14所述的方法,其中无电淀积处于小于或等于大约1的初始PH值。
27.根据权利要求14所述的方法,其中无电淀积溶液包括大约0.3g/L到大约1.4g/L的钯;在大约15g/L
28.根据权利要求14所述的方法,还包括在大约100℃到大约400℃之间的温度下对催化层进行退火。
29.根据权利要求14所述的方法,其中催化层包括钴、钯、铂、镍、钨和其合金中的至少一种。
30.根据权利要求14所述的方法,其中催化层包括选自钴、钯、铂、镍和钨中的两种或两种以上金属的金属间化合物。
31.一种在形成于衬底上的亚微米结构中淀积导电材料的方法,包括:
淀积阻挡层;
通过选自无电淀积、电镀和化学汽相淀积的淀积技术在阻挡层上淀积催化层,该催化层包括选自贵金属、半贵金属、其合金和其组合的金属;和
通过选自无电淀积、电镀、化学汽相淀积、无电淀积之后进行电镀的组合法、无电淀积之后进行化学汽相淀积的组合法的淀积技术,在催化层上淀积厚度小于500埃的导电材料层。
32.根据权利要求31所述的方法,其中催化层包括选自钴、钯、铂、镍和钨的两种或两种以上金属的金属间化合物。
33.根据权利要求31所述的方法,其中催化层是通过电镀淀积的,催化层包括选自钴-镍、钴-钨、钴-钯及其组合的钴合金。
34.根据权利要求31所述的方法,其中催化层是通过无电淀积技术淀积的,催化层包括选自锡-钴、锡-钯、锡-铂和锡-镍的合金。
CNA038047071A 2002-01-28 2003-01-21 无电淀积设备和方法 Pending CN1639840A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/059,572 2002-01-28
US10/059,572 US7138014B2 (en) 2002-01-28 2002-01-28 Electroless deposition apparatus

Publications (1)

Publication Number Publication Date
CN1639840A true CN1639840A (zh) 2005-07-13

Family

ID=27609833

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038047071A Pending CN1639840A (zh) 2002-01-28 2003-01-21 无电淀积设备和方法

Country Status (6)

Country Link
US (2) US7138014B2 (zh)
EP (1) EP1470571A2 (zh)
JP (1) JP2005539369A (zh)
CN (1) CN1639840A (zh)
TW (1) TW200302295A (zh)
WO (1) WO2003065423A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101547746B (zh) * 2006-10-05 2012-01-25 朗姆研究公司 无电电镀方法及设备
CN109750332A (zh) * 2017-11-08 2019-05-14 罗门哈斯电子材料有限责任公司 铜电镀组合物和在衬底上电镀铜的方法

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US8257781B1 (en) 2002-06-28 2012-09-04 Novellus Systems, Inc. Electroless plating-liquid system
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
US7112472B2 (en) * 2003-06-25 2006-09-26 Intel Corporation Methods of fabricating a composite carbon nanotube thermal interface device
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
TWI232888B (en) * 2003-11-25 2005-05-21 Min-Hung Lei Method for producing supported palladium membrane for purification of high purity hydrogen
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4559818B2 (ja) * 2004-04-30 2010-10-13 アルプス電気株式会社 シリコン基板の無電解めっき方法およびシリコン基板上の金属層形成方法
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4686201B2 (ja) * 2005-01-27 2011-05-25 パナソニック株式会社 固体撮像装置及びその製造方法
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
DE102005038208B4 (de) * 2005-08-12 2009-02-26 Müller, Thomas Verfahren zur Herstellung von Silberschichten und seine Verwendung
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US8776717B2 (en) 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7902063B2 (en) 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
JP4408432B2 (ja) * 2005-12-26 2010-02-03 東京エレクトロン株式会社 ダマシン配線の形成方法
WO2007084952A2 (en) * 2006-01-18 2007-07-26 Akrion Technologies, Inc. Systems and methods for drying a rotating substrate
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US20070202614A1 (en) * 2006-02-10 2007-08-30 Chiang Tony P Method and apparatus for combinatorially varying materials, unit process and process sequence
KR100788602B1 (ko) * 2006-09-29 2007-12-26 주식회사 하이닉스반도체 반도체 소자 및 그 금속 배선 형성 방법
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US20080092947A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Pulse plating of a low stress film on a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
WO2008070568A2 (en) * 2006-12-01 2008-06-12 Applied Materials, Inc. Apparatus and method for electroplating on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7799182B2 (en) * 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8834969B2 (en) * 2007-10-24 2014-09-16 Oerlikon Advanced Technologies Ag Method for manufacturing workpieces and apparatus
US8282999B2 (en) * 2008-04-04 2012-10-09 Micron Technology, Inc. Spin-on film processing using acoustic radiation pressure
WO2009147962A1 (ja) 2008-06-05 2009-12-10 東京エレクトロン株式会社 液処理装置および液処理方法
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
US8540818B2 (en) * 2009-04-28 2013-09-24 Mitsubishi Materials Corporation Polycrystalline silicon reactor
CN105304479B (zh) * 2009-10-23 2018-06-01 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US9217197B2 (en) * 2010-02-26 2015-12-22 Applied Materials, Inc. Methods for depositing a layer on a substrate using surface energy modulation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI476299B (zh) * 2010-06-23 2015-03-11 Ind Tech Res Inst 化學浴鍍膜設備及化合物薄膜的製造方法
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5805306B2 (ja) * 2011-05-06 2015-11-04 オスラム オプト セミコンダクターズ ゲゼルシャフト ミット ベシュレンクテル ハフツングOsram Opto Semiconductors GmbH 複数の構成素子支持領域を分離する溝構造を備えている構成素子支持体結合体及び複数の構成素子支持体領域の製造方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9153449B2 (en) 2012-03-19 2015-10-06 Lam Research Corporation Electroless gap fill
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US10090175B2 (en) * 2012-06-22 2018-10-02 Scientific Value Solutions Co. Ltd Apparatus for manufacturing semiconductor wafer
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
CN104091758B (zh) * 2014-07-25 2017-03-15 上海华力微电子有限公司 一种改善通孔刻蚀后晶圆表面微环境的方法
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6404745B2 (ja) * 2015-02-25 2018-10-17 住友精密工業株式会社 液状物充填装置
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN105088302A (zh) * 2015-06-30 2015-11-25 昆山市欣典鼎机械设备有限公司 一种机器人在表面处理生产中的应用方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6526543B2 (ja) * 2015-10-28 2019-06-05 東京エレクトロン株式会社 めっき処理装置及びめっき処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10640874B2 (en) 2017-08-14 2020-05-05 Lam Research Corporation Selective electroless electrochemical atomic layer deposition in an aqueous solution without external voltage bias
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN112074625B (zh) 2018-03-29 2024-03-08 应用材料公司 电镀系统中的基板清洁部件与方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11345991B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and machine of manufacture
US10800004B2 (en) * 2018-09-28 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of chemical mechanical polishing
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
CN115867695A (zh) * 2020-05-08 2023-03-28 朗姆研究公司 电镀钴、镍及其合金
CN112063852B (zh) * 2020-09-14 2022-07-05 湘潭云萃环保技术有限公司 一种含铜污泥中回收贵金属的设备

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1085742A (en) * 1913-04-16 1914-02-03 Spirella Company Inc Hood for electroplating-tanks.
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US2439491A (en) * 1942-06-02 1948-04-13 Schiffl Charles Enclosed electroplating tank
US3853094A (en) * 1971-01-25 1974-12-10 Du Pont Electroless plating apparatus
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4120699A (en) * 1974-11-07 1978-10-17 Alvin B. Kennedy, Jr. Method for acoustical cleaning
US4021278A (en) * 1975-12-12 1977-05-03 International Business Machines Corporation Reduced meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4376581A (en) * 1979-12-20 1983-03-15 Censor Patent- Und Versuchs-Anstalt Method of positioning disk-shaped workpieces, preferably semiconductor wafers
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4353942A (en) * 1980-11-17 1982-10-12 Dayco Corporation Coating method
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
NL8200469A (nl) * 1982-02-08 1983-09-01 Langen Research Uitbeeninrichting.
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
JPS609129A (ja) * 1983-06-29 1985-01-18 Fujitsu Ltd ウエツト処理装置
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US4677758A (en) * 1985-10-08 1987-07-07 Seiichiro Aigo Spin drier for semiconductor material
JPH0814644B2 (ja) * 1985-12-16 1996-02-14 三菱電機株式会社 色フィルタ染色装置
US4758926A (en) * 1986-03-31 1988-07-19 Microelectronics And Computer Technology Corporation Fluid-cooled integrated circuit package
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4875434A (en) * 1987-04-02 1989-10-24 Mitsubishi Denki Kabushiki Kaisha Apparatus for coating a substrate with a coating material
JP2675309B2 (ja) * 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US6056994A (en) * 1988-12-27 2000-05-02 Symetrix Corporation Liquid deposition methods of fabricating layered superlattice materials
US4989345A (en) * 1989-12-18 1991-02-05 Gill Jr Gerald L Centrifugal spin dryer for semiconductor wafer
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5232511A (en) * 1990-05-15 1993-08-03 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous mixed acid vapors
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5658387A (en) * 1991-03-06 1997-08-19 Semitool, Inc. Semiconductor processing spray coating apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5112465A (en) * 1990-12-04 1992-05-12 George Danielson Electrodeposition apparatus
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5395649A (en) * 1992-02-04 1995-03-07 Sony Corporation Spin coating apparatus for film formation over substrate
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5260095A (en) * 1992-08-21 1993-11-09 Battelle Memorial Institute Vacuum deposition and curing of liquid monomers
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5368634A (en) * 1993-07-26 1994-11-29 Hughes Aircraft Company Removing bubbles from small cavities
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5423716A (en) * 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
JPH07235473A (ja) 1994-02-24 1995-09-05 Hitachi Ltd 液体供給方法及び回転式液体供給装置及び回転式レジスト現像処理装置
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5974661A (en) * 1994-05-27 1999-11-02 Littelfuse, Inc. Method of manufacturing a surface-mountable device for protection against electrostatic damage to electronic components
JP2741344B2 (ja) * 1994-07-22 1998-04-15 大同メタル工業株式会社 超音波処理装置
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5853961A (en) * 1995-04-19 1998-12-29 Tokyo Electron Limited Method of processing substrate and apparatus for processing substrate
JPH08316190A (ja) * 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
US6090261A (en) 1995-05-26 2000-07-18 Formfactor, Inc. Method and apparatus for controlling plating over a face of a substrate
US6042712A (en) * 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
JPH11510219A (ja) 1995-12-19 1999-09-07 エフエスアイ インターナショナル インコーポレイテッド スプレー・プロセッサを用いる金属膜の無電解めっき
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
TW344097B (en) * 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
TW402758B (en) * 1996-05-20 2000-08-21 Tokyo Electorn Limtied Spin dryer and method of drying substrates
DE19620591B4 (de) * 1996-05-22 2004-08-26 Fresenius Medical Care Deutschland Gmbh Vorrichtung zum Entfernen von Gasen aus Flüssigkeiten
JPH1022246A (ja) * 1996-07-04 1998-01-23 Tadahiro Omi 洗浄方法
US5899216A (en) * 1996-07-08 1999-05-04 Speedfam Corporation Apparatus for rinsing wafers in the context of a combined cleaning rinsing and drying system
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
FR2754474B1 (fr) * 1996-10-15 1999-04-30 Novatec Dispositif pour le depot d'un produit visqueux ou pateux sur un substrat a travers les ouvertures d'un pochoir
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
KR100246963B1 (ko) * 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6027602A (en) * 1997-08-29 2000-02-22 Techpoint Pacific Singapore Pte. Ltd. Wet processing apparatus
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
EP0901153B1 (en) * 1997-09-02 2009-07-15 Ebara Corporation Method and apparatus for plating a substrate
US5974681A (en) * 1997-09-10 1999-11-02 Speedfam-Ipec Corp. Apparatus for spin drying a workpiece
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
JP3323797B2 (ja) * 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP3628170B2 (ja) * 1998-03-04 2005-03-09 株式会社荏原製作所 めっき装置
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP3534605B2 (ja) 1998-03-27 2004-06-07 大日本スクリーン製造株式会社 基板メッキ装置
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
AU4730699A (en) * 1998-07-02 2000-01-24 Millipore Corporation Process for coating a solid surface with a liquid composition
US6124214A (en) * 1998-08-27 2000-09-26 Micron Technology, Inc. Method and apparatus for ultrasonic wet etching of silicon
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000277478A (ja) * 1999-03-25 2000-10-06 Canon Inc 陽極化成装置、陽極化成システム、基板の処理装置及び処理方法、並びに基板の製造方法
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6846392B1 (en) * 1999-06-04 2005-01-25 Mykrolis Corporation Hydrophobic and hydrophilic membranes to vent trapped gases in a plating cell
WO2000075402A1 (en) * 1999-06-04 2000-12-14 Mykrolis Corporation Hydrophobic and hydrophilic membranes to vent trapped gases in a plating cell
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6467026B2 (en) * 1999-07-23 2002-10-15 Hitachi, Ltd. Web cache memory device and browser apparatus utilizing the same
US6391209B1 (en) * 1999-08-04 2002-05-21 Mykrolis Corporation Regeneration of plating baths
TW399743U (en) * 1999-09-15 2000-07-21 Ind Tech Res Inst Wafer back protection device
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
DE29922090U1 (de) * 1999-12-16 2000-03-30 Sotralentz Sa Behälter, insbesondere Kunststoffbehälter, für fließfähige Medien
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6461061B2 (en) * 1999-12-30 2002-10-08 Applied Science Fiction, Inc. System and method for digital film development using visible light
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US20030116176A1 (en) * 2001-04-18 2003-06-26 Rothman Laura B. Supercritical fluid processes with megasonics
JP2003037093A (ja) * 2001-07-06 2003-02-07 Pacific Internatl Stg Inc 超音波振動装置及びそれを備えた超音波洗浄装置
JP2003059884A (ja) * 2001-08-20 2003-02-28 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6846519B2 (en) * 2002-08-08 2005-01-25 Blue29, Llc Method and apparatus for electroless deposition with temperature-controlled chuck
US6908512B2 (en) * 2002-09-20 2005-06-21 Blue29, Llc Temperature-controlled substrate holder for processing in fluids
US20040094186A1 (en) * 2002-11-19 2004-05-20 Igor Ivanov Method and apparatus for uniform treatment of objects in liquids
US7235483B2 (en) * 2002-11-19 2007-06-26 Blue29 Llc Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101547746B (zh) * 2006-10-05 2012-01-25 朗姆研究公司 无电电镀方法及设备
CN109750332A (zh) * 2017-11-08 2019-05-14 罗门哈斯电子材料有限责任公司 铜电镀组合物和在衬底上电镀铜的方法

Also Published As

Publication number Publication date
US20050199489A1 (en) 2005-09-15
EP1470571A2 (en) 2004-10-27
WO2003065423A2 (en) 2003-08-07
US7138014B2 (en) 2006-11-21
WO2003065423A3 (en) 2003-11-06
JP2005539369A (ja) 2005-12-22
US20030141018A1 (en) 2003-07-31
TW200302295A (en) 2003-08-01

Similar Documents

Publication Publication Date Title
CN1639840A (zh) 无电淀积设备和方法
US6824666B2 (en) Electroless deposition method over sub-micron apertures
US20030143837A1 (en) Method of depositing a catalytic layer
US8883640B1 (en) Sequential station tool for wet processing of semiconductor wafers
JP4875492B2 (ja) 無電解堆積のための装置
TWI260676B (en) Substrate processing apparatus
US20070111519A1 (en) Integrated electroless deposition system
EP1167585A2 (en) Method and apparatus for forming interconnects, and polishing liquid and polishing method
US20040234696A1 (en) Plating device and method
US20050081744A1 (en) Electroplating compositions and methods for electroplating
TW200820329A (en) Substrate processing method and substrate processing apparatus
US7727863B1 (en) Sonic irradiation during wafer immersion
US7690324B1 (en) Small-volume electroless plating cell
CN1933143A (zh) 无电电镀溶液及半导体器件
WO2007111676A2 (en) Method of direct plating of copper on a substrate structure
CN1531028A (zh) 电镀方法
JP2003129250A (ja) めっき装置及びめっき方法
WO2007016218A2 (en) Integrated electroless deposition system
KR20200131909A (ko) 비-구리 라이너 층들 상의 구리 전기충진 (electrofill)
CN1285764C (zh) 无电电镀溶液
KR20010074808A (ko) 기판 도금방법 및 장치
WO2021225827A1 (en) Electroplating cobalt, nickel, and alloys thereof
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
TW202405913A (zh) 在薄襯層上的保形銅沉積
JP2003073846A (ja) めっき装置及びめっき方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication