CN1612326B - 调节半导体器件中载流子迁移率的方法和装置 - Google Patents

调节半导体器件中载流子迁移率的方法和装置 Download PDF

Info

Publication number
CN1612326B
CN1612326B CN2004100692526A CN200410069252A CN1612326B CN 1612326 B CN1612326 B CN 1612326B CN 2004100692526 A CN2004100692526 A CN 2004100692526A CN 200410069252 A CN200410069252 A CN 200410069252A CN 1612326 B CN1612326 B CN 1612326B
Authority
CN
China
Prior art keywords
stress
transistor
alloy
grid
transistor seconds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004100692526A
Other languages
English (en)
Other versions
CN1612326A (zh
Inventor
M·P·别良斯基
D·恰丹巴拉奥
O·H·多库马奇
B·B·多里斯
O·格卢斯陈克夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1612326A publication Critical patent/CN1612326A/zh
Application granted granted Critical
Publication of CN1612326B publication Critical patent/CN1612326B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明提供了一种调节半导体器件中载流子迁移率的方法和装置。在制造互补型金属-氧化物-半导体(CMOS)场效应晶体管(包括nFET和pFET)时,通过使栅极材料和金属反应在晶体管栅极内产生应力合金(最好是CoSi2、NiSi、或PdSi),提高或调节了载流子的迁移率。在nFET和pFET同时存在的情况中,各个合金的固有应力在各个晶体管的沟道上导致相反的应变。通过在nFET和pFET合金或硅化物中保持相反的应变,在单个芯片或衬底上的两类晶体管的载流子迁移率都可得到提高,从而提高CMOS器件和集成电路的性能。

Description

调节半导体器件中载流子迁移率的方法和装置
技术领域
本发明一般涉及集成电路中的晶体管的制造,尤其涉及在极小尺度下性能得到提高的场效应晶体管互补对的制造。
技术背景
集成电路设计和制造的性能和经济因素已经导致集成电路的元件(如,晶体管、电容等)的尺度在尺寸上急剧减小,而在芯片上的紧凑度急剧增大。也就是说,通过减少为了实现片上系统的目标所需的芯片间和板间互连的数量,而增加的元件的集成度和紧凑度,减小了信号传输路径长度、信号传输时间、对噪音的敏感度和可能的时钟频率的增加,而增加集成度所需的元件尺寸的减小,增加了在芯片上提供的性能与每芯片生产成本(如,晶片/芯片面积和工艺材料)和潜在地含有芯片的器件的成本的比值。
然而,当集成电路元件的尺度缩小时,不可避免地损害了使晶体管和其它元件运转的恒定材料特性和物理效应。因此,对晶体管的设计已进行了很多改进,以把这些元件的性能保持到合适的水平。例如,已使用轻掺杂漏区(LDD)结构(现在一般称为延伸掺杂,因为已在电流最小值特征尺寸条件中要求重掺杂水平)、卤素掺杂以及渐变杂质分布,以抵消短沟道效应和穿通效应等,尤其对于场效应晶体管(FET),场效应晶体管已成为供除最高频率器件的所有器件选择的有源器件。器件尺度的减小也要求在降低的电压下工作,以保持充分的性能且不损坏器件,虽然可以降低运行限度(operating margin)。
在场效应晶体管中保持充分性能的重要因素是载流子迁移率,其影响在通过非常薄的介质与沟道隔离的栅极上施加的电压的控制下,在掺杂半导体沟道中(以电子或空穴)流动的电流或电荷量。FET中载流子迁移率的降低不仅减小给定晶体管的开关速度/转换率,也减少了“开态”电阻到“关态”电阻之间的差别。后一个效应增加了对噪音的敏感度,减少了下行(downstream)晶体管栅极(电容性负载)的数量,和/或降低了驱动下行晶体管栅极(电容性负载)的速度。即使在金属-氧化物-半导体(MOS)场效应晶体管和互补MOS(CMOS)器件(目前在集成电路中广泛使用)的早期开发中,载流子的迁移率尤其是设计重点,由于nMOS器件中的主要载流子电子和pMOS器件中的主要载流子空穴之间的载流子的迁移率的差别,经常要求将pMOS器件制成比互补nMOS器件大好几倍,pMOS器件与互补nMOS器件配对以获得CMOS对的合理对称操作。在更近来的严格设计中已经表现出,由于抑制短沟道效应和超薄氧化物效应需要的重掺杂,在体积缩小很多的MOS器件中,载流子迁移率下降了。
也已在理论上表现出,并得到试验证实,FET的沟道区中的机械应力可以显著提高或降低载流子的迁移率;依赖于应力的符号(如,张力或压力)和载流子类型(如,电子或空穴)。在形成晶体管沟道的掺杂半导体晶格中,张应力提高电子迁移率,降低空穴迁移率,而压应力提高空穴迁移率,降低电子迁移率。该现象得到了公认,而与导致其发生的物理效应相关的理论对于其开发并不重要。在这点上,已经提出了大量的结构和材料用于在半导体材料中包括张力或压力,如在集成电路设计中通常包括的浅沟槽隔离(STI)结构、栅极隔板、蚀刻阻挡层和硅化物。使Si沟道应变的现有技术方法包括使用SiGe从沟道底部施加应力,而使用STI材料和SiN蚀刻阻挡层的方法从侧面施加纵向应力。
然而,如本领域技术人员所公知,关于SiGe缓冲层或利用应变Si盖层的注入-退火-缓冲方法,存在一些问题,包括严重影响效率的位错,以及包括砷扩散加大、成本和过度复杂性的重大问题。STI方法成本较低,但是不对栅极自对准,并具有外部电阻(RX)尺寸灵敏性。使用氮化物蚀刻阻挡层产生应力(而值得使用只是因为它相对便宜)的方法确实有利,但是效果相对微小。
另外,在现有技术中,一般只能将该结构制成为一种类型;以产生张应力或压应力而不是同时产生二者。因此,在同时使用pFET和nFET晶体管的集成电路设计和CMOS技术(其中主要通过互补pMOS和nMOS晶体管对执行逻辑)中,特别地,一类晶体管中载流子迁移率的提高需要伴随有另一或互补类型的晶体管中载流子迁移率的降低;虽然在理论上有利于提高CMOS对的对称操作,既便得到一些,也是很少的净性能提高。而且,由这种结构产生的和/或在可能超出晶体管尺寸的很多区域上的单一类型应力,将导致晶片或衬底的翘曲或卷曲,所述翘曲或卷曲会损害后来的光刻工艺,如接触和连接的形成,或在严重的情况下,芯片或晶片破裂;当投入使用后,降低制造效率(在少数情况)或可靠性。另外,由这种结构产生的应力水平一般难于控制,尤其因为该结构尺寸经常被如隔离和击穿电压的其它设计考虑所限定。而且,这种结构可在芯片或晶片表面上呈现出不利的形貌,其将损害后续的制造工艺。
发明内容
因此本发明的一个目的是提供一种在同一芯片或晶片上的不同电子元件结构中可以提供张应力和压应力的方法和结构。
本发明的另一目的是提供一种具有高制造效率,可容易和重复实现的方法和结构,其对芯片或晶片或早期或后期进行的制造工艺不产生负面影响,其中可容易地控制张应力和压应力水平。
本发明的再一目的是提供一种方法和结构,其相对可以避免由在净形变接近零的衬底上交替施加多次相反的应力引起的翘曲。
为实现本发明这些和其它目的,提供了一种调节半导体器件中的载流子的迁移率的方法,包括以下步骤:淀积金属或金属的组合物,以接触第一或第二晶体管栅极结构,以及使金属与晶体管栅极结构形成合金,以形成晶体管栅极内的第一应力硅化物。在选定晶体管的沟道内产生第一应力,而没有在另一个晶体管的沟道中产生应力。同样,可在另一个晶体管中形成第二应力硅化物,以在其沟道中提供应力,但是所述应力不影响第一晶体管内沟道上的应力。
根据本发明另一个目的,提供了一种调节半导体器件中的载流子迁移率的装置,包括:衬底,在所述衬底上形成的均具有栅极介质、栅极、以及源、漏和栅极区的第一和第二晶体管,至少在第一晶体管的一个沟道中提供张应力的第一应力硅化物,以及至少在第二晶体管的一个沟道中提供压应力的第二应力硅化物。
附图说明
通过参考附图对本发明优选实施例的下述详细说明,将更好的理解前述和其它目的、方面和优点,其中:
图1a、1b和1c提供了制造CMOS晶体管的第一步骤的进行过程的截面图,包括初始Si衬底(图1a)、形成器件隔离(图1b),以及栅极氧化(图1c);
图2为制造CMOS晶体管的第二步骤的截面图,包括栅极材料的淀积;
图3为制造CMOS晶体管的第三步骤的截面图,包括施加硬掩膜、光刻胶,以及构图所述光刻胶;
图4为制造CMOS晶体管的第四步骤的截面图,包括除去所述光刻胶,并蚀刻栅极叠层材料;
图5为制造CMOS晶体管的第五步骤的截面图,包括延伸区的注入,隔板的制造,接着进行源漏区注入,随后进行结退火并硅化;
图6为制造CMOS晶体管的第六步骤的截面图,包括SiN衬层的淀积;
图7为制造CMOS晶体管的第七步骤的截面图,包括氧化膜的淀积,接着进行栅极叠层顶部的CMP;
图8为制造CMOS晶体管的第八步骤的截面图,包括使用光刻和蚀刻工艺构图氧化或氮化膜,以在pEFT的硅化过程中阻挡nFET区;
图9为制造CMOS晶体管的第九步骤的截面图,包括在晶片顶部淀积金属,以形成张力硅化物;
图10为制造CMOS晶体管的第十步骤的截面图,包括使用标准RTA工艺使第一硅化物与施加的金属反应,以及除去过剩的没有反应的金属;
图11为制造CMOS晶体管的第十一步骤的截面图,包括使用干或湿蚀刻工艺除去nFET阻挡层,以及施加pFET阻挡层和施加与nFET栅极接触的金属;
图12为制造CMOS晶体管的第十二步骤的截面图,包括使用常规RTA工艺形成第二硅化物,接着除去未反应的金属和pFET阻挡层,示出了最终的优选实施例;
图13为在衬底和使用硅化钴(CoSi2)栅极结构的栅极区中产生的压应力和张应力的截面图;
图14为在衬底和使用硅化钯栅极结构的栅极区中产生的压应力和张应力的截面图;
图15为三栅极器件的截面图,其允许沟道在沟道的栅极控制得到提高的FET中具有更大的应力和载流子迁移率。
具体实施方式
在下述对图1-12的讨论中,应该认识到,希望下述实施例在大部分应用和集成电路设计中是最有利的,从而对所提供的本发明有最全面的认识和了解。也就是说,下述实施例及其变化将说明对在单个芯片上的各个相邻晶体管施加的各种张应力和压应力,并将提供对载流子迁移率的提高或其它调节。然而,也可应用结合该实施例说明的本发明的原理,给任何设计中和为任何目的的相邻晶体管,提供任何希望大小、任意符号的应力。
现在参考附图,尤其参考图1a、1b和1c,示出了制造本发明优选实施例的首先的几步,包括:制备衬底22(如,Si、应变Si、SiGe、Ge、SOI、或任何其它半导体衬底),形成隔离器件23,如在所述衬底22上用于限定衬底22的n阱区和p阱区的浅沟槽隔离(STI),接着在衬底上形成氧化层21,其在后来构成栅极介质。在氧化后,在整个晶片上淀积适于形成栅极的半导体材料20(如,硅或锗),如图2所示。如图3所示,使用硬掩膜材料24覆盖该材料20,然后用光刻胶层25覆盖硬掩膜材料24。将光刻胶层25构图为位于希望的pFET和nFET栅极区正上方的至少两部分251、252。然后使用光刻胶部分251/252将硬掩膜的曝光区域除去,变为与光刻胶部分251/252具有相同平面尺寸的至少两部分241/242。
现在参考图4,在构图硬掩膜24后除去光刻胶25,将材料20和栅极氧化物蚀刻为至少两个独立的栅极叠层,所述栅极叠层限定了衬底22上的nFET和pFET,nFET和pFET分别包括剩余栅极氧化物212/211的薄层、栅极202/201、以及硬掩膜242/241。
在形成栅极后,注入延伸区26,形成隔板27,随后形成源/漏区注入34,接着进行结退火并形成硅化物或其它半导体材料的合金(有时统称为“硅化物”,即使不包括硅)35,以及把杂质扩散到特定区域,如图5所示。
如图6所示,对整个晶片施加SiN衬层。然后淀积氧化膜29,随后对栅极叠层顶部进行CMP,从而使栅极顶部的整个晶片的表面平面化,并除去硬掩膜242/241,如图7所示。
现在参考图8,示出了中间结构,其中,使用光刻和蚀刻工艺构图氧化或氮化膜30,以阻挡nFET区来制备pFET的硅化。
下一步,如图9所示,在整个晶片上淀积用来形成张力合金或硅化物(如,CoSi2)的金属31。当栅极材料20与金属31反应时,在栅极区201内形成张力硅化物。张力硅化物希望位于pFET的栅极中,从而张力硅化物在沟道341内产生压应力和提高空穴迁移率的环境,从而提高了性能。
使用如标准RTA工艺使该第一合金或硅化物201(下文中有时优选地简称“硅化物”,虽然本发明可利用其它半导体材料实施)反应,随后,如图10所示,除去过剩的没有反应的金属。然后,从nFET上除去阻挡层30,并在pFET区上设置新的阻挡层32,以允许另一金属33在施加时只与nFET栅极202接触,如图11所示。
如第一硅化物,使用如常规RTA工艺形成第二硅化物。与nFET栅极接触,形成第二合金或硅化物(表现压力特性)。nFET栅极最好表现压力特性,以对nFET沟道342施加张应力。公知nFET沟道342中的张应力可以增加电子迁移率和提高nFET性能。
除去未反应的金属和pFET上的阻挡层32,以形成如图12所示的本发明优选实施例的最终结构,可以通过连接、钝化层等公知方法完成所述最终结构。
如图所示,重要的是观察张力硅化物201和压力硅化物202都没有到达它们各自的沟道341,342。栅极区内未反应的Si(优选约100的厚度)适当地避免了功函数和阈值的改变以及对栅极氧化物完整性的可能影响。通过精确测量多层厚度和精确淀积金属厚度调节该过程,以使未反应的硅化物不与沟道中的Si接触。也使用精确温度控制产生希望的反应并定位硅化物。
公知CoSi2膜非常抗张,而NiSi较小抗张,以及PdSi非常抗压。公知其它合金(如,Ge或SiGe的合金)也具有类似效应。通过选择形成硅化物的金属,通过形成在pFET沟道中产生压应力并防止在nFET沟道中产生压应力的,以及反之亦然的结构和方法,本发明使用这些合金或硅化物的特性明确地达到了电子和空穴迁移率的希望值。可使用这些硅化物和厚度的任何组合来优化应力,并因此优化各个晶体管沟道中载流子的迁移率和栅极的功函数,以达到希望的开关阈值。例如,形成具有如下组合的栅极是有利的:在栅极叠层底部(接近沟道)使用NiSi或CoSi2,并在栅极预部使用PdSi。可通过如下实现上述:凹入多晶Si栅极201或202,形成NiSi或CoSi2,然后淀积更多多晶Si,进行CMP,然后与Pd反应形成PdSi。这里的主要优点为,如果栅极被完全硅化,或接近完全硅化,可以使用最接近沟道区的硅化物设计栅极的功函数,而使用在栅极顶部的硅化物设计沟道应力。通过使用非常薄的第一硅化层和厚得多的第二硅化层产生沟道应力,可能实现上述操作。这样,在允许栅极功函数的设计完全自由的同时,在从硅化物得到的张力和压力对空穴和电子载流子迁移率影响的范围内,可将空穴和电子载流子的迁移率提高或调节到任意希望值。
图13示出了CoSi栅极叠层(位于pFET栅极中)中的应力等高线,使用虚线表示压应力的分布,使用实线表示张应力的分布。显示了位于CoSi2栅极201正下方的沟道区341的截面图上的应力。非常抗张的CoSi2栅极显著扩展,提供所有示出应力图形的来源,并在衬底22a的沟道区内导致高度压力环境。压应力在衬底区22a中最大,当应力散开到衬底区22e时,压应力减小。应力符号在栅极边缘处突变。
图14示出了PdSi栅极叠层(位于nFET栅极中)中的应力等高线,使用虚线表示压应力的分布,使用实线表示张应力的分布。显示了位于PdSi栅极202正下方的沟道区342的截面图上绘制的应力。非常抗压的PdSi栅极显著缩短,提供所有示出应力图形的来源,并在衬底22a的沟道区内导致高度张力环境。张应力在栅极边缘的衬底区22a中最大,当应力散开到衬底区22e时,张应力减小。
图15示出了窄宽度沟道FET,有时称为FinFET,或代表CMOS对的nFET或者pFET的三栅极器件。最近,对于小尺寸需求下的高性能FET,有源Si区38的这种几何形状已经引起了关注,并尤其适合根据本发明的改进。在实施例中,使用了具有用栅极20包围有源区38的结构的器件。栅极20与金属反应以形成覆盖有源Si区38的至少三面的应力硅化物(如,PdSi、CoSi2、或NiSi)。此外,通过淀积硅可调节硅化物的位置,可能进行几步,(每步)之后,通过在适合的温度下退火形成适合厚度的金属和硅化物。该结构导致应力沿Si 37a和37b的垂直部分以及Si 36的水平部分扩展。在该结构中,由栅极的每个侧面在沟道中引起的应变被累积而显著增加,并且来自栅极的每个垂直部分和水平部分的应力,可以提高沟道的所有三个部分37a、37b和36的载流子迁移率。
如前所述,可以发现,本发明提供了用于控制和提高在同一芯片上的nFET和pFET中的载流子迁移率的方法和结构,没有损害制造效率或对早期形成的结构或后期执行的工艺产生不利的影响,并且在不损害制造效率的情况下,只需一些附加而公知的工艺就可容易地控制载流子的迁移率。由于在相对较小的各区域(相比于芯片厚度)施加虽然可能较大的压力和张力,芯片或晶片不会趋于翘曲或卷曲,首先,因为张应力和压应力区域分散,其次,因为只在与沟道一起延伸的相对小的栅极区施加应力。而且,由于硅化物的添加没有增加晶体管的面积,而只是改变其中的材料以大幅度提高性能、形貌的准确性、空间尺度和集成度。应该认识到,尽管对载流子迁移率的“改进”一般指其中的增加,但是通过相同的工艺,只是交换关于晶体管类型的硅化物材料,以颠倒施加到各晶体管导电/掺杂类型上的张力和压力类型,就可以减小载流子迁移率。另外,可使用备用材料进一步调节沟道应力的大小。形成硅化物的次序也可在晶体管之间变化,因为这些工艺通过掩蔽来阻挡。
尽管根据单个优选实施例描述了本发明,本领域的技术人员将认识到,本发明可在所附权利要求书的精神和范围内进行改变。

Claims (20)

1.一种调节半导体器件中载流子迁移率的方法,包括以下步骤:
淀积金属或金属组合物,以接触第一或第二晶体管栅极结构中的一个,以及
使所述金属或金属组合物和所述晶体管栅极结构成为合金,以在所述晶体管栅极内形成第一应力合金,从而在所述第一或第二晶体管的至少一个相应的沟道中产生第一应力,而没有在所述第一或第二晶体管的另一个晶体管的至少一个沟道中产生应力。
2.根据权利要求1的方法,其中所述合金为硅化物。
3.根据权利要求1的方法,其中第一晶体管和第二晶体管具有相反的导电类型。
4.根据权利要求1的方法,其中所述淀积步骤包括:
将第一金属淀积到所述第一晶体管的一部分所述栅极材料上,以在邻接所述第一晶体管的沟道的栅极的下部区域形成第三合金;以及
在所述第一晶体管栅极上淀积第二金属,以在栅极的上部区域形成所述第一晶体管栅极内第一应力合金。
5.根据权利要求4的方法,其中所述淀积步骤还包括:
将第三金属淀积到所述第二晶体管的一部分所述栅极材料上,以在邻接所述第二晶体管的沟道的栅极的下部区域形成第四合金;以及
在所述第二晶体管栅极上淀积第四金属,以在栅极的上部区域形成所述第二晶体管栅极内的第二应力合金,由此所述第二应力合金在所述第二晶体管的沟道区产生第二应力。
6.根据权利要求5的方法,其中第一应力合金和第二应力合金具有相反的应力。
7.根据权利要求6的方法,其中第一晶体管和第二晶体管具有相反的导电类型。
8.根据权利要求7的方法,其中
所述第一晶体管是nFET,其中所述第一应力合金受到压应力,产生所述第一应力,其中第一应力为张力,以及
所述第二晶体管是pFET,其中所述第二应力合金受到张应力,产生所述第二应力,其中第二应力为压力。
9.一种调节半导体器件中载流子迁移率的方法,包括以下步骤:
在第一晶体管栅极而不是第二晶体管栅极上淀积金属,以与第一晶体管栅极形成合金,从而形成第一应力合金,导致在所述第一晶体管的至少一个沟道中施加的第一应力,以及
在所述第二晶体管栅极而不是所述第一晶体管栅极上淀积金属,以与第二晶体管栅极形成合金,从而形成第二应力合金,导致至少在所述第二晶体管的沟道中施加的第二应力,
所述第一晶体管和所述第二晶体管具有相反的导电类型。
10.根据权利要求9的方法,其中所述第一应力合金和第二应力合金施加相反的应力。
11.根据权利要求10的方法,其中
由所述第一应力合金导致的所述第一应力至少在所述第一晶体管的沟道区表现应力,其与由所述第一应力合金提供的应力相反,以及
由所述第二应力合金导致的所述第二应力至少在所述第二晶体管的沟道区表现应力,其与由所述第二应力合金提供的应力相反。
12.根据权利要求11的方法,其中通过对所述第一晶体管的至少一个沟道施加张应力,而对所述第二晶体管的至少一个沟道施加压应力,来调节载流子的迁移率。
13.一种调节半导体器件中载流子迁移率的装置,包括:
衬底,
第一晶体管,包括栅极介质、栅极、以及源、漏和栅极区,形成于所述衬底上,
第二晶体管,包括栅极介质、栅极、以及源、漏和栅极区,形成于所述衬底上,以及
第一应力合金,至少在第一晶体管的一个沟道提供张应力。
14.根据权利要求13的装置,其中所述合金是硅化物。
15.根据权利要求13的装置,还包括第二应力合金,至少在第二晶体管的一个沟道提供压应力。
16.根据权利要求15的装置,其中可由SiNi、CoSi2、PdSi或其它表现张力或压力特性的材料构成所述第一和第二应力合金。
17.根据权利要求16的装置,还包括:
位于所述第一晶体管的栅极区的下部区域的第三合金,以及
位于所述第二晶体管的栅极区的下部区域的第四合金。
18.根据权利要求17的装置,其中栅极包围每个所述第一和第二晶体管的所述沟道的至少两侧。
19.根据权利要求13的装置,其中可由SiNi、CoSi2、PdSi或其它表现张力或压力特性的材料构成第一应力合金。
20.根据权利要求19的装置,其中栅极包围每个所述第一和第二晶体管的所述沟道的至少两侧。
CN2004100692526A 2003-10-30 2004-07-15 调节半导体器件中载流子迁移率的方法和装置 Expired - Fee Related CN1612326B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/695,752 2003-10-30
US10/695,752 US6977194B2 (en) 2003-10-30 2003-10-30 Structure and method to improve channel mobility by gate electrode stress modification

Publications (2)

Publication Number Publication Date
CN1612326A CN1612326A (zh) 2005-05-04
CN1612326B true CN1612326B (zh) 2010-05-26

Family

ID=34550000

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004100692526A Expired - Fee Related CN1612326B (zh) 2003-10-30 2004-07-15 调节半导体器件中载流子迁移率的方法和装置

Country Status (2)

Country Link
US (3) US6977194B2 (zh)
CN (1) CN1612326B (zh)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4557508B2 (ja) * 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US7410846B2 (en) 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
US7223994B2 (en) 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7288443B2 (en) 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7148097B2 (en) * 2005-03-07 2006-12-12 Texas Instruments Incorporated Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
US7545004B2 (en) 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7470943B2 (en) 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US8407634B1 (en) * 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
US7309637B2 (en) * 2005-12-12 2007-12-18 Chartered Semiconductor Manufacturing, Ltd Method to enhance device performance with selective stress relief
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP5262711B2 (ja) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7608489B2 (en) 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US8227316B2 (en) * 2006-06-29 2012-07-24 International Business Machines Corporation Method for manufacturing double gate finFET with asymmetric halo
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
JP2008117963A (ja) * 2006-11-06 2008-05-22 Nec Electronics Corp 電界効果トランジスタおよび半導体装置、ならびにそれらの製造方法
JP2008141003A (ja) * 2006-12-01 2008-06-19 Toshiba Corp 半導体装置の製造方法
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
US20080203485A1 (en) * 2007-02-28 2008-08-28 International Business Machines Corporation Strained metal gate structure for cmos devices with improved channel mobility and methods of forming the same
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
US20080217700A1 (en) * 2007-03-11 2008-09-11 Doris Bruce B Mobility Enhanced FET Devices
JP2008227277A (ja) * 2007-03-14 2008-09-25 Nec Electronics Corp 半導体装置の製造方法
JP5222583B2 (ja) * 2007-04-06 2013-06-26 パナソニック株式会社 半導体装置
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7960243B2 (en) * 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
US7723798B2 (en) * 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US7525162B2 (en) * 2007-09-06 2009-04-28 International Business Machines Corporation Orientation-optimized PFETS in CMOS devices employing dual stress liners
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US9064963B2 (en) * 2007-09-28 2015-06-23 Infineon Technologies Ag Semiconductor structure
US20090095991A1 (en) * 2007-10-11 2009-04-16 International Business Machines Corporation Method of forming strained mosfet devices using phase transformable materials
US7572689B2 (en) * 2007-11-09 2009-08-11 International Business Machines Corporation Method and structure for reducing induced mechanical stresses
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8368146B2 (en) 2010-06-15 2013-02-05 International Business Machines Corporation FinFET devices
US8384162B2 (en) * 2010-12-06 2013-02-26 Institute of Microelectronics, Chinese Academy of Sciences Device having adjustable channel stress and method thereof
US20130075818A1 (en) * 2011-09-23 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D Semiconductor Device and Method of Manufacturing Same
CN103187367B (zh) * 2011-12-29 2017-06-23 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
US8847293B2 (en) * 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
CN103915387B (zh) * 2013-01-08 2016-06-29 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
US9159576B2 (en) * 2013-03-05 2015-10-13 Qualcomm Incorporated Method of forming finFET having fins of different height
US8859379B2 (en) 2013-03-15 2014-10-14 International Business Machines Corporation Stress enhanced finFET devices
US9105662B1 (en) 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US20160035891A1 (en) * 2014-07-31 2016-02-04 Qualcomm Incorporated Stress in n-channel field effect transistors
US10476234B2 (en) 2015-04-08 2019-11-12 University Of Houston System Externally-strain-engineered semiconductor photonic and electronic devices and assemblies and methods of making same
US9653602B1 (en) 2016-03-21 2017-05-16 International Business Machines Corporation Tensile and compressive fins for vertical field effect transistors
US11646353B1 (en) * 2021-12-27 2023-05-09 Nanya Technology Corporation Semiconductor device structure

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3519589B2 (ja) * 1997-12-24 2004-04-19 株式会社ルネサステクノロジ 半導体集積回路の製造方法
JP2000086708A (ja) 1998-09-09 2000-03-28 Shin Daiichi Enbi Kk 塩化ビニル系重合体の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2003243531A (ja) * 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US8008136B2 (en) * 2003-09-03 2011-08-30 Advanced Micro Devices, Inc. Fully silicided gate structure for FinFET devices

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JP特开2003-86708A 2003.03.20
全文.

Also Published As

Publication number Publication date
US7750410B2 (en) 2010-07-06
CN1612326A (zh) 2005-05-04
US20050093059A1 (en) 2005-05-05
US20050245017A1 (en) 2005-11-03
US20050282325A1 (en) 2005-12-22
US6977194B2 (en) 2005-12-20

Similar Documents

Publication Publication Date Title
CN1612326B (zh) 调节半导体器件中载流子迁移率的方法和装置
US7566599B2 (en) High performance FET with elevated source/drain region
JP5305907B2 (ja) 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法
CN102117808B (zh) 具有改善的载流子迁移率的场效应晶体管器件及制造方法
US8304841B2 (en) Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8507347B2 (en) Semiconductor devices and methods of manufacture thereof
US7091563B2 (en) Method and structure for improved MOSFETs using poly/silicide gate height control
US6869866B1 (en) Silicide proximity structures for CMOS device performance improvements
US20070099360A1 (en) Integrated circuits having strained channel field effect transistors and methods of making
US20110006373A1 (en) Transistor Structure
CN101114615A (zh) 实现具有改进硅化物控制的双应力层的结构和方法
CN102110611A (zh) 具有改善的载流子迁移率的nmos的制造方法
US8445969B2 (en) High pressure deuterium treatment for semiconductor/high-K insulator interface
WO2007031928A2 (en) Method of manufacturing semiconductor device with different metallic gates
US7482219B2 (en) Technique for creating different mechanical strain by a contact etch stop layer stack with an intermediate etch stop layer
US7589385B2 (en) Semiconductor CMOS transistors and method of manufacturing the same
JP2007201063A (ja) 半導体装置及びその製造方法
WO2005117132A1 (en) Planar dual gate semiconductor device
US5759882A (en) Method of fabricating self-aligned contacts and local interconnects in CMOS and BICMOS processes using chemical mechanical polishing (CMP)
US7319063B2 (en) Fin field effect transistor and method for manufacturing fin field effect transistor
CN103325787A (zh) Cmos器件及其制造方法
JP2007173356A (ja) 半導体装置およびその製造方法
US7955921B2 (en) Full silicide gate for CMOS
US20110169096A1 (en) Balancing nfet and pfet performance using straining layers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171030

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171030

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100526

Termination date: 20190715

CF01 Termination of patent right due to non-payment of annual fee