CN1554118A - 提供去除速率曲线处理的化学机械抛光设备的反馈控制 - Google Patents

提供去除速率曲线处理的化学机械抛光设备的反馈控制 Download PDF

Info

Publication number
CN1554118A
CN1554118A CNA028154517A CN02815451A CN1554118A CN 1554118 A CN1554118 A CN 1554118A CN A028154517 A CNA028154517 A CN A028154517A CN 02815451 A CN02815451 A CN 02815451A CN 1554118 A CN1554118 A CN 1554118A
Authority
CN
China
Prior art keywords
polishing
wafer
cong
subregion
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028154517A
Other languages
English (en)
Other versions
CN1292464C (zh
Inventor
A��P��ɣ�¼������ķ
A·P·桑穆加孙达拉姆
��������ķ������
A·T·施瓦姆
G·B·普拉布
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1554118A publication Critical patent/CN1554118A/zh
Application granted granted Critical
Publication of CN1292464C publication Critical patent/CN1292464C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/04Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent involving measurement of the workpiece at the place of grinding during grinding operation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

一种在抛光操作中控制晶片表面非均匀性的方法,包括:(a)提供一种晶片抛光模式,该模式在晶片上定义多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤;(b)根据进料晶片的厚度曲线,用第一抛光配方抛光晶片;(c)为经过步骤(b)抛光的晶片确定晶片厚度曲线;以及(d)基于步骤(c)的所述晶片厚度曲线以及步骤(a)的所述模式计算更新的抛光配方,以保持目标晶片厚度曲线。所述模式定义工具状态对抛光效果的影响。所述方法可以用来对多个台板台提供反馈数据。

Description

提供去除速率曲线处理的化学机械抛光设备的反馈控制
本申请要求对2001年6月19日申请的题目为:“半导体制造工艺的先进工艺控制”的申请60/298878及2001年8月31日申请的题目为:“提供去除速率曲线处理的化学机械抛光设备的反馈控制”的申请09/394955享有优先权,上述两项申请被收编在此以供参考。
技术领域
本发明关于一般半导体基片的平面化(planarization)工艺,特别是关于半导体晶片的平面化(planarization)工艺的,而且,尤其是关于平面化工艺中提供反馈控制的一种方法和设备的。
背景技术
化学机械抛光(CMP)用在半导体制造工艺中以获得半导体晶片的完全平面化(full planarization)。该方法包括去除材料(removematerial),例如,用化学腐蚀和机械接触的方法从该晶片(典型材料是二氧化硅SiO2)去除其表面材料(surface material)的牺牲层(sacrificiallayer)。抛光消除(flatten out)高度差别,因为高形貌(topography)(丘)区的材料去除比低形貌(谷)区的快。
CMP工艺一般用扩散(disperse)在碱性或酸性溶液中的研磨浆料(abrasive slurry),通过化学腐蚀和机械接触的共同作用以平面化所述晶片的所述表面。一般CMP设备包括一个抛光工具(其上装有待抛光的附着晶片),该抛光工具位于其上装有抛光垫的可旋转的圆形台板(platen)的上方。工作时,该台板可转动,研磨浆料被引到所述抛光垫上。一旦浆料被喷敷在该抛光垫上后,可向一个旋转头(rotating head)施加一个向下的力,将该附着晶片压靠在该抛光垫上。由于该晶片被压靠在该抛光垫上,该晶片即被进行机械与化学抛光。
CMP工艺的效果(effectiveness)可用抛光速率、基片表面的相应光洁度(resulting finish)(无小尺寸粗糙)以及平面度(flatness)(无大尺寸形貌)来衡量。所述抛光速率、光洁度以及平面度由多种因素决定,这些因素包括:所述垫与浆料的组合,所述基片与所述垫之间的相对速度(relative speed),以及将所述基片压靠在所述抛光垫上的所述压力。
随着半导体工艺精细程度的提高,CMP工艺对该制造工艺越来越重要,特别是,其在控制和最小化晶片内厚度(WIW)的非均匀性方面越来越重要。在抛光过程中,多种因素会导致整个晶片表面的变化(variation)。例如,表面形貌的变化可归因于在所述CMP抛光设备中的所述处理条件(processing condition)的漂移(drift)。典型地,所述CMP设备可为特定工艺调整到最佳状态,但是由于所述工艺的化学和机械改变,例如,在抛光过程中所述抛光垫的改变,工艺消耗品的降级退化(degradation)以及其它因素,所述CMP工艺可能偏离其最佳工艺状态。除了处理漂移(processing drift)以外,进入所述CMP工艺的所述晶片表面也可能是非均匀的,这加剧了由所述工艺引起的抛光后整个表面的变化。
目前修正(correct)处理漂移的方法包括反馈控制,在该反馈控制中,将在当前处理中生成的信息用于调整未来处理流程(processing run)中。在抛光步骤的此反馈控制中使用的控制变量(control variable)包括:抛光工具的摇臂振动幅度(arm oscillation length)。另外,还建立反馈回路(feedback loop)以优化抛光垫调节(polishing padconditioning)。然而,在当今的晶片制造环境中,这些方案措施还不能令人满意地补偿上述效应的影响。
本发明可以直接克服,或至少部分地减轻一个或者多个上面提出的问题所造成的影响。
发明内容
本发明关于基片(例如半导体晶片)表面平面化的一种方法,设备和介质,以改进对晶片厚度曲线的流程到流程(run to run)的控制。本发明采用一种平面化工艺(planarization process)的模式(其可以作为单一模式或多重模式实施)预测所述整个晶片表面的材料去除,并改善晶片内厚度(within wafer thickness)的均匀性。来自预测结果的偏差(deviation)用于设定新的抛光参数(polishing parameter),这些抛光参数被反馈回所述工艺以提高工艺效果。
在本发明的一个方式中,一种在抛光操作中产生均匀晶片厚度曲线的方法包括:(a)提供一种晶片抛光模式,该模式在晶片上定义多个分区,并且在抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率;以及(b)用一个为每个分区生成目标厚度曲线(targetthickness profile)的抛光配方(polishing recipe),抛光晶片。
在本发明的另一方式中,在抛光操作中,一种控制晶片表面非均匀性的方法包括:(a)提供一种晶片抛光模式,该模式在晶片上定义多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率;其中所述抛光工艺包括多个抛光步骤;(b)根据进料晶片的厚度曲线,用第一抛光配方,抛光晶片;(c)为经过步骤(b)抛光的晶片确定晶片厚度曲线;以及(d)基于步骤(c)的所述晶片厚度曲线以及步骤(a)的所述模式,计算更新的抛光配方,以保持目标晶片厚度曲线(target wafer thickness profile)。
在本发明的至少一些实施例中,所述第一抛光配方建立在步骤(a)的所述模式基础上,以获得所述目标晶片厚度曲线,或者,所述第一抛光配方凭经验确定。
在本发明的至少一些实施例中,步骤(a)的所述模式中的所述多个分区包括:从所述晶片中心点沿径向向外扩展的围绕该中心的分区。所述模式可以包括四个或更多这样的分区。
在本发明的至少一些实施例中,步骤(b)的所述抛光包括在多个抛光台(polishing station)抛光所述晶片。所述抛光步骤可以在三个抛光台进行。
在本发明的至少一些实施例中,所述抛光配方至少在两个抛光台上是相同的。
在本发明的至少一些实施例中,所述抛光配方至少在两个抛光台上是不同的。
在本发明的至少一些实施例中,步骤(c)计算所述更新的抛光配方包括计算所述多个抛光台的每一个的更新的抛光配方。
在本发明的至少一些实施例中,所述多个抛光台中的每个抛光台的所述更新的抛光配方反映(account for)各个单独的抛光台上的工具状态。
在本发明的至少一些实施例中,提供一个模式的步骤包括:(e)在一个或多个晶片上定义的多个分区的每一分区,测量抛光前的晶片厚度;(f)抛光所述一个或多个晶片,其中抛光包括:在多个抛光步骤中抛光所述一个或多个晶片;(g)在步骤(g)的所述的抛光步骤的每一步后,在所述多个分区的每一个分区,测量所述一个或多个晶片的晶片材料去除速率;(h)提供一个模式,该模式定义工具状态对抛光效果的影响;以及(i)将所述抛光前的和抛光后的晶片的每个或所有这些分区的厚度记录在一个可记录的介质上;该模式还可以包括:将所取得的数据拟合到一个线性或非线性曲线中,这个曲线建立了所述晶片的一个分区的所述材料去除速率与感兴趣的抛光参数之间的关系。
在本发明的至少一些实施例中,抛光参数包括抛光时间。这些抛光参数还可以包括选自由包括抛光时间,抛光垫向下的力和速度,浆料流量(flow)和成分(composition),调节时间,调节盘向下的力和速度,所述调节盘和所述晶片夹持器(wafer carrier)的振动速度所组成的参数组中的一个参数。
在本发明的至少一些实施例中,分区j(AR’j)在步骤(a)的所述模式中的晶片去除根据下面方程确定:
AR ′ j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4,t5分别是抛光步骤1,2,3,4和5的所述抛光时间,而且ca1j提供了所述变量x在分区j,在抛光步骤(a)对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。晶片材料去除速率曲线可通过用下面比例因子(scaling factor)标度(scaling)该曲线来反映工具状态:
(1+kp·tp+kd·td+kpd·tp·td)
这里的tp和td项分别是抛光垫和盘的寿命,其以小时为单位;而kp,kd和kpd项是将抛光垫和盘寿命与去除速率联系起来的凭经验确定的系数。
在本发明的至少一些实施例中,通过解下面方程获得更新的抛光配方:
min x f ( y sp , g ( x ) )
这里x是对应于所述抛光配方的时间和其它处理参数的一个矢量;g(x)是所述抛光工艺的所述模式,ysp是一个期望的平均分区晶片厚度的一个矢量;而f(ysp,g(x))是补偿所述模式预测厚度g(x)与期望晶片厚度ysp之间偏差的补偿函数。
在本发明的另一个方式中,为晶片厚度曲线确定模式的一种方法包括:(a)在一个或多个晶片上定义的多个分区的每一分区测量抛光前的晶片厚度;(b)抛光所述一个或多个晶片,其中抛光包括在多个抛光步骤中抛光所述一个或多个晶片;(c)在步骤(b)的所述抛光步骤的每一步后,在所述多个分区的每一个分区,测量所述一个或多个晶片的所述晶片材料去除速率;(d)提供一个模式,该模式定义工具状态对抛光效果的影响;以及(e)将所述抛光前的和抛光后的晶片的每个或所有这些分区的厚度记录在一个可记录的介质上。所述模式还可以包括:将所获得的数据拟合到一个线性或非线性曲线中,这个曲线可以建立所述晶片的一个分区的所述材料去除速率与感兴趣的抛光参数之间的关系。
在本发明的至少一些实施例中,所述抛光参数包括抛光时间。所述抛光参数还可以包括选自由包括抛光时间,抛光垫向下的力和速度,浆料流量和成分,调节时间,调节盘向下的力和速度,所述调节盘和所述晶片夹持器的振动速度所组成的参数组中的一个参数。
在本发明的至少一些实施例中,步骤(a)的所述模式中的加工分区j(AR’j)的所述晶片材料去除速率根据下面的方程确定:
AR ′ j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j中,在抛光步骤(a)对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。所述晶片材料去除速率曲线可通过用下面比例因子(scaling factor)标度(scaling)该曲线反映工具状态:
(1+kp·tp+kd·td+kpd·tp·td)
这里的tp和td项分别指抛光垫和盘的寿命,其以小时为单位;kp,kd和kpd项是将抛光垫和盘寿命与去除速率联系起来的凭经验确定的系数。
在本发明的至少一些实施例中,用少于10个晶片的实验就可以确定一个所述模式。
在本发明的一个方式中,用于调节抛光垫的设备具有一个运载组件,该抛光垫用于平面化基片,该运载组件具有多个固定臂,以将晶片固定在多个抛光垫的多个平整表面(planarizing surface)之上;能控制所述抛光工艺的操作参数的控制装置;以及可与这些控制装置耦合的一种控制器;该控制器运转这些控制装置,将所述抛光工艺的所述操作参数作为一个晶片厚度曲线模式的一个函数进行调整,所述模式包括:定义一个抛光模式,该抛光模式在晶片上定义了多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤。
在本发明的至少一些实施例中,在所述晶片材料去除速率模式中,所述模式根据下面方程,定义一个分区j(AR’j)的晶片去除:
AR ′ j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4,t5分别是抛光步骤1,2,3,4和5的所述抛光时间,而且ca1j提供了所述变量x在分区j中,在抛光步骤(a)对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
在本发明的另一个方式中,一种计算机可读的介质包括由计算机执行的指令,这些指令包括用于化学机械抛光工艺的计算机-执行软件应用程序,而且执行该工艺的这些指令包括:(a)从化学机械抛光工具中接收与在该化学机械抛光工艺中处理的至少一个晶片的所述晶片去除速率相关的数据;以及(b)从步骤(a)的所述数据,计算更新的抛光配方,其中所述更新的抛光配方是通过确定晶片材料去除速率模式的输出与步骤(a)的所述数据之间的差别来计算。
在本发明的至少一些实施例中,晶片材料去除速率的所述模式在晶片上定义了多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤。
在本发明的至少一些实施例中,在所述晶片材料去除速率模式中的加工分区j(AR’j)的所述晶片去除根据下面方程确定:
AR ′ j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j中,在抛光步骤(a)对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
术语“目标晶片厚度曲线”表示所述CMP工艺的期望的处理结果。所述曲线允许有一定的公差(tolerance),以便反馈控制系统可以据此定义一个目标以及可接受的标准偏差(standard deviation),其中这些偏差不需要所述抛光配方的更新。术语目标晶片厚度曲线包括所述目标以及由此产生的所述标准偏差。
术语晶片是一般意义上的准备抛光的基本平的物体。晶片包括,除单片结构(monolith structure)外,具有一层或多层,或有薄膜沉积其上的基片。在本说明中,除非特别指出,否则,晶片和薄膜的概念可以互换使用。
“工具状态”指所述CMP设备的可消耗或可变部件(consumableand variabl component)。在大多数情况下,这个术语表示所述调节盘和抛光垫的状态,其在所述垫的寿命以及空载时间内不断改变。典型的调节盘寿命大约为60小时,而抛光垫寿命大约为30小时。
附图说明
参照下面的附图及相关详细描述,可以更充分地理解本发明的各种目的,特征,和优点。附图中和说明中的相同的元件用相同的数字标示。以下附图仅用于描述本发明,并不是为限制本发明的内容和精神,本发明的内容和精神应以所附的权利要求书为准。
图1是一种化学机械抛光设备的立体图。
图2是在一个抛光配方的连续抛光步骤中,一个基片的整个表面氧化物去除(用 表示)曲线图。
图3是一般地说明模式建立过程的流程图。
图4是一个晶片的示意图,该晶片上有为厚度曲线模式定义的分区。
图5是用在CMP抛光操作中的所述反馈回路流程图,其如本发明的至少一些实施例中所设计的那样。
图6是一个CMP工艺的模式建立过程的示意图,其中该CMP工艺使用了两个台板,而这两个台板具有不同的抛光配方,正如本发明的至少一些实施例中所设计的那样。
图7是一种计算机系统的框图,该计算机系统包括用在本发明的至少一些实施例中的工具表示(tool representation)和访问控制(accesscontrol)。
图8是一个软盘图,根据本发明的至少一些实施例,其可以存贮软件的各个部分(portion)。
具体实施方式
图1是典型的CMP设备100的立体图,该设备用于抛光一个或多个基片110。该CMP设备100包括一系列抛光台101,以及一个用于装载和卸载基片的转移台(transfer station)102。每一个抛光台都包括一个可旋转的台板103,其上方有抛光垫104。可以提供一个抛光液体源(source of polishing fluid)111,以向所述抛光垫104提供抛光液体112。每一个抛光台可以包括一个相关的垫调节设备105,以便保持所述抛光垫的研磨条件(abrasive condition)。一个可旋转的多头转盘(multi-head carousel)106由中心柱(center post)107支撑并绕该中心柱旋转。所述多头转盘106上装有多个承载头(carrier head)108,这些承载头能够分别围绕其自身芯轴独立旋转。所述承载头108从所述转移台102接收基片并将基片移交给所述转移台102。该承载头(carrierhead)提供可控制的加载(load),即,当所述抛光台和所述承载头准备就绪后,向所述基片施加压力以将其推向所述抛光垫。一些承载头包括护环(retaining ring)109以便固定所述基片并同时协助提供抛光加载(polishing load)。为实现抛光,所述台板103可以旋转(一般以匀速旋转)。此外,可以通过调节护环的压力,通过所述承载头108的每一个承载头单独施加可变的向下的力。固定基片110的所述这些承载头108可以绕轴113旋转并在滑槽(slot)114内前后摆动。
一种CMP工艺需要一系列步骤抛光所述晶片。例如,如图2显示,一个直径为200毫米的晶片,经过从201到208的8个连续抛光步骤的抛光所获得的CMP曲线图。每一个抛光步骤都会从所述基片表面去除被抛光总材料量的一部分材料。另外,通过对201到208曲线的比较,可以看出:每个抛光步骤生成的厚度曲线可能是不同的。最终薄膜厚度曲线是各个单独的抛光步骤产生的厚度曲线的总合(sum),并且在所述晶片的整个表面,产生均匀的晶片厚度。
CMP工艺可以包括从抛光台(台板)到抛光台(台板)转移样品(sample)。一种CMP工艺将晶片去除分散在不同的台板上完成,而每个台板都进行一整套抛光步骤,以在该台板上获得期望的材料去除。任何组合形式的去除方式都是可能的。因此,例如,当希望总的材料去除是
Figure A0281545100161
时,可以在抛光台的台板-1上去除 在台板-2上去除 最后在台板-3上去除 各个台板的所述抛光配方可以是相同的或不同的。
上面描述的CMP工艺可被建模以提供改进所述平面化工艺的一种形式(format)。所述模式可以用反映系统状态的原始数据或者用方程表示,例如,多输入-多输出的线性、二次和非线性方程,这些方程描述所述系统各个变量之间的相互关系。利用一个模式,可以改善所述晶片内厚度均匀性,并通过在晶片抛光期间,调整所述抛光参数将此均匀性在后续运行中一直保持,以修正不可建模(unmodel)效应或所述抛光工艺条件的漂移。例如,可以在抛光操作期间,在用于预测并随后优化所述抛光配方的前馈(feedforward)和反馈回路中,对抛光的时间、抛光垫向下的力和速度、浆料流量和成分、调节时间、调节盘向下的力和速度,所述调节盘和晶片夹持器的振动速度进行调整。
根据本发明的至少一些的实施例,基于对所述晶片抛光工艺的知识,建立一个初始模式,如图3的流程图所示。在步骤300获得所述系统的初始条件(initial understanding),然后用此条件设计并运行步骤310的实验方案(DOE)。该实验方案设计用来建立两个变量或多个变量之间的关系,这些变量对期望控制的所述处理输出,例如晶片厚度,具有强烈的和可预测的影响。该DOE提供与工艺参数和工艺结果有关的数据,在步骤320中,将这些数据加载到先进的工艺控制系统。所述先进的工艺控制系统可以是一个控制器或者计算机,其用所述数据建立和更新所述模式。在步骤325中,用户可以确定包括输出目标和工艺说明(process specification)等的各种处理要求,其与DOE数据结合,在步骤330建立一个工作模式。
下面用图例描述模式建立过程。根据本发明的至少一些实施例,在所述CMP工艺中,以独立的步骤,定义一个模式结构(modelstructure),该模式结构模拟晶片材料去除速率(抛光)曲线。如上所述(图2),这些各自独立的步骤可以组合以产生一个均匀的最终晶片厚度;用在所述模式中的这些步骤还可以被定义为去除速率曲线的子集(subset);即一个步骤可能包含一族特征相似的去除速率曲线。对于每一族去除速率曲线而言,抛光参数是确定的,这些抛光参数可以改变,而且,它们对结果的影响被确定。在此模式中的示例性的抛光变量包括但不限于:抛光时间、抛光垫的向下的力和速度、浆料的流量和成分、调节时间、调节盘向下的力和速度,以及所述调节盘和所述晶片夹持器的扫过速度(sweep speed)。
在本发明的至少一些实施例中,所述模式基于所述晶片的分区,依赖于去除速率曲线。如图4所示,沿径向围绕晶片中心将晶片分成从401到405的不同的区域,这些分区的宽度和面积是变化的。所述模式未设定分区的数量,其可以基于所述抛光曲线(polishing profile)选择。例如图2所示的整个晶片被划分成7个分区,而图4所示的晶片被划分成5个分区。所述分区的大小和位置同样是可以改变的,并且它们可以根据某个抛光参数对所述晶片的那个分区的影响来选择。
晶片分区的数量,大小和位置可以根据所述晶片材料去除速率曲线的复杂程度来选择。至少在一些实施例中,在任何给定晶片分区,可期望所述曲线基本是一致的,特别是在一个分区中,将多个晶片厚度测量值平均以定义所述分区平均(region-averaged)厚度曲线的情形。因此,在边缘效应(edge effect)可能不断变化的晶片边缘,可以选择只包括远离中心区域的较窄分区,而接近所述晶片中心的区域,抛光效果可能比较微弱,这时可以选择较大的分区。由于CMP工具不能修正方位变差(azimuthal variation),因此定义分区以将所有方位变差通过平均消除。在所述晶片的一个分区内部进行的薄膜厚度测量值被平均以获得该分区的平均厚度。
为了获得DOE数据,需要根据进料测量值运行一个抛光步骤,例如,抛光前和抛光后的晶片的厚度测量值,并且可确定每个分区的处理参数值、去除速率曲线或与之对应的晶片厚度曲线。传统情况下,可以通过对参数值的范围以及所述抛光垫和调节盘的寿命进行一系列实验,凭经验获得数据。此种方法对所述抛光操作的处理特性未做任何假设,而且所述数据被拟合到合适的曲线中,以定义所述模式。这种方法需要消耗大量晶片,对于一个有4个步骤的工艺,至少需要30个晶片,而且该方法也很耗时(一般盘的寿命约为60小时)。
在本发明的至少一些实施例中,采用一种修改(modify)的试验方法获取DOE数据。此方法假设所述数据可以与一个线性曲线拟合,而且叠加(superposition)是有效的。叠加法假设,通过执行第一步一段时间,接着执行第二步一段时间,例如这两步是分别但又是前后相继进行的,这样做所获得的结果与将这两步一起执行所获得的结果相同。另外,该方法用一个已建立的模式将垫和盘的寿命与所述抛光操作(polishing performance)联系起来。这些假设可以显著减少恰当地为所述系统建立一个模式所需要的数据的数量(因此减少样品的数量)。在本发明的至少一些实施例中,需要晶片量不到10个,对于某些模式建立过程,甚至只需要6-8个试验晶片。仅作为例子,所述DOE可以包括5-7个抛光步骤,而所述抛光配方可以在几个晶片上实施,有时只在一个晶片上实施,或例如在5-8个晶片上实施。如果抛光步骤更多则抛光配方所需要消耗的晶片更多。
例如,对于一个如上面描述的感兴趣的抛光系统,可进行一系列实验以确定晶片材料去除速率曲线与抛光时间以及其它感兴趣的参数之间的关系。可以采用标准抛光工序(standard polishing procedure),而除了正在研究的所述参数,所有抛光垫和晶片条件保持不变。可以保持不变的示例性的抛光参数包括抛光垫尺寸、抛光垫组成、晶片成分、垫调节时间、所述抛光垫的旋转速度,以及所述晶片的旋转速度。在本发明的至少一些实施例中,正在研究的所述参数至少包括在所述抛光配方中的每一个所述抛光步骤的所述抛光时间和所述抛光向下的力(P)两者之一,该抛光向下的力如由护环压力限定的力。如在下面更详细分析所表明的,所述模式还可以包括另外(additional)的参数。
一旦从DOE运行中获得数据,就可以建立一个模式。一个具有5个抛光步骤的模式可以定义如下:
AR ′ j = c 1 j ≅ t 1 + c 2 j ≅ t 2 + c 3 j ≅ t 3 + c 4 j ≅ t 4 + c 5 j ≅ t 5 - - - ( 1 )
这里的AR’j是所述晶片分区j的材料的去除量;t1,t2,t3,t4和t5分别是抛光工艺步骤1,2,3,4和5的所述抛光时间;以及c1j,c2j,c3j,c4j,和c5j分别是在抛光步骤1,2,3,4和5中,分区j的去除速率。
在这个模式中可以包括另外的参数,并且该模式可以定义如下:
AR ′ j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5 - - - ( 2 )
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的所述另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的抛光时间,并且ca1j提供了所述变量x在分区j中,在抛光步骤(a)对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。因此,该模式允许包括无限多个处理参数。
在本发明的至少一些实施例中,所述模式还可以增加一个参数,即所述工具状态的影响。所述工具状态表示磨损、使用以及老化对所述工具的影响,并且包括由盘寿命和垫寿命表示的所述调节盘和抛光垫的所述条件,并且还包括空载时间。此泛函性(functionality)可用一个比例因子表示,考虑垫寿命和盘寿命的一个示例性的比例因子见方程3所示:
ARj=(1+kp·tp+kd·td+kpd·tp·td)·(AR’j/tp=0,td=0)  (3)
这里tp和td项分别指抛光垫和盘的寿命,其以小时为单位;而kp,kd和kpd项是将抛光垫和盘寿命与去除速率联系起来的凭经验确定的系数,或等同于材料去除量;tp=0,td=0时的AR’j是在初始抛光条件下的材料去除量。
用如上面描述的一个模式,如果给定进料厚度、所述抛光垫和盘寿命,所述抛光步骤时间以及任何其它选择的参数值,可以计算晶片分区平均(region-averaged)的预测厚度以及最终晶片厚度,其中所述参数在些步骤参数被改变。
工艺模式的建立过程和优化是参照一个特定的抛光系统进行的。也就是说,影响晶片内均匀性(within wafer uniformity)的所述条件对正在被抛光的晶片的类型、抛光中使用的所述浆料以及所述抛光垫的组成等因素而言是特定的。一旦确定了晶片/浆料/抛光垫系统,所述系统就可以根据本发明所建立的模式特征化。在本发明的至少一些实施例中,设想为每一个抛光浆料/抛光垫晶片组合(即:对于一个给定的晶片类型,为可能用在生产中的每一个不同类型/品牌的抛光浆料和每一个不同类型/品牌的抛光垫组合)生成一个单独的模式(或者至少是一个复合模式(composite model)的一个补充(supplement))。
同样,在本发明的至少一些实施例中,设想一个晶片抛光模式可以在多个台板(platen)提供抛光(accommodate polishing),这些台板可以以并行的(parallel)或顺次的(serially)方式对晶片进行抛光。所述CMP抛光工艺通常包括多个台板,这些台板可以同时运转。典型地,在每个台板上去除欲去除材料总量的一部分。所述晶片被从一个台板传送到另一个台板,每一个台板都有独立的抛光配方,该抛光配方确定这些抛光步骤的时间以及其它处理参数,例如:在该台板上执行的这些抛光步骤的每一步骤的护环压力。
图6显示一个工艺模式,该模式反映执行相似的或不同的抛光步骤的多个台板对晶片厚度曲线的影响。在该模式的第一个阶段(phase)600,台板-1(620)的抛光配方610(这里为6个步骤)被确定(所述“第一抛光工艺”)。工艺输入数据为630,例如进料晶片在抛光前的加工分区的厚度,盘和垫寿命等参数被输入到所述模式中。抛光所述晶片,测量每个晶片分区的最终厚度640。在所述第一抛光工艺中获得的抛光后的分区厚度(region thickness)640被用作所述模式建立过程的第二阶段645的输入数据。在台板-2 660中实施第二抛光配方650,这一抛光配方可以与在台板-1 620中实施的第一抛光配方相同或不同。用在台板-2 660上的与所述垫和调节盘相关的垫和盘寿命因子655也可以包含在所述模式中。采集最终厚度测量值670,并用于所述模式的建立过程。这样,本发明的所述方法可以提供一个包括在多个台板上的多个抛光工艺的模式,这些台板在加工中处于不同的工具状态,并可以将台板特定的(platen-specific)反馈信息680和690分别提供给台板-1和台板-2。该模式适用广泛,可以适应各种高度复杂的抛光情况。
根据本发明的至少一些实施例,这里描述的建立初始模式可以用在本发明的至少一些实施例中,以实现所述抛光工艺从流程到流程的一致性,并为更新所述抛光配方提供一个反馈回路(参见图5)。简单地说,根据第一抛光配方,处理一个或多个晶片,然后对抛光后的晶片进行厚度测量以获得晶片厚度曲线,并且将此晶片厚度曲线与由所述模式计算的所述预测晶片厚度曲线进行比较。如果所述测量的晶片厚度曲线表明其偏离期望结果,那些偏差用于一个优化过程(optimization process)以更新所述抛光配方。然后,该更新的抛光配方被用在一个反馈回路以逐渐优化所述抛光配方,以便改善或保持晶片内薄膜厚度的均匀性。
根据图5的所述流程图,初始处理条件,例如,工具状态和晶片状态被确定,这将在步骤500中,提供所期望的晶片去除速率曲线。所述初始条件可以凭经验确定或通过用本发明的至少一些实施例的处理模式(processing model)来确定。如果采用一个处理模式,一个控制器(controller)可以在步骤510中,利用这个模式计算步骤时间和处理参数,使进入曲线变得平滑(polish an incoming profile),以获得具有期望厚度的目标平滑曲线(target flat profile)。在步骤520中,根据所述初始抛光配方,在CMP设备中抛光晶片。测量所述抛光后的晶片厚度,其与所述预测厚度的偏差在步骤530中被确定。在步骤540中,确定所述偏差是否超过已建立的公差范围。如果所述偏差在可接受范围之内,不改变所述抛光配方,并在步骤550中,所述控制器指示所述工具继续使用现有的抛光配方。如果所述偏差超出可接受限制(acceptable limit),需要在步骤560中设定新的目标参数,并将这些参数在步骤570反馈回所述控制器,在该控制器中,所述抛光配方根据一个更新的模式进行优化,所述更新的模式考虑了实际值与预测值的所述偏差。所述抛光步骤可以重复进行,并且可以进一步更新所述抛光配方。
按照本发明的至少一个实施例,所述CMP工艺的工艺控制允许对所述晶片整个表面的系列分区j的所述晶片去除速率进行优化。通过对所述晶片表面的所述分区j的单独优化,可以获得对该整个表面的更好的控制。因此,获得更好的晶片内厚度均匀性。
示例性优化方法,其可以用于确定一个更新的模式,以确定一个更新的抛光配方,解下面方程:
min x f ( y sp , g ( x ) ) - - - ( 4 )
这里x是时间和与所述抛光配方对应的其它处理参数的一个矢量;g(x)是如上述方程1-3中所述CMP工艺的所述模式;ysp是所述期望的分区平均的晶片厚度的一个矢量;以及f(ysp,g(x))是用来补偿所述模式预测厚度g(x)与所述期望厚度ysp之间的所述偏差的某个函数。
因此,所述优化方法提出,所述模式不必100%修正与预测值的所述偏差。补偿函数(penalty function)可以用来反映测量和计算参数中的不确定性,或“抑制”工艺参数变化过快,变化程度过大带来的影响。例如,该模式可能过度补偿所述测量偏差,从而需要另一个调整来对这个过度补偿作出反应。这将引进一个优化过程,在所述优化条件实现以前,该过程是跳跃的(jump)并进行了数次迭代(iteration)。
根据这个优化方法,测量抛光后的晶片厚度,并且确定所述预测厚度和最终厚度之间的差别(difference)。随后,预测误差(error inprediction)或称偏移(bias)被线性地加入所述模式,从而使所述预测的最终厚度与所述实际厚度更加接近。这种偏移被加入每一个分区j,其由下面方程模拟:
FTj=ITj-ARj+bj                                   (5)
这里FTj表示分区j的所述预测的最终厚度;ITj是分区j的所述进料厚度;ARj是对于给定的一套配方参数,从分区j去除的预测去除量;以及bj是由于从分区j去除的所述预测的去除量与实际去除量之间的差别所引起的偏移项(bais term)。在本发明的至少一些实施例中,带有偏移项的线性更新模式的过程是至少一些反馈控制的组成部分,其中的偏移项根据模式预测与实际测量之间的差别建立。
在本发明的至少一些实施例中,一个反馈控制用每个所述台板的所述平均工具状态,将这些台板融合进一个单一的模式(single model)。所述单一的模式将利用上述反馈方法,以某种预定的方式,在不同的台板上分配(apportion)所述偏移调整过程。
同样,在本发明的至少一些实施例中,一个反馈控制方案(feedbackcontrol scheme)根据所述最终厚度测量值将反馈信息分别分配给所有这些台板。为抛光工艺建立模式的一个方法见图6,该方法为不同的台板的抛光分别建立模式,并将其作为包含(factor into)在所述模式中。由于每个台板可以单独被对待(treat),所以,所述工具状态,例如所述垫和盘寿命,以及空载(idle)时间等都可以包括在所述模式中;而且对所述台板和抛光配方而言,反馈可能是特定的。当在每个台板实施的所述抛光配方不同的情况下,这个反馈控制方案尤其有用。由于其在保持在其它台板上的所述抛光配方不变的同时,允许在一个台板上改变所述处理配方,所以,这种为每一个台板分别建模的能力提供了更大的处理灵活性(processing flexibility)。
在本发明的至少一些实施例中,所述控制器将反馈分别应用到每一个承载头上(carrier head)。每个承载头以各自独特的方式工作,并且在更新所述抛光配方时,可以分别检查每个晶片夹持器的过去操作(past performance),并相应地调整所述更新的参数。
基于上述模式,用各种方法构造反馈和前馈控制算法,以用于上述控制工艺。用各种方法,例如递归参数估计法(recursive parameterestimation),这些算法可以用来优化参数。递归参数估计法用于诸如在接收到输入-输出数据的同时,进行在线模拟(model on line)的情形。递归参数估计法非常适合在线决策,诸如自适应控制(adaptive control)或自适应预测(adaptive prediction)。关于识别算法和原理(algorithm andtheory of identification)的更详细内容,见新泽西上鞍河(Upper SaddleRiver)的Prentice Hall出版公司,在1999年出版的,L·扬所著“系统识别-给使用者的理论”的第2版。
在本发明的至少一些实施例中,所述抛光配方可以用由所述模式建立的算法中所限定的不连续递增或步骤(discrete increment and step)进行更新。同样,在本发明的至少一些实施例中,也可以通过向适当的参数中插值(interpolation)确定所述更新的抛光配方。
实施所述前馈和反馈回路需要用一些附加设备,包括薄膜厚度测量(度量)工具,该厚度测量工具提供计算晶片材料去除速率所需要的厚度数据。该工具可以安装在所述抛光设备上以便进行在线(inline)、现场(in situ)测量,或者还可以设置在远离所述抛光设备的地方。该工具可以使用光学的,电学的,声学的或机械的测量方法。从Nanometrics(美国加利福尼亚州的米尔皮塔斯市)或Nova测量仪器公司(Nova Measuring Instruments位于美国亚利桑那州的菲尼克斯市)可以获得合适的厚度测量仪器。基于测量的薄膜厚度和计算的去除速率,利用本发明提供的模式和算法,可以用计算机来计算最佳垫调节配方。可以从美国加利福尼亚州的应用材料有限公司(Applied MaterialsInc.)获得合适的集成控制器(integrated controller)和抛光设备(具有iAPC的Mirra或具有iAPC的Mirra Mesa)。
利用在此讨论的概念可以抛光的示例性的半导体晶片,包括,但不限于由硅、钨、铝、铜、BPSG、USG、热氧化物、硅相关薄膜、以及低k值电介质及其混合物制成的晶片。
本发明的方法可以用许多不同类型的常规CMP抛光垫实施。在本技术领域,有许多一般由聚氨脂(urethane)或其它聚合物制成的抛光垫。示例性的抛光垫包括EpicTM抛光垫(伊利诺斯州奥罗拉市的Cabot微电子公司生产)和RodelIC1000、IC1010、IC1400抛光垫(特拉华州纽瓦克的Rodel公司生产),OXP系列抛光垫(Sycamore Pad),ThomasWest Pad 711、813、815、815-Ultra、817、826、828以及828-E1(ThomasWest)。
此外,本发明的方法可以用许多不同类型的浆料。本技术领域中有许多CMP浆料,一般将其制成用于抛光半导体晶片中的某类金属。示例性的浆料包括Semi-Sperse(可用的有Semi-Sperse12、Semi-Sperse25、Semi-SperseD7000、Semi-SperseD7100、Semi-SperseD7300、Semi-SperseP1000、Semi-SperseW2000及Semi-SperseW2585)(伊利诺斯州奥罗拉市的Cabot微电子公司)和RodelILD1300、Klebesol系列、Elexsol、MSW1500、MSW2000系列、CUS系列以及PTS(Rodel)。
本发明的许多方式可以用计算机控制,其可以是许多控制器/计算机统一体(computor entity),和/或用多种控制器/计算统一体包括图7所示的计算机来控制。参见图7,总线756用作连接系统711的其它单元的主要信息高速路(main information highway)。CPU758是该系统的中央处理单元,执行计算和逻辑操作,所述计算和逻辑操作是实施本发明实施例的所述工艺以及其它程序所需要的。只读存储器(ROM)760和随机存储器(RAM)762构成该系统的主存储器。磁盘控制器(diskcontroller)764连接一个或多个磁盘驱动器到该系统总线756。这些磁盘驱动器是,例如软盘驱动器770,或CD ROM或DVD(数字视频盘)驱动器766,或内部或外部硬盘驱动器768。这些不同的磁盘驱动器和磁盘控制器是任选设备。
显示器接口772连接显示器748,并使信息从所述总线756显示在显示器748上。显示器748可以用于显示图形化用户界面。利用例如通信端口774,可实现与上面描述的诸如该系统的其它单元的外部设备之间的通信。光纤和/或电缆和/或导体和/或光通信(例如,红外等)和/或无线通信(例如,射频RF等)可以用作所述外部设备和通信端口774之间的传输介质(transport medium)。外围接口(peripheral interface)754连接主板750和鼠标752,使输入数据被传送到总线756上,除这些单元之外,系统711也可选择性地包括红外发射器和/或红外接收器。当该计算机系统与一个或多个经由红外信号传输发射/接收数据的处理单元/站一起使用时,可选择使用红外发射器。代替使用红外发射器或红外接收器,该计算机系统也可以选择使用低功率无线电发射器780和/或低功率无线接收器782。该低功率无线发射器发射信号被生产工艺单元(production process component)接收,并通过该低功率无线接收器接收来自这些单元的信号。该低功率无线发射器和/或接收器是工业标准设备。
虽然图7显示的系统711说明只有一个处理器,一个硬盘驱动器和一个本地存储器,但是系统711可选择性地适合配有任意多个处理器或存储设备或处理器或存储设备的组合。例如,系统711可以用任一个符合本发明实施例的原理的合适的处理系统取代,或可以与任一根据本发明实施例的原理的合适的处理系统结合,这些系统包括复杂的计算器,手持电脑,便携式电脑/笔记本电脑,微型计算机,大型机和超级计算机,以及它们构成的处理系统网络(processing systemnetwork)的组合。
图8是示例性的计算机可读存储介质884的图示说明,该存储介质可用于存储计算机可读的代码或指令。作为例子,介质884可以和如图7显示的磁盘驱动器一起使用。典型地,诸如软盘,或CD ROM,或数字视频盘的存储介质将包含,例如,用于单字节语言的多字节locale和用于控制上述系统以使该计算机能执行在此所描述的功能的程序信息。作为选择,图7中的ROM 760和/或RAM 762也可以用于存储该程序信息,该信息用于给中央处理单元758下指令以执行与即时处理相关联的操作。用于存储信息的合适的计算机可读介质的其它例子包括磁的,电的,或光(包括全息)存储器以及它们的组合等。另外,本发明的至少一些实施例设想所述介质可以是传输形式(例如,数字或传播信号)。
总之,应当强调,本发明实施例的多个单元可以在硬件,软件及其组合件中实施。在这样的实施例中,能在硬件和/或软件中实施多个单元和步骤以执行本发明的所述功能。在本发明的这样的实施例中,可以采用任一当前能得到的或未来开发的计算机软件语言和/或硬件单元。例如,利用C,C++,或适合正在使用的处理器的任合汇编语言都能执行上面所述的至少一些功能性。其也可以用编译环境(interpretiveenvironment)编写,例如Java,并且被传送到多个目的地,到达不同的用户。
虽然在此已经给出并详细描述了具体表现本发明思想的多个实施例,但是,本领域的技术人员可以容易地想出许多其它的具体表现这些思想的各种实施例。

Claims (32)

1.一种在抛光操作中产生均匀晶片厚度曲线的方法,该方法包括:
(a)提供一个晶片抛光模式,该模式在晶片上定义多个分区,并且在抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率;以及
(b)用一种为每个分区生成目标厚度曲线的抛光配方,抛光晶片。
2.一种在抛光操作中控制晶片表面非均匀性的方法,该方法包括:
(a)提供一个晶片抛光模式,该模式在晶片上定义多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤;
(b)根据进料晶片厚度曲线,用第一抛光配方,抛光晶片;
(c)确定步骤(b)抛光后的晶片的晶片厚度曲线;以及
(d)根据步骤(c)得到的所述晶片厚度曲线和步骤(a)的所述模式,计算更新的抛光配方,以保持目标晶片厚度曲线。
3.如权利要求2所述的方法,其中所述第一抛光配方根据步骤(a)的所述模式建立,以获得所述目标晶片厚度曲线。
4.如权利要求2所述的方法,其中所述第一抛光配方凭经验确定。
5.如权利要求1或2所述的方法,其中步骤(a)的所述模式中的所述多个分区包括从所述晶片中心点沿径向,向外延伸的分区。
6.如权利要求5所述的方法,其中所述模式包括4个或更多个分区。
7.如权利要求1所述的方法,其中步骤(b)的所述抛光包括在多个抛光台抛光所述晶片。
8.如权利要求7所述的方法,其中所述抛光步骤在3个抛光台实施。
9.如权利要求7所述的方法,其中所述抛光配方至少在两个抛光台上是相同的。
10.如权利要求7所述的方法,其中所述抛光配方至少在两个抛光台上是不同的。
11.如权利要求7所述的方法,其中步骤(c)的所述计算更新的抛光配方包括计算所述多个抛光台的每一个的更新的抛光配方。
12.如权利要求11所述的方法,其中所述多个抛光台的每一个的所述更新的抛光配方反映单个抛光台的工具状态。
13.如权利要求9或10所述的方法,其中步骤(b)的所述抛光可以在多个抛光台上实施;并且,其中每一个后续抛光台的所述晶片厚度曲线由前面的抛光台的预测来提供。
14.如权利要求1或2所述的方法,其中提供一个模式的所述步骤包括:
(e)在一个或多个晶片上定义的多个分区的每一个分区,测量抛光前晶片厚度;
(f)抛光所述一个或多个晶片,其中所述抛光包括在多个抛光步骤中抛光所述一个或多个晶片;
(g)在步骤(g)的每个所述抛光步骤完成后,在所述一个或多个晶片的所述多个分区的每一个分区,测量所述一个或多个晶片的所述晶片材料去除速率;
(h)提供一个模式,该模式定义工具状态对抛光效果的影响;以及
(i)将每个或所有这些分区的所述抛光前和抛光后的晶片厚度记录在一个可记录的介质上。
15.如权利要求14所述的方法,还包括:
将数据拟合到一个线性或非线性曲线中,所述曲线建立了所述晶片的分区的所述晶片材料去除速率与感兴趣的抛光参数之间的关系。
16.如权利要求14所述的方法,其中所述抛光参数包括抛光时间。
17.如权利要求16所述的方法,其中所述抛光参数还包括选自由包括抛光时间,抛光垫向下的力和速度,浆料流量和成分,调节时间,调节盘向下的力和速度,所述调节盘和所述晶片夹持器的振动速度所组成的参数组中的一个参数。
18.如权利要求1或2所述的方法,其中步骤(a)的所述模式中的一个分区j(AR’j)的所述晶片去除根据下面方程确定:
AR , j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j,在抛光步骤(a)中对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
19.如权利要求18所述的方法,其中所述晶片材料去除速率曲线通过用下面的比例因子标度所述曲线来反映所述工具状态:
(l+kp·tp+kd·td+kpd·tp·td)
这里tp和td项分别指抛光垫和盘的寿命,其以小时为单位;而kp,Kd和kpd是将抛光垫和盘寿命与去除速率联系起来的凭经验确定的系数。
20.如权利要求2所述的方法,其中更新的抛光配方通过解下面的方程获得:
min x f ( y sp , g ( x ) )
这里x是时间和与所述抛光配方对应的其它处理参数的一个矢量;g(x)是所述抛光工艺的所述模式;ysp是所述期望的平均分区的晶片厚度的一个矢量;以及f(ysp,g(x))是用来补偿所述模式预测厚度g(x)与所述期望厚度ysp之间的所述偏差的一个补偿函数。
21.一种确定晶片厚度曲线的模式的方法,该方法包括:
(a)在一个或多个晶片上定义的多个加工分区的每一个分区,测量抛光前的晶片厚度;
(b)抛光所述一个或多个晶片,其中所述抛光包括在多个抛光步骤中抛光所述一个或多个晶片;
(c)在步骤(b)的每一个所述抛光步骤之后,在该多个分区中的每一个分区,测量所述一个或多个晶片的所述晶片材料去除速率;
(d)提供一个模式,该模式定义工具状态对抛光效果的影响;以及
(e)将每个或所有这些分区的所述抛光前的和抛光后的晶片厚度记录在一个可记录的介质上。
22.如权利要求21所述的方法,还包括:
将数据拟合到一个线性或非线性曲线中,所述曲线建立了所述晶片的分区的所述材料去除速率与感兴趣的抛光参数之间的关系。
23.如权利要求22所述的方法,其中所述抛光参数包括抛光时间。
24.如权利要求23所述的方法,其中所述抛光参数包括选自由包括抛光时间,抛光垫向下的力和速度,浆料流量和成分,调节时间,调节盘向下的力和速度,所述调节盘和所述晶片夹持器的振动速度所组成的参数组中的一个参数。
25.如权利要求21所述的方法,其中步骤(a)的所述模式中的一个分区j(AR’j)的所述晶片材料去除根据下面方程确定:
AR , j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j,在抛光步骤(a)中对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
26.如权利要求21所述的方法,其中所述晶片材料去除速率曲线通过用下面的比例因子标度所述曲线来反映所述工具状态:
(l+kp·tp+kd·td+kpd·tp·td)
这里tp和td项分别是指抛光垫和盘的寿命,其以小时为单位;而kp,kd和kpd是将抛光垫和盘寿命与去除速率联系起来的凭经验确定的系数。
27.如权利要求22所述的方法,其中一个所述模式用少于10个晶片的试验来确定。
28.一种调节抛光垫的设备,所述抛光垫用于平面化基片,所述设备包括:
一个运载组件,该运载组件具有多个固定臂,以将晶片固定在多个抛光垫的多个平整表面之上;
能控制所述抛光工艺的操作参数的控制装置;以及
可与所述控制装置耦合的一种控制器,所述控制器运转这些控制装置,将所述抛光工艺的所述操作参数作为晶片厚度曲线模式的一个函数进行调整,所述模式包括:
定义一个抛光模式,该抛光模式在晶片上定义了多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤。
29.如权利要求28所述的设备,其中所述模式在所述晶片材料去除速率模式中的一个分区j(AR’j)的所述晶片去除根据下面方程确定:
AR , j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j,在抛光步骤(a)中对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
30.一种计算机可读的介质包括由计算机执行的指令,这些指令包括用于该化学机械抛光工艺的一个计算机-执行的软件应用程序,执行所述工艺的这些指令包括:
(a)从化学机械抛光工具中,接收与在所述化学机械抛光过程中处理的至少一个晶片的所述晶片去除速率相关的数据;以及
(b)从步骤(a)的所述数据,计算更新的抛光配方,其中所述更新的抛光配方通过确定晶片材料去除速率模式的输出与步骤(a)的所述数据之间的差别来计算。
31.如权利要求28所述的介质,其中晶片材料去除速率的所述模式,在晶片上定义了多个分区,并且在抛光工艺的抛光步骤中,确定这些分区的每一个分区的晶片材料去除速率,其中所述抛光工艺包括多个抛光步骤。
32.如权利要求30所述的介质,其中在所述晶片材料去除速率模式中的一个分区j(AR’j)的所述晶片去除根据下面方程确定:
AR , j = ( c 11 j ≅ x 1 + c 12 j ) ≅ t 1 + ( c 21 j ≅ x 2 + c 22 j ) ≅ t 2 + ( c 31 j ≅ x 3 + c 32 j )
≅ t 3 + ( c 41 j ≅ x 1 + c 42 j ) ≅ t 4 + ( c 51 j ≅ x 5 + c 52 j ) ≅ t 5
这里x1,x2,x3,x4和x5分别是抛光步骤1,2,3,4和5的另外的参数值;t1,t2,t3,t4和t5分别是抛光步骤1,2,3,4和5的所述抛光时间,并且ca1j提供了所述变量x在分区j,在抛光步骤(a)中对晶片去除的贡献;以及ca2j提供了在抛光步骤(a)中的抛光时间对晶片去除的贡献。
CNB028154517A 2001-06-19 2002-06-17 提供去除速率曲线处理的化学机械抛光设备的反馈控制 Expired - Fee Related CN1292464C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US09/943,955 US7160739B2 (en) 2001-06-19 2001-08-31 Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US09/943,955 2001-08-31

Publications (2)

Publication Number Publication Date
CN1554118A true CN1554118A (zh) 2004-12-08
CN1292464C CN1292464C (zh) 2006-12-27

Family

ID=26970923

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028154517A Expired - Fee Related CN1292464C (zh) 2001-06-19 2002-06-17 提供去除速率曲线处理的化学机械抛光设备的反馈控制

Country Status (6)

Country Link
US (3) US7160739B2 (zh)
EP (1) EP1399962A1 (zh)
JP (1) JP4799817B2 (zh)
KR (1) KR100906133B1 (zh)
CN (1) CN1292464C (zh)
WO (1) WO2002103777A1 (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101667526B (zh) * 2008-09-05 2011-12-14 台湾积体电路制造股份有限公司 晶片处理装置及在单一装置中处理半导体晶片的方法
CN102380816A (zh) * 2010-08-30 2012-03-21 旺宏电子股份有限公司 化学机械抛光方法与系统
CN102689266A (zh) * 2011-03-23 2012-09-26 中芯国际集成电路制造(上海)有限公司 一种抛光装置及晶片抛光方法
CN101722469B (zh) * 2008-10-13 2013-01-02 台湾积体电路制造股份有限公司 对晶圆进行化学机械研磨工艺的方法
CN103681399A (zh) * 2012-09-14 2014-03-26 意法半导体公司 使用来自测量设备的反馈的自适应半导体处理
CN105097434A (zh) * 2014-05-21 2015-11-25 中国科学院微电子研究所 一种平坦化的工艺方法
CN106695567A (zh) * 2015-07-17 2017-05-24 盛美半导体设备(上海)有限公司 流量补偿方法
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
TWI637813B (zh) * 2011-04-29 2018-10-11 應用材料股份有限公司 選擇研磨參數以產生移除輪廓
CN109290917A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统
CN109290918A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 用于非晶片制程的全自动抛光生产线
CN109333367A (zh) * 2018-11-13 2019-02-15 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统的工作方法
CN112894609A (zh) * 2021-02-08 2021-06-04 上海新昇半导体科技有限公司 化学机械抛光系统及化学机械抛光监测方法
CN113524019A (zh) * 2021-07-27 2021-10-22 福建北电新材料科技有限公司 化学机械抛光方法
CN117207056A (zh) * 2023-11-07 2023-12-12 苏州博宏源机械制造有限公司 一种高精度晶片激光测厚装置及方法
US11919121B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Control of processing parameters during substrate polishing using constrained cost function
US11931853B2 (en) 2021-03-05 2024-03-19 Applied Materials, Inc. Control of processing parameters for substrate polishing with angularly distributed zones using cost function

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6863771B2 (en) * 2001-07-25 2005-03-08 Micron Technology, Inc. Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
JP4020739B2 (ja) 2002-09-27 2007-12-12 株式会社荏原製作所 ポリッシング装置
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
JP4777658B2 (ja) * 2002-11-22 2011-09-21 アプライド マテリアルズ インコーポレイテッド 研磨制御のための方法および器具
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
JP2005203729A (ja) * 2003-12-19 2005-07-28 Ebara Corp 基板研磨装置
DE102004004556B4 (de) * 2004-01-29 2008-12-24 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US7636611B2 (en) * 2005-10-28 2009-12-22 Samsung Austin Semiconductor, L.P. Fuzzy logic system for process control in chemical mechanical polishing
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
JP4790475B2 (ja) * 2006-04-05 2011-10-12 株式会社荏原製作所 研磨装置、研磨方法、および基板の膜厚測定プログラム
US7622052B1 (en) * 2006-06-23 2009-11-24 Novellus Systems, Inc. Methods for chemical mechanical planarization and for detecting endpoint of a CMP operation
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
EP2188753B1 (en) * 2007-09-06 2018-11-07 DEKA Products Limited Partnership Processing system and method
CN101456150B (zh) * 2007-12-11 2011-09-28 上海华虹Nec电子有限公司 化学机械抛光方法
US7899571B2 (en) * 2008-11-05 2011-03-01 Texas Instruments Incorporated Predictive method to improve within wafer CMP uniformity through optimized pad conditioning
US8751033B2 (en) * 2008-11-14 2014-06-10 Applied Materials, Inc. Adaptive tracking spectrum features for endpoint detection
JP5526895B2 (ja) * 2009-04-01 2014-06-18 信越化学工業株式会社 大型合成石英ガラス基板の製造方法
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI381904B (zh) * 2009-12-03 2013-01-11 Nat Univ Chung Cheng The method of detecting the grinding characteristics and service life of the polishing pad
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US20110195636A1 (en) * 2010-02-11 2011-08-11 United Microelectronics Corporation Method for Controlling Polishing Wafer
US9579767B2 (en) * 2010-04-28 2017-02-28 Applied Materials, Inc. Automatic generation of reference spectra for optical monitoring of substrates
US8602838B2 (en) * 2010-08-26 2013-12-10 Mcronix International Co., Ltd. Chemical mechanical polishing method and system
JP5853382B2 (ja) * 2011-03-11 2016-02-09 ソニー株式会社 半導体装置の製造方法、及び電子機器の製造方法
JP5898420B2 (ja) * 2011-06-08 2016-04-06 株式会社荏原製作所 研磨パッドのコンディショニング方法及び装置
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
CN102501174A (zh) * 2011-11-02 2012-06-20 上海宏力半导体制造有限公司 化学机械研磨设备中的金刚石修整器的修整能力识别方法
US10643853B2 (en) * 2012-02-10 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer thinning apparatus having feedback control and method of using
KR101918800B1 (ko) 2012-02-27 2018-11-14 어플라이드 머티어리얼스, 인코포레이티드 균일한 토포그래피를 위해 소거의 검출 및 조절을 이용하는 피드백 제어
US8563335B1 (en) * 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9289875B2 (en) * 2012-04-25 2016-03-22 Applied Materials, Inc. Feed forward and feed-back techniques for in-situ process control
JP6193623B2 (ja) * 2012-06-13 2017-09-06 株式会社荏原製作所 研磨方法及び研磨装置
CN102729133A (zh) * 2012-07-16 2012-10-17 日月光半导体制造股份有限公司 晶圆研磨装置与晶圆研磨方法
US9067295B2 (en) * 2012-07-25 2015-06-30 Applied Materials, Inc. Monitoring retaining ring thickness and pressure control
CN102744674B (zh) * 2012-07-26 2016-10-26 上海华虹宏力半导体制造有限公司 化学机械研磨设备
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
CN102922400A (zh) * 2012-11-09 2013-02-13 昆山市大金机械设备厂 抛光装置
KR20150085000A (ko) * 2012-11-16 2015-07-22 어플라이드 머티어리얼스, 인코포레이티드 캐리어 헤드용 센서들에 의한 기록 측정들
US9056383B2 (en) * 2013-02-26 2015-06-16 Applied Materials, Inc. Path for probe of spectrographic metrology system
US9079210B2 (en) * 2013-07-22 2015-07-14 Infineon Technologies Ag Methods for etching a workpiece, an apparatus configured to etch a workpiece, and a non-transitory computer readable medium
JP6293519B2 (ja) * 2014-03-05 2018-03-14 株式会社荏原製作所 研磨装置および研磨方法
JP6266493B2 (ja) 2014-03-20 2018-01-24 株式会社荏原製作所 研磨装置及び研磨方法
US9673113B2 (en) * 2014-06-05 2017-06-06 Applied Materials, Inc. Method and system for real-time polishing recipe control
WO2017146743A1 (en) * 2016-02-27 2017-08-31 Intel Corporation Pad surface roughness change metrics for chemical mechanical polishing conditioning disks
KR102276869B1 (ko) * 2016-06-30 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마 자동화된 레시피 생성
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
CN109844923B (zh) * 2016-10-10 2023-07-11 应用材料公司 用于化学机械抛光的实时轮廓控制
WO2018074091A1 (ja) * 2016-10-18 2018-04-26 株式会社 荏原製作所 基板処理制御システム、基板処理制御方法、およびプログラム
US10964606B2 (en) * 2017-02-07 2021-03-30 Tokyo Electron Limited Film forming system, film forming method, and computer storage medium
JP6779173B2 (ja) * 2017-05-18 2020-11-04 株式会社荏原製作所 基板処理装置、プログラムを記録した記録媒体
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
TWI794293B (zh) * 2017-09-25 2023-03-01 美商應用材料股份有限公司 使用製程控制參數矩陣的半導體製造
KR102591906B1 (ko) * 2017-10-31 2023-10-20 가부시키가이샤 에바라 세이사꾸쇼 연마 장치 및 연마 방법
JP7046358B2 (ja) * 2018-04-17 2022-04-04 スピードファム株式会社 研磨装置
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
JP7086835B2 (ja) * 2018-12-28 2022-06-20 株式会社荏原製作所 研磨レシピ決定装置
JP7081544B2 (ja) * 2019-03-22 2022-06-07 株式会社Sumco ワークの両面研磨方法及びワークの両面研磨装置
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
JP2022128233A (ja) * 2021-02-22 2022-09-01 株式会社Sumco 加工条件設定装置、加工条件設定方法、及びウェーハの製造システム
CN113941926A (zh) * 2021-10-28 2022-01-18 杭州涂鸦信息技术有限公司 一种导光柱的磨砂方法、装置及光学设备
CN113953969B (zh) * 2021-11-08 2022-09-20 北京烁科精微电子装备有限公司 一种在线优化抛光压力的方法
CN116713823B (zh) * 2023-08-10 2023-12-15 潍柴动力股份有限公司 一种连杆模具的自动抛光方法

Family Cites Families (436)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
JPS6313133Y2 (zh) 1984-10-03 1988-04-14
JPH0136270Y2 (zh) 1985-04-13 1989-11-06
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4717596A (en) 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
JPH01283934A (ja) 1988-05-11 1989-11-15 Tokyo Electron Ltd エッチング装置およびその制御方法
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
EP0397924B1 (en) 1989-05-17 1995-11-29 Koninklijke Philips Electronics N.V. Work station controller module
DE3919131A1 (de) 1989-06-12 1990-12-13 Tzn Forschung & Entwicklung Vorrichtung und verfahren zur beruehrungslosen messung der schichtdicke eines nichtleitenden materials sowie verwendung der vorrichtung zur messung kunststoffbeschichteter metallteile
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
JPH05266029A (ja) 1992-03-16 1993-10-15 Toshiba Corp プロセスフロー作成装置
JP3207457B2 (ja) 1990-08-14 2001-09-10 株式会社東芝 製造工程管理システム
JP3140877B2 (ja) 1993-02-24 2001-03-05 株式会社東芝 プロセスフローチェック・シミュレーション装置
JPH05216896A (ja) 1991-11-14 1993-08-27 Toshiba Corp 製造工程管理システム
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
JP3202388B2 (ja) 1992-10-08 2001-08-27 株式会社東芝 製造規則チェックシステム
JP3139867B2 (ja) 1993-03-04 2001-03-05 株式会社東芝 半導体生産システム
JP3297089B2 (ja) 1992-09-29 2002-07-02 株式会社東芝 データ検証システム
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
EP0553285B1 (en) * 1990-10-16 2000-03-01 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) * 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) * 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5733171A (en) * 1996-07-18 1998-03-31 Speedfam Corporation Apparatus for the in-process detection of workpieces in a CMP environment
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
JPH06184434A (ja) 1992-12-16 1994-07-05 Tonen Corp 熱可塑性樹脂組成物
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
JPH0823166B2 (ja) 1993-04-05 1996-03-06 西武ポリマ化成株式会社 暗渠の継手の施工法
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
AU2759595A (en) 1994-06-14 1996-01-05 Telefonaktiebolaget Lm Ericsson (Publ) A method and system for manipulating intelligent representations of real equipment within a graphical computer system
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JPH0850161A (ja) 1994-08-05 1996-02-20 Matsushita Electron Corp 半導体デバイスの測定方法
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
JPH08149583A (ja) 1994-11-21 1996-06-07 Mitsubishi Electric Corp プロセスコントローラ及びデータ監視方法
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5535128A (en) 1995-02-09 1996-07-09 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical feedback control of pulsed laser deposition
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
JP3399697B2 (ja) 1995-04-28 2003-04-21 大日本スクリーン製造株式会社 測定点マッピング装置およびこれを利用した半導体ウエハの測定装置
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
JPH0934535A (ja) 1995-07-13 1997-02-07 Mitsubishi Electric Corp メンテナンス通告方式
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
WO1997012300A1 (en) 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
JP3595061B2 (ja) 1996-03-11 2004-12-02 富士通株式会社 半導体装置及びその製造方法
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
WO1997036164A1 (en) * 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JP3545558B2 (ja) 1996-12-13 2004-07-21 大日本スクリーン製造株式会社 ウェハの測定位置決定方法
JPH1034522A (ja) 1996-07-17 1998-02-10 Nikon Corp Cmp用研磨装置及びcmp用装置システム
WO1998005066A2 (en) 1996-07-26 1998-02-05 Speedfam Corporation Methods and apparatus for the in-process detection and measurement of thin film layers
US5664990A (en) * 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
JP3558794B2 (ja) * 1996-09-27 2004-08-25 株式会社荏原製作所 半導体ウエハーの研磨方法及び研磨装置
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
EP1015177A1 (en) 1997-04-04 2000-07-05 Obsidian, Inc. Polishing media magazine for improved polishing
US5912678A (en) 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
TW436369B (en) 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
JPH1167853A (ja) 1997-08-26 1999-03-09 Mitsubishi Electric Corp ウェーハマップ解析補助システムおよびウェーハマップ解析方法
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5888120A (en) 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
JPH11126765A (ja) 1997-10-22 1999-05-11 Toshiba Corp 研磨シミュレーション方法および研磨シミュレーション方法を記録した記録媒体および研磨方法
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
JP3725314B2 (ja) 1997-10-23 2005-12-07 大日本スクリーン製造株式会社 ウェハ上の対象点座標の補正方法および対象点座標の決定方法
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
JP3739550B2 (ja) 1997-10-29 2006-01-25 大日本スクリーン製造株式会社 ウェハの測定位置決定方法
JP2001523586A (ja) * 1997-11-18 2001-11-27 スピードファム−アイピーイーシー コーポレイション 化学機械的研磨工程をモデル化する方法および装置
US6041270A (en) 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR100278600B1 (ko) 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
KR100297371B1 (ko) 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
JPH11300607A (ja) * 1998-04-16 1999-11-02 Speedfam-Ipec Co Ltd 研磨装置
US6123983A (en) 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6171174B1 (en) 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
KR100267463B1 (ko) 1998-07-20 2000-11-01 이재근 반도체 칩 결함에 기인한 수율손실칩수 및 유형별 불량칩수 측정방법
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
JP3082850B2 (ja) 1998-10-16 2000-08-28 株式会社東京精密 ウェーハ研磨装置
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6393341B1 (en) 1998-12-07 2002-05-21 Abb Automation Inc. Architecture neutral device abstraction layer for interfacing devices and applications
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
EP1141654B1 (de) 1998-12-18 2005-03-02 Micro-Epsilon Messtechnik GmbH & Co. KG Betreiben eines wirbelstromsensors
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6194231B1 (en) * 1999-03-01 2001-02-27 National Tsing Hua University Method for monitoring polishing pad used in chemical-mechanical planarization process
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
WO2000054325A1 (en) 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
WO2000073973A1 (en) 1999-05-28 2000-12-07 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
EP1200885A1 (en) 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
GB2351804B (en) 1999-06-28 2003-09-24 Hyundai Electronics Ind Semiconductor factory automation system and method for controlling measurement equipment to measure semiconductor wafers
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
JP2001009699A (ja) 1999-07-05 2001-01-16 Nichiden Mach Ltd 平面研磨装置
US6210745B1 (en) 1999-07-08 2001-04-03 National Semiconductor Corporation Method of quality control for chemical vapor deposition
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6577914B1 (en) 1999-08-10 2003-06-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6607926B1 (en) 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6560503B1 (en) 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
AU8018200A (en) 1999-10-31 2001-05-14 Insyst Ltd. A knowledge-engineering protocol-suite
AU1332601A (en) 1999-10-31 2001-05-14 Insyst Ltd. Strategic method for process control
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6469518B1 (en) 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
JP4874465B2 (ja) 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
AU2001249724A1 (en) 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6622059B1 (en) 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
JP2001326151A (ja) 2000-05-16 2001-11-22 Nec Corp 半導体集積回路製作システム
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
JP3832198B2 (ja) 2000-06-16 2006-10-11 日本電気株式会社 半導体ウェハの研磨終点検出方法ならびにその装置
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) * 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
WO2002023289A2 (en) 2000-09-15 2002-03-21 Advanced Micro Devices, Inc. Adaptive sampling method for improved control in semiconductor manufacturing
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
US6618692B2 (en) 2000-09-20 2003-09-09 Hitachi, Ltd. Remote diagnostic system and method for semiconductor manufacturing equipment
JP3634734B2 (ja) 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6664557B1 (en) 2001-03-19 2003-12-16 Lam Research Corporation In-situ detection of thin-metal interface using optical interference
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6582277B2 (en) * 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7101799B2 (en) 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US7225047B2 (en) 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6751518B1 (en) 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101667526B (zh) * 2008-09-05 2011-12-14 台湾积体电路制造股份有限公司 晶片处理装置及在单一装置中处理半导体晶片的方法
CN101722469B (zh) * 2008-10-13 2013-01-02 台湾积体电路制造股份有限公司 对晶圆进行化学机械研磨工艺的方法
CN102380816A (zh) * 2010-08-30 2012-03-21 旺宏电子股份有限公司 化学机械抛光方法与系统
CN102689266A (zh) * 2011-03-23 2012-09-26 中芯国际集成电路制造(上海)有限公司 一种抛光装置及晶片抛光方法
TWI637813B (zh) * 2011-04-29 2018-10-11 應用材料股份有限公司 選擇研磨參數以產生移除輪廓
US10493590B2 (en) 2011-04-29 2019-12-03 Applied Materials, Inc. Selection of polishing parameters to generate removal or pressure profile
TWI668078B (zh) * 2011-04-29 2019-08-11 美商應用材料股份有限公司 用於選擇研磨參數以產生移除輪廓的電腦程式產品
CN103681399A (zh) * 2012-09-14 2014-03-26 意法半导体公司 使用来自测量设备的反馈的自适应半导体处理
CN105097434A (zh) * 2014-05-21 2015-11-25 中国科学院微电子研究所 一种平坦化的工艺方法
CN105097434B (zh) * 2014-05-21 2018-06-01 中国科学院微电子研究所 一种平坦化的工艺方法
CN106695567A (zh) * 2015-07-17 2017-05-24 盛美半导体设备(上海)有限公司 流量补偿方法
CN107234495A (zh) * 2017-07-24 2017-10-10 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN107234495B (zh) * 2017-07-24 2019-01-29 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
CN109290918A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 用于非晶片制程的全自动抛光生产线
CN109333367A (zh) * 2018-11-13 2019-02-15 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统的工作方法
CN109290917A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统
CN112894609A (zh) * 2021-02-08 2021-06-04 上海新昇半导体科技有限公司 化学机械抛光系统及化学机械抛光监测方法
US11919121B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Control of processing parameters during substrate polishing using constrained cost function
US11931853B2 (en) 2021-03-05 2024-03-19 Applied Materials, Inc. Control of processing parameters for substrate polishing with angularly distributed zones using cost function
CN113524019A (zh) * 2021-07-27 2021-10-22 福建北电新材料科技有限公司 化学机械抛光方法
CN117207056A (zh) * 2023-11-07 2023-12-12 苏州博宏源机械制造有限公司 一种高精度晶片激光测厚装置及方法
CN117207056B (zh) * 2023-11-07 2024-01-23 苏州博宏源机械制造有限公司 一种高精度晶片激光测厚装置及方法

Also Published As

Publication number Publication date
US20070102116A1 (en) 2007-05-10
US20120053721A1 (en) 2012-03-01
CN1292464C (zh) 2006-12-27
JP4799817B2 (ja) 2011-10-26
WO2002103777A1 (en) 2002-12-27
JP2005520317A (ja) 2005-07-07
US8070909B2 (en) 2011-12-06
KR20040010755A (ko) 2004-01-31
US8694145B2 (en) 2014-04-08
US20020197745A1 (en) 2002-12-26
US7160739B2 (en) 2007-01-09
KR100906133B1 (ko) 2009-07-07
EP1399962A1 (en) 2004-03-24

Similar Documents

Publication Publication Date Title
CN1292464C (zh) 提供去除速率曲线处理的化学机械抛光设备的反馈控制
CN1535196A (zh) 化学机械抛光垫的调节的前馈和反馈控制
CN1524027A (zh) 提高垫寿命的化学机械抛光垫调节器方向速度控制
CN1602546A (zh) 基于原位传感器的半导体处理工序控制
CN110561201A (zh) 一种控制抛光工艺的方法和化学机械抛光装置
TW201403699A (zh) 改善化學機械硏磨製程中晶圓內均勻度的方法
US7905764B2 (en) Polishing head using zone control
US20130288571A1 (en) Feed forward and feed-back techniques for in-situ process control
CN1225010C (zh) 预测加工形状的方法,加工系统,和半导体器件制造方法
US6736696B2 (en) Method of improving uniformity control on wafers during chemical mechanical polishing
US20040063224A1 (en) Feedback control of a chemical mechanical polishing process for multi-layered films
JP2004153229A (ja) 加工形状の予測方法、加工条件の決定方法、加工量予測方法、加工形状予測システム、加工条件決定システム、加工システム、加工形状予測計算機プログラム、加工条件決定計算機プログラム、プログラム記録媒体、及び半導体デバイスの製造方法
Eamkajornsiri et al. Wafer Scale Modeling and Control for Yield Improvement in Wafer Planarization

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061227

Termination date: 20090617