CN1536679A - 半导体结构 - Google Patents

半导体结构 Download PDF

Info

Publication number
CN1536679A
CN1536679A CNA031332331A CN03133233A CN1536679A CN 1536679 A CN1536679 A CN 1536679A CN A031332331 A CNA031332331 A CN A031332331A CN 03133233 A CN03133233 A CN 03133233A CN 1536679 A CN1536679 A CN 1536679A
Authority
CN
China
Prior art keywords
material layer
semiconductor
semiconductor material
structure according
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA031332331A
Other languages
English (en)
Other versions
CN100446270C (zh
Inventor
葛崇祜
王昭雄
黄健朝
李文钦
胡正明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1536679A publication Critical patent/CN1536679A/zh
Application granted granted Critical
Publication of CN100446270C publication Critical patent/CN100446270C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

一种半导体结构,包含具有压缩应力的第一半导体材料层,以及具有伸张应力的第二半导体材料层。利用第一半导体材料层与第二半导体材料层的搭配,可用来调节半导体元件中的通道应力,从而形成受应变的通道。

Description

半导体结构
技术领域
本发明涉及半导体结构,特别涉及受应变的半导体元件。
背景技术
半导体是一种导电能力介于导体与非导体之间的材料,而所谓半导体元件就是以半导体材料所特有的特性所制造出来的电子元件,因为半导体元件属于固态元件(Solid State Device),其体积可以缩小到很小的尺寸。近来,称为金属氧化半导体(Metal-Oxide-Semiconductor;MOS)的晶体管,因为具有耗电量少并且适合高集成度等优点,在半导体元件中具有广泛的应用。MOS晶体管的基本结构除了具备由金属层、氧化层与半导体层所构成的电容器外,还包含两个位于MOS电容器两旁,其电性与硅基材相反的半导体区:源极(Source)与漏极(Drain)。
MOS可分为n型金属氧化半导体(NMOS)和p型金属氧化半导体(PMOS),其中NMOS以电子来传输,PMOS以空穴来传输,由于电子在电场下的迁移率比空穴高,所以在同样的设计下,NMOS元件的速度将比PMOS的速度快,因此为了提高元件的操作速度,早期的MOS元件都是以NMOS晶体管为主来设计。
由于半导体元件尺寸的不断缩微(Scaled Down),使得超大规模集成电路的速度持续地提升。然而,当进入次微米世代,半导体元件的缩微由于不同的物理及技术上限制而变得困难重重。此外,在CMOS电路中,由于空穴迁移率(Hole Mobility)小于电子的迁移率,为了让CMOS中的PMOS及NMOS的电流驱动能够匹配,因此通常将PMOS的面积设计成NMOS的2~3倍。然而,这样的设计使的元件的整合及速度都受到影响。因此,为了要进一步改善集成电路的速度,就必须提出新的元件结构或使用新的材料。
发明内容
为了制造高速度的次微米CMOS元件,因此,本发明的目的为提供一种半导体结构,利用具压缩应力与伸张应力的半导体材料层的搭配,可应用于一般基材或绝缘层上硅晶基材中,借以调整通道应力。
根据以上所述的目的,本发明的半导体结构可包括:具压缩应力的第一半导体材料层,以及堆栈于第一半导体材料层上的具伸张应力的第二半导体材料层。此第一半导体材料层与第二半导体材料层分别具有不同晶格常数,因此会在第一半导体材料层压缩且第二半导体材料层伸张的情况下,借以互相牵制而调整应力。上述半导体可应用于一般无绝缘层的基材或者绝缘层上硅晶基材。
本发明的半导体结构,至少包括:至少一第一半导体材料层;以及至少一第二半导体材料层位于该第一半导体材料层上,其中该第一半导体材料层与该第二半导体材料层具有不同性质的应力,并且该第一半导体材料层与该第二半导体材料层相互堆栈,借以在该第一半导体材料层与该第二半导体材料层分别压缩与伸张或伸张与压缩的情况下,互相牵制造成应变。
将本发明的结构应用在电子元件中,由于可调整通道应力以改善载子迁移率,从而提升现有集成电路技术。
附图简要说明
下面结合附图对本发明的具体实施方式作进一步详细的描述。
附图中,
图1为本发明半导体结构应用于绝缘层上硅基材的剖面示意图;
图2为本发明半导体结构一实施例剖面图;
图3为本发明半导体结构另一实施例剖面图;以及
图4为本发明半导体结构再一实施例剖面图。
具体实施方式
为了制造高速度次微米CMOS元件,必须增加通道的载子迁移率(CarrierMobility)并降低源极与漏极的寄生电容(Parasitic Capacitance)。硅材料中的载子迁移率,特别是空穴迁移率,会因为次微米CMOS元件的应变速率(SwitchingSpeed)的发展限制而非常低。为了克服这样的问题,本发明揭露一种受应变的半导体结构,利用具有不同应力的半导体材料层间的互相影响,以进行通道的应力调整。
本发明揭露一种半导体结构,利用具压缩应力(Compressive Stress)的半导体材料与伸张应力(Tensile Stress)的半导体材料相互堆栈而形成一半导体结构。其中,由于一半导体材料具有压缩应力,而沉积在上的另一半导体材料具有伸张应力,两者的晶格常数并不相同。因此,在一半导体材料压缩且另一半导体材料伸张的情况下,互相牵制而形成张力通道(Strained-Channel),从而可增加电子或空穴迁移率。
上述的具压缩应力的半导体材料以及具伸张应力的半导体材料都可选自于合金半导体(Alloy Semiconductor)、元素半导体(Element Semiconductor)或是化合物半导体(Compound Semiconductor)材料。一般合金半导体材料例如有锗化硅(SiGe)、镓化硅(SiGa)或碳化硅(SiC)等;元素半导体材料则有硅和锗等等;而化合物半导体则例如有砷化镓(GaAs)、砷铝化镓(GaAlAs)或磷化铟(InP)等分别由III V族或II VI族化合物所构成的半导体材料等。上述材料可互相搭配利用,本发明不限于此。
本发明的半导体结构可先利用具压缩应力或具伸张应力的半导体材料为基材,再将另一具相反应力的半导体材料沉积于其上。或者,可在一般基材或绝缘层上硅基材(Silicon-On-Insulator;SOI)结构上,形成本发明的半导体结构,本发明不限于此。
图1为本发明半导体结构应用于绝缘层上硅基材结构的剖面示意图。请参照图1,绝缘层上硅基材一般由硅基材100以及绝缘层102所构成,并且在绝缘层上具有含硅薄膜层(未绘示)。但是,本发明在此利用第一半导体材料层106以及位于第一半导体材料层106上的第二半导体材料层108,来取代一般的含硅薄膜层。其中,第一半导体材料层106具有压缩应力,而第二半导体材料层108具有伸张应力,由于第一半导体材料层106与第二半导体材料层108的互相影响,从而可调整第一半导体材料层106与第二半导体材料层108中的通道应力。
在本发明较佳实施例中,上述绝缘层102由埋入氧化层所构成,一般为二氧化硅材质,而第一半导体材料层106由锗化硅材料所构成,第二半导体材料层108则由硅材料所构成。并且,第一半导体材料层106与第二半导体材料层108的较佳厚度都小于400。值得注意的是,本发明上述材料与厚度仅为举例,可根据实际产品与所调整的应力值加以改变,本发明不限于此。
另外,当本发明的半导体结构仅使用一般的硅基材100时,第一半导体材料层106则直接位于硅基材100上方,而第二半导体材料层108再位于第一半导体材料层106上。此时,第一半导体材料层会与一主动区域(Active Area)(未绘示)连接,并与硅基材之间,以空气隧道(Air Tunnel)来进行绝缘。
不论上述本发明半导体结构、位于绝缘层上硅基材的结构或位于一般硅基材的结构,都可进行后续制造而形成半导体元件。本发明以数个实施例来进行说明。
在本发明一实施例中,在上述半导体结构中形成数个绝缘区域110,如图2所示。一般来说,这些绝缘区域110可为浅沟渠隔离结构,但这些绝缘区域110位于第一半导体材料层106或第二半导体材料层108中时,可选择让期待有不同的应力,而分别导致这些半导体材料层具有更多的相同应力。例如,当绝缘区域110具有压缩应力,则会导致第一半导体材料层106具有更多的压缩应力;而绝缘区域110具有伸张应力,会导致第二半导体材料层108具有更多的伸张应力。
或者在本发明另一实施例中,还在图2的结构中形成电性与基材100相反的半导体区,源极112与漏极114,如图3所示。并且同样的,如上述的绝缘区域100,若源极112与漏极114具有压缩应力,则会导致第一半导体材料层106具有更多的压缩应力;若源极112与漏极114具有伸张应力,则会导致第二半导体材料层108具有更多的伸张应力。
而在本发明再一实施例中,还在图3的结构上形成例如由含硅化合物所构成的沉积层116等等,如图4所示。也是同样的,若沉积层116具有压缩应力,则会使得第一半导体材料层106具有压缩应力,则会导致第一半导体材料层106具有更多的压缩应力;若沉积层116具有伸张应力,则会导致第二半导体材料层106具有更多的伸张应力。
值得注意的是,上述本发明的半导体结构并非仅限于单一第一半导体材料层与单一第二半导体材料层的相互堆栈,可根据产品或工艺需要而以更多数量相互堆栈而成,本发明不限于此。
本发明的特点在于,以具有压缩应力的材料与具有伸张应力的材料的互相搭配,因此可根据需要而调节所制造的半导体元件的通道应力,从而形成受应变的通道。由于本发明的半导体结构可增加电子或空穴的迁移率,因此不论在NMOS或PMOS晶体管中,都可具有较现有半导体元件高的操作速度。而这些优点,对现有半导体技术来说,实为一大进步。
可以理解的是,对于本领域的普通技术人员来说,可以根据本发明的技术方案和技术构思作出其他各种相应的改变和变形,而所有这些改变和变形都应属于本发明所附的权利要求的保护范围。

Claims (20)

1、一种半导体结构,至少包括:
至少一第一半导体材料层;以及
至少一第二半导体材料层位于该第一半导体材料层上,其中该第一半导体材料层与该第二半导体材料层具有不同性质的应力,并且该第一半导体材料层与该第二半导体材料层相互堆栈,借以在该第一半导体材料层与该第二半导体材料层分别压缩与伸张或伸张与压缩的情况下,互相牵制造成应变。
2、根据权利要求1所述的半导体结构,其特征在于,上述的第一半导体材料层由合金半导体所构成,并且该第一半导体材料层的材料选自于由锗化硅、碳锗化硅与碳化硅所组成的一族群。
3、根据权利要求1所述的半导体结构,其特征在于,上述的第二半导体材料层由合金半导体所构成,并且该第二半导体材料层的材料选自于由锗化硅、碳锗化硅与碳化硅所组成的一族群。
4、根据权利要求1所述的半导体结构,其特征在于,上述的第一半导体材料层由元素半导体所构成,并且该第一半导体材料层的材料选自于由硅与锗所组成的一族群。
5、根据权利要求1所述的半导体结构,其中上述的第二半导体材料层由元素半导体所构成,并且该第二半导体材料层的材料选自于由硅与锗所组成的一族群。
6、根据权利要求1所述的半导体结构,其特征在于,上述的第一半导体材料层由化合物半导体所构成,并且该第一半导体材料层的材料选自于由砷化镓、砷铝化镓与磷化铟等IIIV族与IIVI族化合物所组成的一族群。
7、根据权利要求1所述的半导体结构,其特征在于,上述的第二半导体材料层由化合物半导体所构成,且该第二半导体材料层的材选自于由砷化镓、砷铝化镓与磷化铟等IIIV族与IIVI族化合物所组成的一族群。
8、根据权利要求1所述的半导体结构,其特征在于,还包括数个绝缘区域。
9、根据权利要求8所述的半导体结构,其特征在于,上述的绝缘区域具有伸张应力,并导致该第一半导体材料层具有更多压缩应力。
10、根据权利要求8所述的半导体结构,其特征在于,上述的绝缘区域具有压缩应力,并导致该第二半导体材料层具有更多伸张应力。
11、根据权利要求1所述的半导体结构,其特征在于,还包括至少一源极区域与至少一漏极区域。
12、根据权利要求11所述的半导体结构,其中上述的源极区域或漏极区域具有伸张应力,并导致该第一半导体材料层具有更多压缩应力。
13、根据权利要求11所述的半导体结构,其特征在于,上述的源极区域或漏极区域具有压缩应力,并导致该第二半导体材料层具有更多伸张应力。
14、根据权利要求11所述的半导体结构,其特征在于,还包括一沉积层位于该源极区域或该漏极区域上,其中该沉积层具有压缩应力,并导致该第一半导体材料层具有更多压缩应力。
15、根据权利要求11所述的半导体结构,其特征在于,还包括一沉积层位于该源极区域或该漏极区域上,其中该沉积层具有伸张应力,并导致该第二半导体材料层具有更多伸张应力。
16、根据权利要求11所述的半导体结构,其特征在于,还包括一硅化合物位于该源极区域或该漏极区域上,其中该硅化合物具有伸张应力,并导致该第一半导体材料层具有更多压缩应力。
17、根据权利要求11所述的半导体结构,其特征在于,还包括一硅化合物位于该源极区域或该漏极区域上,其中该硅化合物具有压缩应力,并导致该第二半导体材料层具有更多伸张应力。
18、根据权利要求1所述的半导体结构,其特征在于,还包括一绝缘层位于该基材与该第一半导体材料层之间。
19、根据权利要求1所述的半导体结构,其特征在于,上述的绝缘层由一埋入氧化层所构成。
20、根据权利要求1所述的半导体结构,其特征在于,上述的第一半导体材料层连接一主动区,并与该基材之间以空气隧道作为绝缘。
CNB031332331A 2003-04-03 2003-07-17 半导体结构 Expired - Lifetime CN100446270C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/407,761 US6900502B2 (en) 2003-04-03 2003-04-03 Strained channel on insulator device
US10/407,761 2003-04-03

Publications (2)

Publication Number Publication Date
CN1536679A true CN1536679A (zh) 2004-10-13
CN100446270C CN100446270C (zh) 2008-12-24

Family

ID=33097619

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031332331A Expired - Lifetime CN100446270C (zh) 2003-04-03 2003-07-17 半导体结构

Country Status (4)

Country Link
US (2) US6900502B2 (zh)
CN (1) CN100446270C (zh)
SG (1) SG115653A1 (zh)
TW (1) TWI249247B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100388461C (zh) * 2004-12-10 2008-05-14 国际商业机器公司 具有双蚀刻停止衬里和保护层的器件及相关方法
CN100421262C (zh) * 2005-02-25 2008-09-24 台湾积体电路制造股份有限公司 半导体元件

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7312125B1 (en) * 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
JP2005234241A (ja) * 2004-02-19 2005-09-02 Sharp Corp 液晶表示装置
SE527487C2 (sv) * 2004-03-02 2006-03-21 Infineon Technologies Ag En metod för framställning av en kondensator och en monolitiskt integrerad krets innefattande en sådan kondensator
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US8450806B2 (en) * 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US6998684B2 (en) 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US7405436B2 (en) * 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US7271043B2 (en) * 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP2006237448A (ja) * 2005-02-28 2006-09-07 Nec Electronics Corp 相補型電界効果型トランジスタの製造方法
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7396728B2 (en) * 2005-06-29 2008-07-08 Texas Instruments Incorporated Methods of improving drive currents by employing strain inducing STI liners
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
FR2888665B1 (fr) * 2005-07-18 2007-10-19 St Microelectronics Crolles 2 Procede de realisation d'un transistor mos et circuit integre correspondant
US7384861B2 (en) * 2005-07-18 2008-06-10 Texas Instruments Incorporated Strain modulation employing process techniques for CMOS technologies
US7514309B2 (en) * 2005-07-19 2009-04-07 Texas Instruments Incorporated Methods to selectively protect NMOS regions, PMOS regions, and gate layers during EPI process
US7382029B2 (en) * 2005-07-29 2008-06-03 International Business Machines Corporation Method and apparatus for improving integrated circuit device performance using hybrid crystal orientations
US20070048980A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Method for post-rie passivation of semiconductor surfaces for epitaxial growth
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
KR100827443B1 (ko) * 2006-10-11 2008-05-06 삼성전자주식회사 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
US7892931B2 (en) * 2006-12-20 2011-02-22 Texas Instruments Incorporated Use of a single mask during the formation of a transistor's drain extension and recessed strained epi regions
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
DE102007015504B4 (de) * 2007-03-30 2014-10-23 Advanced Micro Devices, Inc. SOI-Transistor mit Drain- und Sourcegebieten mit reduzierter Länge und einem dazu benachbarten verspannten dielektrischen Material und Verfahren zur Herstellung
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8803195B2 (en) * 2007-08-02 2014-08-12 Wisconsin Alumni Research Foundation Nanomembrane structures having mixed crystalline orientations and compositions
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8188460B2 (en) * 2008-11-26 2012-05-29 Board Of Regents, The University Of Texas System Bi-layer pseudo-spin field-effect transistor
DE102009010883B4 (de) * 2009-02-27 2011-05-26 Amd Fab 36 Limited Liability Company & Co. Kg Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8124470B1 (en) 2010-09-29 2012-02-28 International Business Machines Corporation Strained thin body semiconductor-on-insulator substrate and device
US8492235B2 (en) 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US8889494B2 (en) 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
US8883598B2 (en) * 2012-03-05 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
WO2015039337A1 (zh) * 2013-09-23 2015-03-26 李利锋 半导体结构
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9391198B2 (en) 2014-09-11 2016-07-12 Globalfoundries Inc. Strained semiconductor trampoline
US9472575B2 (en) * 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US407406A (en) * 1889-07-23 Limekiln
US4069094A (en) 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
JPS551103A (en) 1978-06-06 1980-01-07 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor resistor
US4497683A (en) 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
US4631803A (en) 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4892614A (en) 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
JPH0640583B2 (ja) 1987-07-16 1994-05-25 株式会社東芝 半導体装置の製造方法
US4946799A (en) 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
JPH0394479A (ja) 1989-06-30 1991-04-19 Hitachi Ltd 感光性を有する半導体装置
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP3019430B2 (ja) 1991-01-21 2000-03-13 ソニー株式会社 半導体集積回路装置
US5285469A (en) * 1991-06-03 1994-02-08 Omnipoint Data Corporation Spread spectrum wireless telephone system
US5338960A (en) 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
DE69428658T2 (de) 1993-11-30 2002-06-20 Toshiba Kawasaki Kk Nichtflüchtige Halbleiterspeicheranordnung und Verfahren zur Herstellung
KR950034754A (ko) 1994-05-06 1995-12-28 윌리엄 이. 힐러 폴리실리콘 저항을 형성하는 방법 및 이 방법으로부터 제조된 저항
IT1268058B1 (it) 1994-05-20 1997-02-20 Cselt Centro Studi Lab Telec O Procedimento e dispositivo per il controllo della potenza di picco di un trasmettitore laser in sistemi di trasmissione ottica discontinua.
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5629544A (en) 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5955766A (en) 1995-06-12 1999-09-21 Kabushiki Kaisha Toshiba Diode with controlled breakdown
JPH09137014A (ja) * 1995-08-22 1997-05-27 Lubrizol Corp:The 潤滑油添加剤および燃料添加剤を調製するための中間体として有用な組成物を調製する方法
US5708288A (en) 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
JPH09199587A (ja) * 1996-01-12 1997-07-31 Nec Corp 半導体装置
US5855788A (en) * 1996-02-07 1999-01-05 Kimberly-Clark Worldwide, Inc. Chemically charged-modified filter for removing particles from a liquid and method thereof
US5786249A (en) * 1996-03-07 1998-07-28 Micron Technology, Inc. Method of forming dram circuitry on a semiconductor substrate
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5789807A (en) 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6221709B1 (en) 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
EP0923116A1 (en) 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
JP3265569B2 (ja) 1998-04-15 2002-03-11 日本電気株式会社 半導体装置及びその製造方法
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
JP3403076B2 (ja) 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6387739B1 (en) 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6015993A (en) 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
JP2000132990A (ja) 1998-10-27 2000-05-12 Fujitsu Ltd 冗長判定回路、半導体記憶装置及び冗長判定方法
US6258239B1 (en) * 1998-12-14 2001-07-10 Ballard Power Systems Inc. Process for the manufacture of an electrode for a solid polymer fuel cell
US6258664B1 (en) 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6358791B1 (en) 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6255175B1 (en) 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP4406995B2 (ja) * 2000-03-27 2010-02-03 パナソニック株式会社 半導体基板および半導体基板の製造方法
US6281059B1 (en) 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (de) 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP3843708B2 (ja) 2000-07-14 2006-11-08 日本電気株式会社 半導体装置およびその製造方法ならびに薄膜コンデンサ
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
FR2812764B1 (fr) 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
JP2002076287A (ja) 2000-08-28 2002-03-15 Nec Kansai Ltd 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6617843B2 (en) * 2001-01-15 2003-09-09 Techwing Co., Ltd. Contactor of the device for testing semiconductor device
US6414355B1 (en) 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6894324B2 (en) 2001-02-15 2005-05-17 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6575426B2 (en) * 2001-08-09 2003-06-10 Worldwide Oilfield Machine, Inc. Valve system and method
US6593181B2 (en) 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (ja) 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6576526B2 (en) 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US6853700B1 (en) * 2001-08-02 2005-02-08 Hitachi, Ltd. Data processing method and data processing apparatus
US6891209B2 (en) 2001-08-13 2005-05-10 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6555883B1 (en) 2001-10-29 2003-04-29 Power Integrations, Inc. Lateral power MOSFET for high switching speeds
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
JP2003273317A (ja) * 2002-03-19 2003-09-26 Nec Electronics Corp 半導体装置及びその製造方法
US6521852B1 (en) 2002-05-06 2003-02-18 Shin Jiuh Corp. Pushbutton switch
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6686247B1 (en) 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
JP4030383B2 (ja) 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6720619B1 (en) 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6919233B2 (en) 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100388461C (zh) * 2004-12-10 2008-05-14 国际商业机器公司 具有双蚀刻停止衬里和保护层的器件及相关方法
CN100421262C (zh) * 2005-02-25 2008-09-24 台湾积体电路制造股份有限公司 半导体元件

Also Published As

Publication number Publication date
SG115653A1 (en) 2005-10-28
US6900502B2 (en) 2005-05-31
TWI249247B (en) 2006-02-11
CN100446270C (zh) 2008-12-24
US7029994B2 (en) 2006-04-18
TW200421606A (en) 2004-10-16
US20050233552A1 (en) 2005-10-20
US20040195623A1 (en) 2004-10-07

Similar Documents

Publication Publication Date Title
CN100446270C (zh) 半导体结构
TWI493714B (zh) 形成電晶體的通道區之方法、電晶體以及計算裝置
US6747307B1 (en) Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
US6936881B2 (en) Capacitor that includes high permittivity capacitor dielectric
CN1323422C (zh) 改变膜结构的氧化方法和以之形成的cmos晶体管结构
US20080277761A1 (en) On-chip isolation capacitors, circuits therefrom, and methods for forming the same
US6936877B2 (en) Integrated circuit including a capacitor with a high capacitance density
EP3123514B1 (en) Strain compensation in transistors
CN2779620Y (zh) 半导体结构
US7268399B2 (en) Enhanced PMOS via transverse stress
CN1181511C (zh) C-v线性得以改善的压变电容器及其形成方法
US7323396B2 (en) Signal and/or ground planes with double buried insulator layers and fabrication process
US7977200B2 (en) Charge breakdown avoidance for MIM elements in SOI base technology and method
CN109216320A (zh) 半导体装置
US7683443B2 (en) MOS devices with multi-layer gate stack
JP7422765B2 (ja) 積層垂直輸送電界効果トランジスタのための2重輸送配向
US11063126B2 (en) Metal contact isolation for semiconductor structures
US20200287017A1 (en) Single transistor with strained and de-polarizing anti-ferroelectric and ferroelectric oxide
CN100334730C (zh) 半导体与反相器的结构以及形成半导体结构的方法
CN2751443Y (zh) 半导体与反相器的结构
US5517055A (en) Input-output drive reduction in a semiconductor integrated circuit
EP1479109A2 (en) Electronic device
CN113823676B (zh) 半导体装置以及其制作方法
US20230420459A1 (en) Integrated circuit devices including metallic source/drain regions
US20240072050A1 (en) Field-effect transistors with isolation pillars

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20081224

CX01 Expiry of patent term